* emul_netbsd.c (do_open): Translate the flag parameter to the
[deliverable/binutils-gdb.git] / gas / config / tc-ia64.c
CommitLineData
800eeca4 1/* tc-ia64.c -- Assembler for the HP/Intel IA-64 architecture.
e0c9811a 2 Copyright (C) 1998, 1999, 2000 Free Software Foundation.
800eeca4
JW
3 Contributed by David Mosberger-Tang <davidm@hpl.hp.com>
4
5 This file is part of GAS, the GNU Assembler.
6
7 GAS is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 2, or (at your option)
10 any later version.
11
12 GAS is distributed in the hope that it will be useful,
13 but WITHOUT ANY WARRANTY; without even the implied warranty of
14 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 GNU General Public License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with GAS; see the file COPYING. If not, write to
19 the Free Software Foundation, 59 Temple Place - Suite 330,
20 Boston, MA 02111-1307, USA. */
21
22/*
23 TODO:
24
25 - optional operands
26 - directives:
27 .alias
28 .eb
29 .estate
30 .lb
31 .popsection
32 .previous
33 .psr
34 .pushsection
800eeca4
JW
35 - labels are wrong if automatic alignment is introduced
36 (e.g., checkout the second real10 definition in test-data.s)
37 - DV-related stuff:
542d6675
KH
38 <reg>.safe_across_calls and any other DV-related directives I don't
39 have documentation for.
40 verify mod-sched-brs reads/writes are checked/marked (and other
41 notes)
800eeca4
JW
42
43 */
44
45#include "as.h"
46#include "dwarf2dbg.h"
47#include "subsegs.h"
48
49#include "opcode/ia64.h"
50
51#include "elf/ia64.h"
52
53#define NELEMS(a) ((int) (sizeof (a)/sizeof ((a)[0])))
54#define MIN(a,b) ((a) < (b) ? (a) : (b))
55
56#define NUM_SLOTS 4
57#define PREV_SLOT md.slot[(md.curr_slot + NUM_SLOTS - 1) % NUM_SLOTS]
58#define CURR_SLOT md.slot[md.curr_slot]
59
60#define O_pseudo_fixup (O_max + 1)
61
62enum special_section
63 {
64 SPECIAL_SECTION_BSS = 0,
65 SPECIAL_SECTION_SBSS,
66 SPECIAL_SECTION_SDATA,
67 SPECIAL_SECTION_RODATA,
68 SPECIAL_SECTION_COMMENT,
69 SPECIAL_SECTION_UNWIND,
70 SPECIAL_SECTION_UNWIND_INFO
71 };
72
73enum reloc_func
74 {
75 FUNC_FPTR_RELATIVE,
76 FUNC_GP_RELATIVE,
77 FUNC_LT_RELATIVE,
c67e42c9 78 FUNC_PC_RELATIVE,
800eeca4
JW
79 FUNC_PLT_RELATIVE,
80 FUNC_SEC_RELATIVE,
81 FUNC_SEG_RELATIVE,
82 FUNC_LTV_RELATIVE,
83 FUNC_LT_FPTR_RELATIVE,
84 };
85
86enum reg_symbol
87 {
88 REG_GR = 0,
89 REG_FR = (REG_GR + 128),
90 REG_AR = (REG_FR + 128),
91 REG_CR = (REG_AR + 128),
92 REG_P = (REG_CR + 128),
93 REG_BR = (REG_P + 64),
94 REG_IP = (REG_BR + 8),
95 REG_CFM,
96 REG_PR,
97 REG_PR_ROT,
98 REG_PSR,
99 REG_PSR_L,
100 REG_PSR_UM,
101 /* The following are pseudo-registers for use by gas only. */
102 IND_CPUID,
103 IND_DBR,
104 IND_DTR,
105 IND_ITR,
106 IND_IBR,
107 IND_MEM,
108 IND_MSR,
109 IND_PKR,
110 IND_PMC,
111 IND_PMD,
112 IND_RR,
542d6675 113 /* The following pseudo-registers are used for unwind directives only: */
e0c9811a
JW
114 REG_PSP,
115 REG_PRIUNAT,
800eeca4
JW
116 REG_NUM
117 };
118
119enum dynreg_type
120 {
121 DYNREG_GR = 0, /* dynamic general purpose register */
122 DYNREG_FR, /* dynamic floating point register */
123 DYNREG_PR, /* dynamic predicate register */
124 DYNREG_NUM_TYPES
125 };
126
127/* On the ia64, we can't know the address of a text label until the
128 instructions are packed into a bundle. To handle this, we keep
129 track of the list of labels that appear in front of each
130 instruction. */
131struct label_fix
542d6675
KH
132{
133 struct label_fix *next;
134 struct symbol *sym;
135};
800eeca4
JW
136
137extern int target_big_endian;
138
139/* Characters which always start a comment. */
140const char comment_chars[] = "";
141
142/* Characters which start a comment at the beginning of a line. */
143const char line_comment_chars[] = "#";
144
145/* Characters which may be used to separate multiple commands on a
146 single line. */
147const char line_separator_chars[] = ";";
148
149/* Characters which are used to indicate an exponent in a floating
150 point number. */
151const char EXP_CHARS[] = "eE";
152
153/* Characters which mean that a number is a floating point constant,
154 as in 0d1.0. */
155const char FLT_CHARS[] = "rRsSfFdDxXpP";
156
542d6675 157/* ia64-specific option processing: */
800eeca4 158
44f5c83a 159const char *md_shortopts = "m:N:x::";
800eeca4
JW
160
161struct option md_longopts[] =
162 {
c43c2cc5
JW
163#define OPTION_MCONSTANT_GP (OPTION_MD_BASE + 1)
164 {"mconstant-gp", no_argument, NULL, OPTION_MCONSTANT_GP},
165#define OPTION_MAUTO_PIC (OPTION_MD_BASE + 2)
166 {"mauto-pic", no_argument, NULL, OPTION_MAUTO_PIC}
800eeca4
JW
167 };
168
169size_t md_longopts_size = sizeof (md_longopts);
170
171static struct
172 {
173 struct hash_control *pseudo_hash; /* pseudo opcode hash table */
174 struct hash_control *reg_hash; /* register name hash table */
175 struct hash_control *dynreg_hash; /* dynamic register hash table */
176 struct hash_control *const_hash; /* constant hash table */
177 struct hash_control *entry_hash; /* code entry hint hash table */
178
179 symbolS *regsym[REG_NUM];
180
181 /* If X_op is != O_absent, the registername for the instruction's
182 qualifying predicate. If NULL, p0 is assumed for instructions
183 that are predicatable. */
184 expressionS qp;
185
186 unsigned int
197865e8 187 manual_bundling : 1,
800eeca4
JW
188 debug_dv: 1,
189 detect_dv: 1,
190 explicit_mode : 1, /* which mode we're in */
191 default_explicit_mode : 1, /* which mode is the default */
192 mode_explicitly_set : 1, /* was the current mode explicitly set? */
193 auto_align : 1;
194
195 /* Each bundle consists of up to three instructions. We keep
196 track of four most recent instructions so we can correctly set
197865e8 197 the end_of_insn_group for the last instruction in a bundle. */
800eeca4
JW
198 int curr_slot;
199 int num_slots_in_use;
200 struct slot
201 {
202 unsigned int
203 end_of_insn_group : 1,
204 manual_bundling_on : 1,
205 manual_bundling_off : 1;
206 signed char user_template; /* user-selected template, if any */
207 unsigned char qp_regno; /* qualifying predicate */
208 /* This duplicates a good fraction of "struct fix" but we
209 can't use a "struct fix" instead since we can't call
210 fix_new_exp() until we know the address of the instruction. */
211 int num_fixups;
212 struct insn_fix
213 {
214 bfd_reloc_code_real_type code;
215 enum ia64_opnd opnd; /* type of operand in need of fix */
216 unsigned int is_pcrel : 1; /* is operand pc-relative? */
217 expressionS expr; /* the value to be inserted */
218 }
219 fixup[2]; /* at most two fixups per insn */
220 struct ia64_opcode *idesc;
221 struct label_fix *label_fixups;
f1bcba5b 222 struct label_fix *tag_fixups;
800eeca4
JW
223 struct unw_rec_list *unwind_record; /* Unwind directive. */
224 expressionS opnd[6];
225 char *src_file;
226 unsigned int src_line;
227 struct dwarf2_line_info debug_line;
228 }
229 slot[NUM_SLOTS];
230
231 segT last_text_seg;
232
233 struct dynreg
234 {
235 struct dynreg *next; /* next dynamic register */
236 const char *name;
237 unsigned short base; /* the base register number */
238 unsigned short num_regs; /* # of registers in this set */
239 }
240 *dynreg[DYNREG_NUM_TYPES], in, loc, out, rot;
241
242 flagword flags; /* ELF-header flags */
243
244 struct mem_offset {
245 unsigned hint:1; /* is this hint currently valid? */
246 bfd_vma offset; /* mem.offset offset */
247 bfd_vma base; /* mem.offset base */
248 } mem_offset;
249
250 int path; /* number of alt. entry points seen */
251 const char **entry_labels; /* labels of all alternate paths in
542d6675 252 the current DV-checking block. */
800eeca4 253 int maxpaths; /* size currently allocated for
542d6675 254 entry_labels */
88be23ec
BS
255 /* Support for hardware errata workarounds. */
256
257 /* Record data about the last three insn groups. */
258 struct group
259 {
260 /* B-step workaround.
261 For each predicate register, this is set if the corresponding insn
262 group conditionally sets this register with one of the affected
263 instructions. */
264 int p_reg_set[64];
265 /* B-step workaround.
266 For each general register, this is set if the corresponding insn
267 a) is conditional one one of the predicate registers for which
268 P_REG_SET is 1 in the corresponding entry of the previous group,
269 b) sets this general register with one of the affected
270 instructions. */
271 int g_reg_set_conditionally[128];
272 } last_groups[3];
273 int group_idx;
800eeca4
JW
274 }
275md;
276
542d6675 277/* application registers: */
800eeca4 278
e0c9811a
JW
279#define AR_K0 0
280#define AR_K7 7
281#define AR_RSC 16
282#define AR_BSP 17
283#define AR_BSPSTORE 18
284#define AR_RNAT 19
285#define AR_UNAT 36
286#define AR_FPSR 40
287#define AR_ITC 44
288#define AR_PFS 64
289#define AR_LC 65
800eeca4
JW
290
291static const struct
292 {
293 const char *name;
294 int regnum;
295 }
296ar[] =
297 {
298 {"ar.k0", 0}, {"ar.k1", 1}, {"ar.k2", 2}, {"ar.k3", 3},
299 {"ar.k4", 4}, {"ar.k5", 5}, {"ar.k6", 6}, {"ar.k7", 7},
300 {"ar.rsc", 16}, {"ar.bsp", 17},
301 {"ar.bspstore", 18}, {"ar.rnat", 19},
302 {"ar.fcr", 21}, {"ar.eflag", 24},
303 {"ar.csd", 25}, {"ar.ssd", 26},
304 {"ar.cflg", 27}, {"ar.fsr", 28},
305 {"ar.fir", 29}, {"ar.fdr", 30},
306 {"ar.ccv", 32}, {"ar.unat", 36},
307 {"ar.fpsr", 40}, {"ar.itc", 44},
308 {"ar.pfs", 64}, {"ar.lc", 65},
197865e8 309 {"ar.ec", 66},
800eeca4
JW
310 };
311
312#define CR_IPSR 16
313#define CR_ISR 17
314#define CR_IIP 19
315#define CR_IFA 20
316#define CR_ITIR 21
317#define CR_IIPA 22
318#define CR_IFS 23
319#define CR_IIM 24
320#define CR_IHA 25
321#define CR_IVR 65
322#define CR_TPR 66
323#define CR_EOI 67
324#define CR_IRR0 68
325#define CR_IRR3 71
326#define CR_LRR0 80
327#define CR_LRR1 81
328
542d6675 329/* control registers: */
800eeca4
JW
330static const struct
331 {
332 const char *name;
333 int regnum;
334 }
335cr[] =
336 {
337 {"cr.dcr", 0},
338 {"cr.itm", 1},
339 {"cr.iva", 2},
340 {"cr.pta", 8},
341 {"cr.gpta", 9},
342 {"cr.ipsr", 16},
343 {"cr.isr", 17},
344 {"cr.iip", 19},
345 {"cr.ifa", 20},
346 {"cr.itir", 21},
347 {"cr.iipa", 22},
348 {"cr.ifs", 23},
349 {"cr.iim", 24},
350 {"cr.iha", 25},
351 {"cr.lid", 64},
352 {"cr.ivr", 65},
353 {"cr.tpr", 66},
354 {"cr.eoi", 67},
355 {"cr.irr0", 68},
356 {"cr.irr1", 69},
357 {"cr.irr2", 70},
358 {"cr.irr3", 71},
359 {"cr.itv", 72},
360 {"cr.pmv", 73},
361 {"cr.cmcv", 74},
362 {"cr.lrr0", 80},
363 {"cr.lrr1", 81}
364 };
365
366#define PSR_MFL 4
367#define PSR_IC 13
368#define PSR_DFL 18
369#define PSR_CPL 32
370
371static const struct const_desc
372 {
373 const char *name;
374 valueT value;
375 }
376const_bits[] =
377 {
542d6675 378 /* PSR constant masks: */
800eeca4
JW
379
380 /* 0: reserved */
381 {"psr.be", ((valueT) 1) << 1},
382 {"psr.up", ((valueT) 1) << 2},
383 {"psr.ac", ((valueT) 1) << 3},
384 {"psr.mfl", ((valueT) 1) << 4},
385 {"psr.mfh", ((valueT) 1) << 5},
386 /* 6-12: reserved */
387 {"psr.ic", ((valueT) 1) << 13},
388 {"psr.i", ((valueT) 1) << 14},
389 {"psr.pk", ((valueT) 1) << 15},
390 /* 16: reserved */
391 {"psr.dt", ((valueT) 1) << 17},
392 {"psr.dfl", ((valueT) 1) << 18},
393 {"psr.dfh", ((valueT) 1) << 19},
394 {"psr.sp", ((valueT) 1) << 20},
395 {"psr.pp", ((valueT) 1) << 21},
396 {"psr.di", ((valueT) 1) << 22},
397 {"psr.si", ((valueT) 1) << 23},
398 {"psr.db", ((valueT) 1) << 24},
399 {"psr.lp", ((valueT) 1) << 25},
400 {"psr.tb", ((valueT) 1) << 26},
401 {"psr.rt", ((valueT) 1) << 27},
402 /* 28-31: reserved */
403 /* 32-33: cpl (current privilege level) */
404 {"psr.is", ((valueT) 1) << 34},
405 {"psr.mc", ((valueT) 1) << 35},
406 {"psr.it", ((valueT) 1) << 36},
407 {"psr.id", ((valueT) 1) << 37},
408 {"psr.da", ((valueT) 1) << 38},
409 {"psr.dd", ((valueT) 1) << 39},
410 {"psr.ss", ((valueT) 1) << 40},
411 /* 41-42: ri (restart instruction) */
412 {"psr.ed", ((valueT) 1) << 43},
413 {"psr.bn", ((valueT) 1) << 44},
414 };
415
542d6675 416/* indirect register-sets/memory: */
800eeca4
JW
417
418static const struct
419 {
420 const char *name;
421 int regnum;
422 }
423indirect_reg[] =
424 {
425 { "CPUID", IND_CPUID },
426 { "cpuid", IND_CPUID },
427 { "dbr", IND_DBR },
428 { "dtr", IND_DTR },
429 { "itr", IND_ITR },
430 { "ibr", IND_IBR },
431 { "msr", IND_MSR },
432 { "pkr", IND_PKR },
433 { "pmc", IND_PMC },
434 { "pmd", IND_PMD },
435 { "rr", IND_RR },
436 };
437
438/* Pseudo functions used to indicate relocation types (these functions
439 start with an at sign (@). */
440static struct
441 {
442 const char *name;
443 enum pseudo_type
444 {
445 PSEUDO_FUNC_NONE,
446 PSEUDO_FUNC_RELOC,
447 PSEUDO_FUNC_CONST,
e0c9811a 448 PSEUDO_FUNC_REG,
800eeca4
JW
449 PSEUDO_FUNC_FLOAT
450 }
451 type;
452 union
453 {
454 unsigned long ival;
455 symbolS *sym;
456 }
457 u;
458 }
459pseudo_func[] =
460 {
542d6675 461 /* reloc pseudo functions (these must come first!): */
2434f565
JW
462 { "fptr", PSEUDO_FUNC_RELOC, { 0 } },
463 { "gprel", PSEUDO_FUNC_RELOC, { 0 } },
464 { "ltoff", PSEUDO_FUNC_RELOC, { 0 } },
465 { "pcrel", PSEUDO_FUNC_RELOC, { 0 } },
466 { "pltoff", PSEUDO_FUNC_RELOC, { 0 } },
467 { "secrel", PSEUDO_FUNC_RELOC, { 0 } },
468 { "segrel", PSEUDO_FUNC_RELOC, { 0 } },
469 { "ltv", PSEUDO_FUNC_RELOC, { 0 } },
470 { "", 0, { 0 } }, /* placeholder for FUNC_LT_FPTR_RELATIVE */
800eeca4 471
542d6675 472 /* mbtype4 constants: */
800eeca4
JW
473 { "alt", PSEUDO_FUNC_CONST, { 0xa } },
474 { "brcst", PSEUDO_FUNC_CONST, { 0x0 } },
475 { "mix", PSEUDO_FUNC_CONST, { 0x8 } },
476 { "rev", PSEUDO_FUNC_CONST, { 0xb } },
477 { "shuf", PSEUDO_FUNC_CONST, { 0x9 } },
478
542d6675 479 /* fclass constants: */
bf3ca999 480 { "nat", PSEUDO_FUNC_CONST, { 0x100 } },
800eeca4
JW
481 { "qnan", PSEUDO_FUNC_CONST, { 0x080 } },
482 { "snan", PSEUDO_FUNC_CONST, { 0x040 } },
483 { "pos", PSEUDO_FUNC_CONST, { 0x001 } },
484 { "neg", PSEUDO_FUNC_CONST, { 0x002 } },
485 { "zero", PSEUDO_FUNC_CONST, { 0x004 } },
486 { "unorm", PSEUDO_FUNC_CONST, { 0x008 } },
487 { "norm", PSEUDO_FUNC_CONST, { 0x010 } },
488 { "inf", PSEUDO_FUNC_CONST, { 0x020 } },
bf3ca999
TW
489
490 { "natval", PSEUDO_FUNC_CONST, { 0x100 } }, /* old usage */
e0c9811a 491
542d6675 492 /* unwind-related constants: */
e0c9811a
JW
493 { "svr4", PSEUDO_FUNC_CONST, { 0 } },
494 { "hpux", PSEUDO_FUNC_CONST, { 1 } },
495 { "nt", PSEUDO_FUNC_CONST, { 2 } },
496
542d6675 497 /* unwind-related registers: */
e0c9811a 498 { "priunat",PSEUDO_FUNC_REG, { REG_PRIUNAT } }
800eeca4
JW
499 };
500
542d6675 501/* 41-bit nop opcodes (one per unit): */
800eeca4
JW
502static const bfd_vma nop[IA64_NUM_UNITS] =
503 {
504 0x0000000000LL, /* NIL => break 0 */
505 0x0008000000LL, /* I-unit nop */
506 0x0008000000LL, /* M-unit nop */
507 0x4000000000LL, /* B-unit nop */
508 0x0008000000LL, /* F-unit nop */
509 0x0008000000LL, /* L-"unit" nop */
510 0x0008000000LL, /* X-unit nop */
511 };
512
513/* Can't be `const' as it's passed to input routines (which have the
514 habit of setting temporary sentinels. */
515static char special_section_name[][20] =
516 {
517 {".bss"}, {".sbss"}, {".sdata"}, {".rodata"}, {".comment"},
518 {".IA_64.unwind"}, {".IA_64.unwind_info"}
519 };
520
521/* The best template for a particular sequence of up to three
522 instructions: */
523#define N IA64_NUM_TYPES
524static unsigned char best_template[N][N][N];
525#undef N
526
527/* Resource dependencies currently in effect */
528static struct rsrc {
529 int depind; /* dependency index */
530 const struct ia64_dependency *dependency; /* actual dependency */
531 unsigned specific:1, /* is this a specific bit/regno? */
532 link_to_qp_branch:1; /* will a branch on the same QP clear it?*/
533 int index; /* specific regno/bit within dependency */
534 int note; /* optional qualifying note (0 if none) */
535#define STATE_NONE 0
536#define STATE_STOP 1
537#define STATE_SRLZ 2
538 int insn_srlz; /* current insn serialization state */
539 int data_srlz; /* current data serialization state */
540 int qp_regno; /* qualifying predicate for this usage */
541 char *file; /* what file marked this dependency */
2434f565 542 unsigned int line; /* what line marked this dependency */
800eeca4 543 struct mem_offset mem_offset; /* optional memory offset hint */
7484b8e6 544 enum { CMP_NONE, CMP_OR, CMP_AND } cmp_type; /* OR or AND compare? */
800eeca4
JW
545 int path; /* corresponding code entry index */
546} *regdeps = NULL;
547static int regdepslen = 0;
548static int regdepstotlen = 0;
549static const char *dv_mode[] = { "RAW", "WAW", "WAR" };
550static const char *dv_sem[] = { "none", "implied", "impliedf",
139368c9 551 "data", "instr", "specific", "stop", "other" };
7484b8e6 552static const char *dv_cmp_type[] = { "none", "OR", "AND" };
800eeca4
JW
553
554/* Current state of PR mutexation */
555static struct qpmutex {
556 valueT prmask;
557 int path;
558} *qp_mutexes = NULL; /* QP mutex bitmasks */
559static int qp_mutexeslen = 0;
560static int qp_mutexestotlen = 0;
197865e8 561static valueT qp_safe_across_calls = 0;
800eeca4
JW
562
563/* Current state of PR implications */
564static struct qp_imply {
565 unsigned p1:6;
566 unsigned p2:6;
567 unsigned p2_branched:1;
568 int path;
569} *qp_implies = NULL;
570static int qp_implieslen = 0;
571static int qp_impliestotlen = 0;
572
197865e8
KH
573/* Keep track of static GR values so that indirect register usage can
574 sometimes be tracked. */
800eeca4
JW
575static struct gr {
576 unsigned known:1;
577 int path;
578 valueT value;
2434f565 579} gr_values[128] = {{ 1, 0, 0 }};
800eeca4
JW
580
581/* These are the routines required to output the various types of
582 unwind records. */
583
f5a30c2e
JW
584/* A slot_number is a frag address plus the slot index (0-2). We use the
585 frag address here so that if there is a section switch in the middle of
586 a function, then instructions emitted to a different section are not
587 counted. Since there may be more than one frag for a function, this
588 means we also need to keep track of which frag this address belongs to
589 so we can compute inter-frag distances. This also nicely solves the
590 problem with nops emitted for align directives, which can't easily be
591 counted, but can easily be derived from frag sizes. */
592
800eeca4
JW
593typedef struct unw_rec_list {
594 unwind_record r;
e0c9811a 595 unsigned long slot_number;
f5a30c2e 596 fragS *slot_frag;
800eeca4
JW
597 struct unw_rec_list *next;
598} unw_rec_list;
599
2434f565 600#define SLOT_NUM_NOT_SET (unsigned)-1
800eeca4 601
e0c9811a
JW
602static struct
603{
604 unsigned long next_slot_number;
f5a30c2e 605 fragS *next_slot_frag;
e0c9811a
JW
606
607 /* Maintain a list of unwind entries for the current function. */
608 unw_rec_list *list;
609 unw_rec_list *tail;
800eeca4 610
e0c9811a
JW
611 /* Any unwind entires that should be attached to the current slot
612 that an insn is being constructed for. */
613 unw_rec_list *current_entry;
800eeca4 614
e0c9811a
JW
615 /* These are used to create the unwind table entry for this function. */
616 symbolS *proc_start;
617 symbolS *proc_end;
618 symbolS *info; /* pointer to unwind info */
619 symbolS *personality_routine;
800eeca4 620
e0c9811a
JW
621 /* TRUE if processing unwind directives in a prologue region. */
622 int prologue;
30d25259 623 int prologue_mask;
e0c9811a 624} unwind;
800eeca4
JW
625
626typedef void (*vbyte_func) PARAMS ((int, char *, char *));
627
542d6675 628/* Forward delarations: */
800eeca4
JW
629static int ar_is_in_integer_unit PARAMS ((int regnum));
630static void set_section PARAMS ((char *name));
631static unsigned int set_regstack PARAMS ((unsigned int, unsigned int,
632 unsigned int, unsigned int));
633static void dot_radix PARAMS ((int));
634static void dot_special_section PARAMS ((int));
635static void dot_proc PARAMS ((int));
636static void dot_fframe PARAMS ((int));
637static void dot_vframe PARAMS ((int));
150f24a2
JW
638static void dot_vframesp PARAMS ((int));
639static void dot_vframepsp PARAMS ((int));
800eeca4
JW
640static void dot_save PARAMS ((int));
641static void dot_restore PARAMS ((int));
150f24a2
JW
642static void dot_restorereg PARAMS ((int));
643static void dot_restorereg_p PARAMS ((int));
800eeca4
JW
644static void dot_handlerdata PARAMS ((int));
645static void dot_unwentry PARAMS ((int));
646static void dot_altrp PARAMS ((int));
e0c9811a 647static void dot_savemem PARAMS ((int));
800eeca4
JW
648static void dot_saveg PARAMS ((int));
649static void dot_savef PARAMS ((int));
650static void dot_saveb PARAMS ((int));
651static void dot_savegf PARAMS ((int));
652static void dot_spill PARAMS ((int));
150f24a2
JW
653static void dot_spillreg PARAMS ((int));
654static void dot_spillmem PARAMS ((int));
655static void dot_spillreg_p PARAMS ((int));
656static void dot_spillmem_p PARAMS ((int));
657static void dot_label_state PARAMS ((int));
658static void dot_copy_state PARAMS ((int));
800eeca4
JW
659static void dot_unwabi PARAMS ((int));
660static void dot_personality PARAMS ((int));
661static void dot_body PARAMS ((int));
662static void dot_prologue PARAMS ((int));
663static void dot_endp PARAMS ((int));
664static void dot_template PARAMS ((int));
665static void dot_regstk PARAMS ((int));
666static void dot_rot PARAMS ((int));
667static void dot_byteorder PARAMS ((int));
668static void dot_psr PARAMS ((int));
669static void dot_alias PARAMS ((int));
670static void dot_ln PARAMS ((int));
671static char *parse_section_name PARAMS ((void));
672static void dot_xdata PARAMS ((int));
673static void stmt_float_cons PARAMS ((int));
674static void stmt_cons_ua PARAMS ((int));
675static void dot_xfloat_cons PARAMS ((int));
676static void dot_xstringer PARAMS ((int));
677static void dot_xdata_ua PARAMS ((int));
678static void dot_xfloat_cons_ua PARAMS ((int));
150f24a2 679static void print_prmask PARAMS ((valueT mask));
800eeca4
JW
680static void dot_pred_rel PARAMS ((int));
681static void dot_reg_val PARAMS ((int));
682static void dot_dv_mode PARAMS ((int));
683static void dot_entry PARAMS ((int));
684static void dot_mem_offset PARAMS ((int));
e0c9811a 685static void add_unwind_entry PARAMS((unw_rec_list *ptr));
542d6675 686static symbolS *declare_register PARAMS ((const char *name, int regnum));
800eeca4
JW
687static void declare_register_set PARAMS ((const char *, int, int));
688static unsigned int operand_width PARAMS ((enum ia64_opnd));
689static int operand_match PARAMS ((const struct ia64_opcode *idesc,
690 int index, expressionS *e));
691static int parse_operand PARAMS ((expressionS *e));
692static struct ia64_opcode * parse_operands PARAMS ((struct ia64_opcode *));
693static void build_insn PARAMS ((struct slot *, bfd_vma *));
694static void emit_one_bundle PARAMS ((void));
695static void fix_insn PARAMS ((fixS *, const struct ia64_operand *, valueT));
197865e8 696static bfd_reloc_code_real_type ia64_gen_real_reloc_type PARAMS ((struct symbol *sym,
800eeca4
JW
697 bfd_reloc_code_real_type r_type));
698static void insn_group_break PARAMS ((int, int, int));
150f24a2
JW
699static void mark_resource PARAMS ((struct ia64_opcode *, const struct ia64_dependency *,
700 struct rsrc *, int depind, int path));
800eeca4
JW
701static void add_qp_mutex PARAMS((valueT mask));
702static void add_qp_imply PARAMS((int p1, int p2));
703static void clear_qp_branch_flag PARAMS((valueT mask));
704static void clear_qp_mutex PARAMS((valueT mask));
705static void clear_qp_implies PARAMS((valueT p1_mask, valueT p2_mask));
706static void clear_register_values PARAMS ((void));
707static void print_dependency PARAMS ((const char *action, int depind));
150f24a2
JW
708static void instruction_serialization PARAMS ((void));
709static void data_serialization PARAMS ((void));
710static void remove_marked_resource PARAMS ((struct rsrc *));
800eeca4 711static int is_conditional_branch PARAMS ((struct ia64_opcode *));
150f24a2 712static int is_taken_branch PARAMS ((struct ia64_opcode *));
800eeca4 713static int is_interruption_or_rfi PARAMS ((struct ia64_opcode *));
150f24a2
JW
714static int depends_on PARAMS ((int, struct ia64_opcode *));
715static int specify_resource PARAMS ((const struct ia64_dependency *,
716 struct ia64_opcode *, int, struct rsrc [], int, int));
800eeca4
JW
717static int check_dv PARAMS((struct ia64_opcode *idesc));
718static void check_dependencies PARAMS((struct ia64_opcode *));
719static void mark_resources PARAMS((struct ia64_opcode *));
720static void update_dependencies PARAMS((struct ia64_opcode *));
721static void note_register_values PARAMS((struct ia64_opcode *));
150f24a2
JW
722static int qp_mutex PARAMS ((int, int, int));
723static int resources_match PARAMS ((struct rsrc *, struct ia64_opcode *, int, int, int));
724static void output_vbyte_mem PARAMS ((int, char *, char *));
725static void count_output PARAMS ((int, char *, char *));
726static void output_R1_format PARAMS ((vbyte_func, unw_record_type, int));
727static void output_R2_format PARAMS ((vbyte_func, int, int, unsigned long));
800eeca4 728static void output_R3_format PARAMS ((vbyte_func, unw_record_type, unsigned long));
150f24a2
JW
729static void output_P1_format PARAMS ((vbyte_func, int));
730static void output_P2_format PARAMS ((vbyte_func, int, int));
731static void output_P3_format PARAMS ((vbyte_func, unw_record_type, int));
732static void output_P4_format PARAMS ((vbyte_func, unsigned char *, unsigned long));
733static void output_P5_format PARAMS ((vbyte_func, int, unsigned long));
734static void output_P6_format PARAMS ((vbyte_func, unw_record_type, int));
735static void output_P7_format PARAMS ((vbyte_func, unw_record_type, unsigned long, unsigned long));
736static void output_P8_format PARAMS ((vbyte_func, unw_record_type, unsigned long));
737static void output_P9_format PARAMS ((vbyte_func, int, int));
738static void output_P10_format PARAMS ((vbyte_func, int, int));
739static void output_B1_format PARAMS ((vbyte_func, unw_record_type, unsigned long));
740static void output_B2_format PARAMS ((vbyte_func, unsigned long, unsigned long));
800eeca4
JW
741static void output_B3_format PARAMS ((vbyte_func, unsigned long, unsigned long));
742static void output_B4_format PARAMS ((vbyte_func, unw_record_type, unsigned long));
150f24a2
JW
743static char format_ab_reg PARAMS ((int, int));
744static void output_X1_format PARAMS ((vbyte_func, unw_record_type, int, int, unsigned long,
745 unsigned long));
746static void output_X2_format PARAMS ((vbyte_func, int, int, int, int, int, unsigned long));
747static void output_X3_format PARAMS ((vbyte_func, unw_record_type, int, int, int, unsigned long,
748 unsigned long));
749static void output_X4_format PARAMS ((vbyte_func, int, int, int, int, int, int, unsigned long));
750static void free_list_records PARAMS ((unw_rec_list *));
751static unw_rec_list *output_prologue PARAMS ((void));
752static unw_rec_list *output_prologue_gr PARAMS ((unsigned int, unsigned int));
753static unw_rec_list *output_body PARAMS ((void));
754static unw_rec_list *output_mem_stack_f PARAMS ((unsigned int));
755static unw_rec_list *output_mem_stack_v PARAMS ((void));
756static unw_rec_list *output_psp_gr PARAMS ((unsigned int));
757static unw_rec_list *output_psp_sprel PARAMS ((unsigned int));
758static unw_rec_list *output_rp_when PARAMS ((void));
759static unw_rec_list *output_rp_gr PARAMS ((unsigned int));
760static unw_rec_list *output_rp_br PARAMS ((unsigned int));
761static unw_rec_list *output_rp_psprel PARAMS ((unsigned int));
762static unw_rec_list *output_rp_sprel PARAMS ((unsigned int));
763static unw_rec_list *output_pfs_when PARAMS ((void));
764static unw_rec_list *output_pfs_gr PARAMS ((unsigned int));
765static unw_rec_list *output_pfs_psprel PARAMS ((unsigned int));
766static unw_rec_list *output_pfs_sprel PARAMS ((unsigned int));
767static unw_rec_list *output_preds_when PARAMS ((void));
768static unw_rec_list *output_preds_gr PARAMS ((unsigned int));
769static unw_rec_list *output_preds_psprel PARAMS ((unsigned int));
770static unw_rec_list *output_preds_sprel PARAMS ((unsigned int));
771static unw_rec_list *output_fr_mem PARAMS ((unsigned int));
772static unw_rec_list *output_frgr_mem PARAMS ((unsigned int, unsigned int));
773static unw_rec_list *output_gr_gr PARAMS ((unsigned int, unsigned int));
774static unw_rec_list *output_gr_mem PARAMS ((unsigned int));
775static unw_rec_list *output_br_mem PARAMS ((unsigned int));
776static unw_rec_list *output_br_gr PARAMS ((unsigned int, unsigned int));
777static unw_rec_list *output_spill_base PARAMS ((unsigned int));
778static unw_rec_list *output_unat_when PARAMS ((void));
779static unw_rec_list *output_unat_gr PARAMS ((unsigned int));
780static unw_rec_list *output_unat_psprel PARAMS ((unsigned int));
781static unw_rec_list *output_unat_sprel PARAMS ((unsigned int));
782static unw_rec_list *output_lc_when PARAMS ((void));
783static unw_rec_list *output_lc_gr PARAMS ((unsigned int));
784static unw_rec_list *output_lc_psprel PARAMS ((unsigned int));
785static unw_rec_list *output_lc_sprel PARAMS ((unsigned int));
786static unw_rec_list *output_fpsr_when PARAMS ((void));
787static unw_rec_list *output_fpsr_gr PARAMS ((unsigned int));
788static unw_rec_list *output_fpsr_psprel PARAMS ((unsigned int));
789static unw_rec_list *output_fpsr_sprel PARAMS ((unsigned int));
790static unw_rec_list *output_priunat_when_gr PARAMS ((void));
791static unw_rec_list *output_priunat_when_mem PARAMS ((void));
792static unw_rec_list *output_priunat_gr PARAMS ((unsigned int));
793static unw_rec_list *output_priunat_psprel PARAMS ((unsigned int));
794static unw_rec_list *output_priunat_sprel PARAMS ((unsigned int));
795static unw_rec_list *output_bsp_when PARAMS ((void));
796static unw_rec_list *output_bsp_gr PARAMS ((unsigned int));
797static unw_rec_list *output_bsp_psprel PARAMS ((unsigned int));
798static unw_rec_list *output_bsp_sprel PARAMS ((unsigned int));
799static unw_rec_list *output_bspstore_when PARAMS ((void));
800static unw_rec_list *output_bspstore_gr PARAMS ((unsigned int));
801static unw_rec_list *output_bspstore_psprel PARAMS ((unsigned int));
802static unw_rec_list *output_bspstore_sprel PARAMS ((unsigned int));
803static unw_rec_list *output_rnat_when PARAMS ((void));
804static unw_rec_list *output_rnat_gr PARAMS ((unsigned int));
805static unw_rec_list *output_rnat_psprel PARAMS ((unsigned int));
806static unw_rec_list *output_rnat_sprel PARAMS ((unsigned int));
807static unw_rec_list *output_unwabi PARAMS ((unsigned long, unsigned long));
808static unw_rec_list *output_epilogue PARAMS ((unsigned long));
809static unw_rec_list *output_label_state PARAMS ((unsigned long));
810static unw_rec_list *output_copy_state PARAMS ((unsigned long));
811static unw_rec_list *output_spill_psprel PARAMS ((unsigned int, unsigned int, unsigned int));
812static unw_rec_list *output_spill_sprel PARAMS ((unsigned int, unsigned int, unsigned int));
813static unw_rec_list *output_spill_psprel_p PARAMS ((unsigned int, unsigned int, unsigned int,
814 unsigned int));
815static unw_rec_list *output_spill_sprel_p PARAMS ((unsigned int, unsigned int, unsigned int,
816 unsigned int));
817static unw_rec_list *output_spill_reg PARAMS ((unsigned int, unsigned int, unsigned int,
818 unsigned int));
819static unw_rec_list *output_spill_reg_p PARAMS ((unsigned int, unsigned int, unsigned int,
820 unsigned int, unsigned int));
821static void process_one_record PARAMS ((unw_rec_list *, vbyte_func));
822static void process_unw_records PARAMS ((unw_rec_list *, vbyte_func));
823static int calc_record_size PARAMS ((unw_rec_list *));
824static void set_imask PARAMS ((unw_rec_list *, unsigned long, unsigned long, unsigned int));
825static int count_bits PARAMS ((unsigned long));
f5a30c2e
JW
826static unsigned long slot_index PARAMS ((unsigned long, fragS *,
827 unsigned long, fragS *));
150f24a2
JW
828static void fixup_unw_records PARAMS ((unw_rec_list *));
829static int output_unw_records PARAMS ((unw_rec_list *, void **));
830static int convert_expr_to_ab_reg PARAMS ((expressionS *, unsigned int *, unsigned int *));
831static int convert_expr_to_xy_reg PARAMS ((expressionS *, unsigned int *, unsigned int *));
832static int generate_unwind_image PARAMS ((void));
800eeca4
JW
833
834/* Determine if application register REGNUM resides in the integer
835 unit (as opposed to the memory unit). */
836static int
837ar_is_in_integer_unit (reg)
838 int reg;
839{
840 reg -= REG_AR;
841
842 return (reg == 64 /* pfs */
843 || reg == 65 /* lc */
844 || reg == 66 /* ec */
845 /* ??? ias accepts and puts these in the integer unit. */
846 || (reg >= 112 && reg <= 127));
847}
848
849/* Switch to section NAME and create section if necessary. It's
850 rather ugly that we have to manipulate input_line_pointer but I
851 don't see any other way to accomplish the same thing without
852 changing obj-elf.c (which may be the Right Thing, in the end). */
853static void
854set_section (name)
855 char *name;
856{
857 char *saved_input_line_pointer;
858
859 saved_input_line_pointer = input_line_pointer;
860 input_line_pointer = name;
861 obj_elf_section (0);
862 input_line_pointer = saved_input_line_pointer;
863}
864
865/* Map SHF_IA_64_SHORT to SEC_SMALL_DATA. */
866
867flagword
868ia64_elf_section_flags (flags, attr, type)
869 flagword flags;
2434f565 870 int attr, type ATTRIBUTE_UNUSED;
800eeca4
JW
871{
872 if (attr & SHF_IA_64_SHORT)
873 flags |= SEC_SMALL_DATA;
874 return flags;
875}
876
877static unsigned int
878set_regstack (ins, locs, outs, rots)
879 unsigned int ins, locs, outs, rots;
880{
542d6675
KH
881 /* Size of frame. */
882 unsigned int sof;
800eeca4
JW
883
884 sof = ins + locs + outs;
885 if (sof > 96)
886 {
887 as_bad ("Size of frame exceeds maximum of 96 registers");
888 return 0;
889 }
890 if (rots > sof)
891 {
892 as_warn ("Size of rotating registers exceeds frame size");
893 return 0;
894 }
895 md.in.base = REG_GR + 32;
896 md.loc.base = md.in.base + ins;
897 md.out.base = md.loc.base + locs;
898
899 md.in.num_regs = ins;
900 md.loc.num_regs = locs;
901 md.out.num_regs = outs;
902 md.rot.num_regs = rots;
903 return sof;
904}
905
906void
907ia64_flush_insns ()
908{
909 struct label_fix *lfix;
910 segT saved_seg;
911 subsegT saved_subseg;
912
913 if (!md.last_text_seg)
914 return;
915
916 saved_seg = now_seg;
917 saved_subseg = now_subseg;
918
919 subseg_set (md.last_text_seg, 0);
920
921 while (md.num_slots_in_use > 0)
922 emit_one_bundle (); /* force out queued instructions */
923
924 /* In case there are labels following the last instruction, resolve
542d6675 925 those now: */
800eeca4
JW
926 for (lfix = CURR_SLOT.label_fixups; lfix; lfix = lfix->next)
927 {
928 S_SET_VALUE (lfix->sym, frag_now_fix ());
929 symbol_set_frag (lfix->sym, frag_now);
930 }
931 CURR_SLOT.label_fixups = 0;
f1bcba5b
JW
932 for (lfix = CURR_SLOT.tag_fixups; lfix; lfix = lfix->next)
933 {
934 S_SET_VALUE (lfix->sym, frag_now_fix ());
935 symbol_set_frag (lfix->sym, frag_now);
936 }
937 CURR_SLOT.tag_fixups = 0;
800eeca4
JW
938
939 subseg_set (saved_seg, saved_subseg);
f1bcba5b
JW
940
941 if (md.qp.X_op == O_register)
942 as_bad ("qualifying predicate not followed by instruction");
800eeca4
JW
943}
944
945void
946ia64_do_align (nbytes)
947 int nbytes;
948{
949 char *saved_input_line_pointer = input_line_pointer;
950
951 input_line_pointer = "";
952 s_align_bytes (nbytes);
953 input_line_pointer = saved_input_line_pointer;
954}
955
956void
957ia64_cons_align (nbytes)
958 int nbytes;
959{
960 if (md.auto_align)
961 {
962 char *saved_input_line_pointer = input_line_pointer;
963 input_line_pointer = "";
964 s_align_bytes (nbytes);
965 input_line_pointer = saved_input_line_pointer;
966 }
967}
968
969/* Output COUNT bytes to a memory location. */
970static unsigned char *vbyte_mem_ptr = NULL;
971
197865e8 972void
800eeca4
JW
973output_vbyte_mem (count, ptr, comment)
974 int count;
975 char *ptr;
2434f565 976 char *comment ATTRIBUTE_UNUSED;
800eeca4
JW
977{
978 int x;
979 if (vbyte_mem_ptr == NULL)
980 abort ();
981
982 if (count == 0)
983 return;
984 for (x = 0; x < count; x++)
985 *(vbyte_mem_ptr++) = ptr[x];
986}
987
988/* Count the number of bytes required for records. */
989static int vbyte_count = 0;
197865e8 990void
800eeca4
JW
991count_output (count, ptr, comment)
992 int count;
2434f565
JW
993 char *ptr ATTRIBUTE_UNUSED;
994 char *comment ATTRIBUTE_UNUSED;
800eeca4
JW
995{
996 vbyte_count += count;
997}
998
999static void
1000output_R1_format (f, rtype, rlen)
1001 vbyte_func f;
1002 unw_record_type rtype;
1003 int rlen;
1004{
e0c9811a 1005 int r = 0;
800eeca4
JW
1006 char byte;
1007 if (rlen > 0x1f)
1008 {
1009 output_R3_format (f, rtype, rlen);
1010 return;
1011 }
197865e8 1012
e0c9811a
JW
1013 if (rtype == body)
1014 r = 1;
1015 else if (rtype != prologue)
1016 as_bad ("record type is not valid");
1017
800eeca4
JW
1018 byte = UNW_R1 | (r << 5) | (rlen & 0x1f);
1019 (*f) (1, &byte, NULL);
1020}
1021
1022static void
1023output_R2_format (f, mask, grsave, rlen)
1024 vbyte_func f;
1025 int mask, grsave;
1026 unsigned long rlen;
1027{
1028 char bytes[20];
1029 int count = 2;
1030 mask = (mask & 0x0f);
1031 grsave = (grsave & 0x7f);
1032
1033 bytes[0] = (UNW_R2 | (mask >> 1));
1034 bytes[1] = (((mask & 0x01) << 7) | grsave);
1035 count += output_leb128 (bytes + 2, rlen, 0);
1036 (*f) (count, bytes, NULL);
1037}
1038
1039static void
1040output_R3_format (f, rtype, rlen)
1041 vbyte_func f;
1042 unw_record_type rtype;
1043 unsigned long rlen;
1044{
e0c9811a 1045 int r = 0, count;
800eeca4
JW
1046 char bytes[20];
1047 if (rlen <= 0x1f)
1048 {
1049 output_R1_format (f, rtype, rlen);
1050 return;
1051 }
197865e8 1052
e0c9811a
JW
1053 if (rtype == body)
1054 r = 1;
1055 else if (rtype != prologue)
1056 as_bad ("record type is not valid");
800eeca4
JW
1057 bytes[0] = (UNW_R3 | r);
1058 count = output_leb128 (bytes + 1, rlen, 0);
1059 (*f) (count + 1, bytes, NULL);
1060}
1061
1062static void
1063output_P1_format (f, brmask)
1064 vbyte_func f;
1065 int brmask;
1066{
1067 char byte;
1068 byte = UNW_P1 | (brmask & 0x1f);
1069 (*f) (1, &byte, NULL);
1070}
1071
1072static void
1073output_P2_format (f, brmask, gr)
1074 vbyte_func f;
1075 int brmask;
1076 int gr;
1077{
1078 char bytes[2];
1079 brmask = (brmask & 0x1f);
1080 bytes[0] = UNW_P2 | (brmask >> 1);
1081 bytes[1] = (((brmask & 1) << 7) | gr);
1082 (*f) (2, bytes, NULL);
1083}
1084
1085static void
1086output_P3_format (f, rtype, reg)
1087 vbyte_func f;
1088 unw_record_type rtype;
1089 int reg;
1090{
1091 char bytes[2];
e0c9811a 1092 int r = 0;
800eeca4
JW
1093 reg = (reg & 0x7f);
1094 switch (rtype)
542d6675 1095 {
800eeca4
JW
1096 case psp_gr:
1097 r = 0;
1098 break;
1099 case rp_gr:
1100 r = 1;
1101 break;
1102 case pfs_gr:
1103 r = 2;
1104 break;
1105 case preds_gr:
1106 r = 3;
1107 break;
1108 case unat_gr:
1109 r = 4;
1110 break;
1111 case lc_gr:
1112 r = 5;
1113 break;
1114 case rp_br:
1115 r = 6;
1116 break;
1117 case rnat_gr:
1118 r = 7;
1119 break;
1120 case bsp_gr:
1121 r = 8;
1122 break;
1123 case bspstore_gr:
1124 r = 9;
1125 break;
1126 case fpsr_gr:
1127 r = 10;
1128 break;
1129 case priunat_gr:
1130 r = 11;
1131 break;
1132 default:
1133 as_bad ("Invalid record type for P3 format.");
542d6675 1134 }
800eeca4
JW
1135 bytes[0] = (UNW_P3 | (r >> 1));
1136 bytes[1] = (((r & 1) << 7) | reg);
1137 (*f) (2, bytes, NULL);
1138}
1139
800eeca4 1140static void
e0c9811a 1141output_P4_format (f, imask, imask_size)
800eeca4 1142 vbyte_func f;
e0c9811a
JW
1143 unsigned char *imask;
1144 unsigned long imask_size;
800eeca4 1145{
e0c9811a
JW
1146 imask[0] = UNW_P4;
1147 (*f) (imask_size, imask, NULL);
800eeca4
JW
1148}
1149
1150static void
1151output_P5_format (f, grmask, frmask)
1152 vbyte_func f;
1153 int grmask;
1154 unsigned long frmask;
1155{
1156 char bytes[4];
1157 grmask = (grmask & 0x0f);
1158
1159 bytes[0] = UNW_P5;
1160 bytes[1] = ((grmask << 4) | ((frmask & 0x000f0000) >> 16));
1161 bytes[2] = ((frmask & 0x0000ff00) >> 8);
1162 bytes[3] = (frmask & 0x000000ff);
1163 (*f) (4, bytes, NULL);
1164}
1165
1166static void
1167output_P6_format (f, rtype, rmask)
1168 vbyte_func f;
1169 unw_record_type rtype;
1170 int rmask;
1171{
1172 char byte;
e0c9811a 1173 int r = 0;
197865e8 1174
e0c9811a
JW
1175 if (rtype == gr_mem)
1176 r = 1;
1177 else if (rtype != fr_mem)
1178 as_bad ("Invalid record type for format P6");
800eeca4
JW
1179 byte = (UNW_P6 | (r << 4) | (rmask & 0x0f));
1180 (*f) (1, &byte, NULL);
1181}
1182
1183static void
1184output_P7_format (f, rtype, w1, w2)
1185 vbyte_func f;
1186 unw_record_type rtype;
1187 unsigned long w1;
1188 unsigned long w2;
1189{
1190 char bytes[20];
1191 int count = 1;
e0c9811a 1192 int r = 0;
800eeca4
JW
1193 count += output_leb128 (bytes + 1, w1, 0);
1194 switch (rtype)
1195 {
542d6675
KH
1196 case mem_stack_f:
1197 r = 0;
1198 count += output_leb128 (bytes + count, w2 >> 4, 0);
1199 break;
1200 case mem_stack_v:
1201 r = 1;
1202 break;
1203 case spill_base:
1204 r = 2;
1205 break;
1206 case psp_sprel:
1207 r = 3;
1208 break;
1209 case rp_when:
1210 r = 4;
1211 break;
1212 case rp_psprel:
1213 r = 5;
1214 break;
1215 case pfs_when:
1216 r = 6;
1217 break;
1218 case pfs_psprel:
1219 r = 7;
1220 break;
1221 case preds_when:
1222 r = 8;
1223 break;
1224 case preds_psprel:
1225 r = 9;
1226 break;
1227 case lc_when:
1228 r = 10;
1229 break;
1230 case lc_psprel:
1231 r = 11;
1232 break;
1233 case unat_when:
1234 r = 12;
1235 break;
1236 case unat_psprel:
1237 r = 13;
1238 break;
1239 case fpsr_when:
1240 r = 14;
1241 break;
1242 case fpsr_psprel:
1243 r = 15;
1244 break;
1245 default:
1246 break;
800eeca4
JW
1247 }
1248 bytes[0] = (UNW_P7 | r);
1249 (*f) (count, bytes, NULL);
1250}
1251
1252static void
1253output_P8_format (f, rtype, t)
1254 vbyte_func f;
1255 unw_record_type rtype;
1256 unsigned long t;
1257{
1258 char bytes[20];
e0c9811a 1259 int r = 0;
800eeca4
JW
1260 int count = 2;
1261 bytes[0] = UNW_P8;
1262 switch (rtype)
1263 {
542d6675
KH
1264 case rp_sprel:
1265 r = 1;
1266 break;
1267 case pfs_sprel:
1268 r = 2;
1269 break;
1270 case preds_sprel:
1271 r = 3;
1272 break;
1273 case lc_sprel:
1274 r = 4;
1275 break;
1276 case unat_sprel:
1277 r = 5;
1278 break;
1279 case fpsr_sprel:
1280 r = 6;
1281 break;
1282 case bsp_when:
1283 r = 7;
1284 break;
1285 case bsp_psprel:
1286 r = 8;
1287 break;
1288 case bsp_sprel:
1289 r = 9;
1290 break;
1291 case bspstore_when:
1292 r = 10;
1293 break;
1294 case bspstore_psprel:
1295 r = 11;
1296 break;
1297 case bspstore_sprel:
1298 r = 12;
1299 break;
1300 case rnat_when:
1301 r = 13;
1302 break;
1303 case rnat_psprel:
1304 r = 14;
1305 break;
1306 case rnat_sprel:
1307 r = 15;
1308 break;
1309 case priunat_when_gr:
1310 r = 16;
1311 break;
1312 case priunat_psprel:
1313 r = 17;
1314 break;
1315 case priunat_sprel:
1316 r = 18;
1317 break;
1318 case priunat_when_mem:
1319 r = 19;
1320 break;
1321 default:
1322 break;
800eeca4
JW
1323 }
1324 bytes[1] = r;
1325 count += output_leb128 (bytes + 2, t, 0);
1326 (*f) (count, bytes, NULL);
1327}
1328
1329static void
1330output_P9_format (f, grmask, gr)
1331 vbyte_func f;
1332 int grmask;
1333 int gr;
1334{
1335 char bytes[3];
1336 bytes[0] = UNW_P9;
1337 bytes[1] = (grmask & 0x0f);
1338 bytes[2] = (gr & 0x7f);
1339 (*f) (3, bytes, NULL);
1340}
1341
1342static void
1343output_P10_format (f, abi, context)
1344 vbyte_func f;
1345 int abi;
1346 int context;
1347{
1348 char bytes[3];
1349 bytes[0] = UNW_P10;
1350 bytes[1] = (abi & 0xff);
1351 bytes[2] = (context & 0xff);
1352 (*f) (3, bytes, NULL);
1353}
1354
1355static void
1356output_B1_format (f, rtype, label)
1357 vbyte_func f;
1358 unw_record_type rtype;
1359 unsigned long label;
1360{
1361 char byte;
e0c9811a 1362 int r = 0;
197865e8 1363 if (label > 0x1f)
800eeca4
JW
1364 {
1365 output_B4_format (f, rtype, label);
1366 return;
1367 }
e0c9811a
JW
1368 if (rtype == copy_state)
1369 r = 1;
1370 else if (rtype != label_state)
1371 as_bad ("Invalid record type for format B1");
800eeca4
JW
1372
1373 byte = (UNW_B1 | (r << 5) | (label & 0x1f));
1374 (*f) (1, &byte, NULL);
1375}
1376
1377static void
1378output_B2_format (f, ecount, t)
1379 vbyte_func f;
1380 unsigned long ecount;
1381 unsigned long t;
1382{
1383 char bytes[20];
1384 int count = 1;
1385 if (ecount > 0x1f)
1386 {
1387 output_B3_format (f, ecount, t);
1388 return;
1389 }
1390 bytes[0] = (UNW_B2 | (ecount & 0x1f));
1391 count += output_leb128 (bytes + 1, t, 0);
1392 (*f) (count, bytes, NULL);
1393}
1394
1395static void
1396output_B3_format (f, ecount, t)
1397 vbyte_func f;
1398 unsigned long ecount;
1399 unsigned long t;
1400{
1401 char bytes[20];
1402 int count = 1;
1403 if (ecount <= 0x1f)
1404 {
1405 output_B2_format (f, ecount, t);
1406 return;
1407 }
1408 bytes[0] = UNW_B3;
1409 count += output_leb128 (bytes + 1, t, 0);
1410 count += output_leb128 (bytes + count, ecount, 0);
1411 (*f) (count, bytes, NULL);
1412}
1413
1414static void
1415output_B4_format (f, rtype, label)
1416 vbyte_func f;
1417 unw_record_type rtype;
1418 unsigned long label;
1419{
1420 char bytes[20];
e0c9811a 1421 int r = 0;
800eeca4 1422 int count = 1;
197865e8 1423 if (label <= 0x1f)
800eeca4
JW
1424 {
1425 output_B1_format (f, rtype, label);
1426 return;
1427 }
197865e8 1428
e0c9811a
JW
1429 if (rtype == copy_state)
1430 r = 1;
1431 else if (rtype != label_state)
1432 as_bad ("Invalid record type for format B1");
800eeca4
JW
1433
1434 bytes[0] = (UNW_B4 | (r << 3));
1435 count += output_leb128 (bytes + 1, label, 0);
1436 (*f) (count, bytes, NULL);
1437}
1438
1439static char
e0c9811a 1440format_ab_reg (ab, reg)
542d6675
KH
1441 int ab;
1442 int reg;
800eeca4
JW
1443{
1444 int ret;
e0c9811a 1445 ab = (ab & 3);
800eeca4 1446 reg = (reg & 0x1f);
e0c9811a 1447 ret = (ab << 5) | reg;
800eeca4
JW
1448 return ret;
1449}
1450
1451static void
e0c9811a 1452output_X1_format (f, rtype, ab, reg, t, w1)
800eeca4
JW
1453 vbyte_func f;
1454 unw_record_type rtype;
e0c9811a 1455 int ab, reg;
800eeca4
JW
1456 unsigned long t;
1457 unsigned long w1;
1458{
1459 char bytes[20];
e0c9811a 1460 int r = 0;
800eeca4
JW
1461 int count = 2;
1462 bytes[0] = UNW_X1;
197865e8 1463
e0c9811a
JW
1464 if (rtype == spill_sprel)
1465 r = 1;
1466 else if (rtype != spill_psprel)
1467 as_bad ("Invalid record type for format X1");
1468 bytes[1] = ((r << 7) | format_ab_reg (ab, reg));
800eeca4
JW
1469 count += output_leb128 (bytes + 2, t, 0);
1470 count += output_leb128 (bytes + count, w1, 0);
1471 (*f) (count, bytes, NULL);
1472}
1473
1474static void
e0c9811a 1475output_X2_format (f, ab, reg, x, y, treg, t)
800eeca4 1476 vbyte_func f;
e0c9811a 1477 int ab, reg;
800eeca4
JW
1478 int x, y, treg;
1479 unsigned long t;
1480{
1481 char bytes[20];
800eeca4
JW
1482 int count = 3;
1483 bytes[0] = UNW_X2;
e0c9811a 1484 bytes[1] = (((x & 1) << 7) | format_ab_reg (ab, reg));
800eeca4
JW
1485 bytes[2] = (((y & 1) << 7) | (treg & 0x7f));
1486 count += output_leb128 (bytes + 3, t, 0);
1487 (*f) (count, bytes, NULL);
1488}
1489
1490static void
e0c9811a 1491output_X3_format (f, rtype, qp, ab, reg, t, w1)
800eeca4
JW
1492 vbyte_func f;
1493 unw_record_type rtype;
1494 int qp;
e0c9811a 1495 int ab, reg;
800eeca4
JW
1496 unsigned long t;
1497 unsigned long w1;
1498{
1499 char bytes[20];
e0c9811a 1500 int r = 0;
800eeca4 1501 int count = 3;
e0c9811a
JW
1502 bytes[0] = UNW_X3;
1503
1504 if (rtype == spill_sprel_p)
1505 r = 1;
1506 else if (rtype != spill_psprel_p)
1507 as_bad ("Invalid record type for format X3");
800eeca4 1508 bytes[1] = ((r << 7) | (qp & 0x3f));
e0c9811a 1509 bytes[2] = format_ab_reg (ab, reg);
800eeca4
JW
1510 count += output_leb128 (bytes + 3, t, 0);
1511 count += output_leb128 (bytes + count, w1, 0);
1512 (*f) (count, bytes, NULL);
1513}
1514
1515static void
e0c9811a 1516output_X4_format (f, qp, ab, reg, x, y, treg, t)
800eeca4
JW
1517 vbyte_func f;
1518 int qp;
e0c9811a 1519 int ab, reg;
800eeca4
JW
1520 int x, y, treg;
1521 unsigned long t;
1522{
1523 char bytes[20];
800eeca4 1524 int count = 4;
e0c9811a 1525 bytes[0] = UNW_X4;
800eeca4 1526 bytes[1] = (qp & 0x3f);
e0c9811a 1527 bytes[2] = (((x & 1) << 7) | format_ab_reg (ab, reg));
800eeca4
JW
1528 bytes[3] = (((y & 1) << 7) | (treg & 0x7f));
1529 count += output_leb128 (bytes + 4, t, 0);
1530 (*f) (count, bytes, NULL);
1531}
1532
1533/* This function allocates a record list structure, and initializes fields. */
542d6675 1534
800eeca4 1535static unw_rec_list *
197865e8 1536alloc_record (unw_record_type t)
800eeca4
JW
1537{
1538 unw_rec_list *ptr;
1539 ptr = xmalloc (sizeof (*ptr));
1540 ptr->next = NULL;
1541 ptr->slot_number = SLOT_NUM_NOT_SET;
1542 ptr->r.type = t;
1543 return ptr;
1544}
1545
800eeca4 1546/* This function frees an entire list of record structures. */
542d6675 1547
800eeca4
JW
1548void
1549free_list_records (unw_rec_list *first)
1550{
1551 unw_rec_list *ptr;
542d6675 1552 for (ptr = first; ptr != NULL;)
800eeca4
JW
1553 {
1554 unw_rec_list *tmp = ptr;
e0c9811a
JW
1555
1556 if ((tmp->r.type == prologue || tmp->r.type == prologue_gr)
1557 && tmp->r.record.r.mask.i)
1558 free (tmp->r.record.r.mask.i);
1559
800eeca4
JW
1560 ptr = ptr->next;
1561 free (tmp);
1562 }
1563}
1564
1565static unw_rec_list *
1566output_prologue ()
1567{
1568 unw_rec_list *ptr = alloc_record (prologue);
e0c9811a 1569 memset (&ptr->r.record.r.mask, 0, sizeof (ptr->r.record.r.mask));
800eeca4
JW
1570 return ptr;
1571}
1572
1573static unw_rec_list *
1574output_prologue_gr (saved_mask, reg)
1575 unsigned int saved_mask;
1576 unsigned int reg;
1577{
1578 unw_rec_list *ptr = alloc_record (prologue_gr);
e0c9811a
JW
1579 memset (&ptr->r.record.r.mask, 0, sizeof (ptr->r.record.r.mask));
1580 ptr->r.record.r.grmask = saved_mask;
800eeca4
JW
1581 ptr->r.record.r.grsave = reg;
1582 return ptr;
1583}
1584
1585static unw_rec_list *
1586output_body ()
1587{
1588 unw_rec_list *ptr = alloc_record (body);
1589 return ptr;
1590}
1591
1592static unw_rec_list *
1593output_mem_stack_f (size)
1594 unsigned int size;
1595{
1596 unw_rec_list *ptr = alloc_record (mem_stack_f);
1597 ptr->r.record.p.size = size;
1598 return ptr;
1599}
1600
1601static unw_rec_list *
1602output_mem_stack_v ()
1603{
1604 unw_rec_list *ptr = alloc_record (mem_stack_v);
1605 return ptr;
1606}
1607
1608static unw_rec_list *
1609output_psp_gr (gr)
1610 unsigned int gr;
1611{
1612 unw_rec_list *ptr = alloc_record (psp_gr);
1613 ptr->r.record.p.gr = gr;
1614 return ptr;
1615}
1616
1617static unw_rec_list *
1618output_psp_sprel (offset)
1619 unsigned int offset;
1620{
1621 unw_rec_list *ptr = alloc_record (psp_sprel);
542d6675 1622 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1623 return ptr;
1624}
1625
1626static unw_rec_list *
1627output_rp_when ()
1628{
1629 unw_rec_list *ptr = alloc_record (rp_when);
1630 return ptr;
1631}
1632
1633static unw_rec_list *
1634output_rp_gr (gr)
1635 unsigned int gr;
1636{
1637 unw_rec_list *ptr = alloc_record (rp_gr);
1638 ptr->r.record.p.gr = gr;
1639 return ptr;
1640}
1641
1642static unw_rec_list *
1643output_rp_br (br)
1644 unsigned int br;
1645{
1646 unw_rec_list *ptr = alloc_record (rp_br);
1647 ptr->r.record.p.br = br;
1648 return ptr;
1649}
1650
1651static unw_rec_list *
1652output_rp_psprel (offset)
1653 unsigned int offset;
1654{
1655 unw_rec_list *ptr = alloc_record (rp_psprel);
542d6675 1656 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1657 return ptr;
1658}
1659
1660static unw_rec_list *
1661output_rp_sprel (offset)
1662 unsigned int offset;
1663{
1664 unw_rec_list *ptr = alloc_record (rp_sprel);
542d6675 1665 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1666 return ptr;
1667}
1668
1669static unw_rec_list *
1670output_pfs_when ()
1671{
1672 unw_rec_list *ptr = alloc_record (pfs_when);
1673 return ptr;
1674}
1675
1676static unw_rec_list *
1677output_pfs_gr (gr)
1678 unsigned int gr;
1679{
1680 unw_rec_list *ptr = alloc_record (pfs_gr);
1681 ptr->r.record.p.gr = gr;
1682 return ptr;
1683}
1684
1685static unw_rec_list *
1686output_pfs_psprel (offset)
1687 unsigned int offset;
1688{
1689 unw_rec_list *ptr = alloc_record (pfs_psprel);
542d6675 1690 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1691 return ptr;
1692}
1693
1694static unw_rec_list *
1695output_pfs_sprel (offset)
1696 unsigned int offset;
1697{
1698 unw_rec_list *ptr = alloc_record (pfs_sprel);
542d6675 1699 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1700 return ptr;
1701}
1702
1703static unw_rec_list *
1704output_preds_when ()
1705{
1706 unw_rec_list *ptr = alloc_record (preds_when);
1707 return ptr;
1708}
1709
1710static unw_rec_list *
1711output_preds_gr (gr)
1712 unsigned int gr;
1713{
1714 unw_rec_list *ptr = alloc_record (preds_gr);
1715 ptr->r.record.p.gr = gr;
1716 return ptr;
1717}
1718
1719static unw_rec_list *
1720output_preds_psprel (offset)
1721 unsigned int offset;
1722{
1723 unw_rec_list *ptr = alloc_record (preds_psprel);
542d6675 1724 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1725 return ptr;
1726}
1727
1728static unw_rec_list *
1729output_preds_sprel (offset)
1730 unsigned int offset;
1731{
1732 unw_rec_list *ptr = alloc_record (preds_sprel);
542d6675 1733 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1734 return ptr;
1735}
1736
1737static unw_rec_list *
1738output_fr_mem (mask)
1739 unsigned int mask;
1740{
1741 unw_rec_list *ptr = alloc_record (fr_mem);
1742 ptr->r.record.p.rmask = mask;
1743 return ptr;
1744}
1745
1746static unw_rec_list *
1747output_frgr_mem (gr_mask, fr_mask)
1748 unsigned int gr_mask;
1749 unsigned int fr_mask;
1750{
1751 unw_rec_list *ptr = alloc_record (frgr_mem);
1752 ptr->r.record.p.grmask = gr_mask;
1753 ptr->r.record.p.frmask = fr_mask;
1754 return ptr;
1755}
1756
1757static unw_rec_list *
1758output_gr_gr (mask, reg)
1759 unsigned int mask;
1760 unsigned int reg;
1761{
1762 unw_rec_list *ptr = alloc_record (gr_gr);
1763 ptr->r.record.p.grmask = mask;
1764 ptr->r.record.p.gr = reg;
1765 return ptr;
1766}
1767
1768static unw_rec_list *
1769output_gr_mem (mask)
1770 unsigned int mask;
1771{
1772 unw_rec_list *ptr = alloc_record (gr_mem);
1773 ptr->r.record.p.rmask = mask;
1774 return ptr;
1775}
1776
1777static unw_rec_list *
1778output_br_mem (unsigned int mask)
1779{
1780 unw_rec_list *ptr = alloc_record (br_mem);
1781 ptr->r.record.p.brmask = mask;
1782 return ptr;
1783}
1784
1785static unw_rec_list *
1786output_br_gr (save_mask, reg)
1787 unsigned int save_mask;
1788 unsigned int reg;
1789{
1790 unw_rec_list *ptr = alloc_record (br_gr);
1791 ptr->r.record.p.brmask = save_mask;
1792 ptr->r.record.p.gr = reg;
1793 return ptr;
1794}
1795
1796static unw_rec_list *
1797output_spill_base (offset)
1798 unsigned int offset;
1799{
1800 unw_rec_list *ptr = alloc_record (spill_base);
542d6675 1801 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1802 return ptr;
1803}
1804
1805static unw_rec_list *
1806output_unat_when ()
1807{
1808 unw_rec_list *ptr = alloc_record (unat_when);
1809 return ptr;
1810}
1811
1812static unw_rec_list *
1813output_unat_gr (gr)
1814 unsigned int gr;
1815{
1816 unw_rec_list *ptr = alloc_record (unat_gr);
1817 ptr->r.record.p.gr = gr;
1818 return ptr;
1819}
1820
1821static unw_rec_list *
1822output_unat_psprel (offset)
1823 unsigned int offset;
1824{
1825 unw_rec_list *ptr = alloc_record (unat_psprel);
542d6675 1826 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1827 return ptr;
1828}
1829
1830static unw_rec_list *
1831output_unat_sprel (offset)
1832 unsigned int offset;
1833{
1834 unw_rec_list *ptr = alloc_record (unat_sprel);
542d6675 1835 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1836 return ptr;
1837}
1838
1839static unw_rec_list *
1840output_lc_when ()
1841{
1842 unw_rec_list *ptr = alloc_record (lc_when);
1843 return ptr;
1844}
1845
1846static unw_rec_list *
1847output_lc_gr (gr)
1848 unsigned int gr;
1849{
1850 unw_rec_list *ptr = alloc_record (lc_gr);
1851 ptr->r.record.p.gr = gr;
1852 return ptr;
1853}
1854
1855static unw_rec_list *
1856output_lc_psprel (offset)
1857 unsigned int offset;
1858{
1859 unw_rec_list *ptr = alloc_record (lc_psprel);
542d6675 1860 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1861 return ptr;
1862}
1863
1864static unw_rec_list *
1865output_lc_sprel (offset)
1866 unsigned int offset;
1867{
1868 unw_rec_list *ptr = alloc_record (lc_sprel);
542d6675 1869 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1870 return ptr;
1871}
1872
1873static unw_rec_list *
1874output_fpsr_when ()
1875{
1876 unw_rec_list *ptr = alloc_record (fpsr_when);
1877 return ptr;
1878}
1879
1880static unw_rec_list *
1881output_fpsr_gr (gr)
1882 unsigned int gr;
1883{
1884 unw_rec_list *ptr = alloc_record (fpsr_gr);
1885 ptr->r.record.p.gr = gr;
1886 return ptr;
1887}
1888
1889static unw_rec_list *
1890output_fpsr_psprel (offset)
1891 unsigned int offset;
1892{
1893 unw_rec_list *ptr = alloc_record (fpsr_psprel);
542d6675 1894 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1895 return ptr;
1896}
1897
1898static unw_rec_list *
1899output_fpsr_sprel (offset)
1900 unsigned int offset;
1901{
1902 unw_rec_list *ptr = alloc_record (fpsr_sprel);
542d6675 1903 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1904 return ptr;
1905}
1906
1907static unw_rec_list *
1908output_priunat_when_gr ()
1909{
1910 unw_rec_list *ptr = alloc_record (priunat_when_gr);
1911 return ptr;
1912}
1913
1914static unw_rec_list *
1915output_priunat_when_mem ()
1916{
1917 unw_rec_list *ptr = alloc_record (priunat_when_mem);
1918 return ptr;
1919}
1920
1921static unw_rec_list *
1922output_priunat_gr (gr)
1923 unsigned int gr;
1924{
1925 unw_rec_list *ptr = alloc_record (priunat_gr);
1926 ptr->r.record.p.gr = gr;
1927 return ptr;
1928}
1929
1930static unw_rec_list *
1931output_priunat_psprel (offset)
1932 unsigned int offset;
1933{
1934 unw_rec_list *ptr = alloc_record (priunat_psprel);
542d6675 1935 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1936 return ptr;
1937}
1938
1939static unw_rec_list *
1940output_priunat_sprel (offset)
1941 unsigned int offset;
1942{
1943 unw_rec_list *ptr = alloc_record (priunat_sprel);
542d6675 1944 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1945 return ptr;
1946}
1947
1948static unw_rec_list *
1949output_bsp_when ()
1950{
1951 unw_rec_list *ptr = alloc_record (bsp_when);
1952 return ptr;
1953}
1954
1955static unw_rec_list *
1956output_bsp_gr (gr)
1957 unsigned int gr;
1958{
1959 unw_rec_list *ptr = alloc_record (bsp_gr);
1960 ptr->r.record.p.gr = gr;
1961 return ptr;
1962}
1963
1964static unw_rec_list *
1965output_bsp_psprel (offset)
1966 unsigned int offset;
1967{
1968 unw_rec_list *ptr = alloc_record (bsp_psprel);
542d6675 1969 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
1970 return ptr;
1971}
1972
1973static unw_rec_list *
1974output_bsp_sprel (offset)
1975 unsigned int offset;
1976{
1977 unw_rec_list *ptr = alloc_record (bsp_sprel);
542d6675 1978 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
1979 return ptr;
1980}
1981
1982static unw_rec_list *
1983output_bspstore_when ()
1984{
1985 unw_rec_list *ptr = alloc_record (bspstore_when);
1986 return ptr;
1987}
1988
1989static unw_rec_list *
1990output_bspstore_gr (gr)
1991 unsigned int gr;
1992{
1993 unw_rec_list *ptr = alloc_record (bspstore_gr);
1994 ptr->r.record.p.gr = gr;
1995 return ptr;
1996}
1997
1998static unw_rec_list *
1999output_bspstore_psprel (offset)
2000 unsigned int offset;
2001{
2002 unw_rec_list *ptr = alloc_record (bspstore_psprel);
542d6675 2003 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
2004 return ptr;
2005}
2006
2007static unw_rec_list *
2008output_bspstore_sprel (offset)
2009 unsigned int offset;
2010{
2011 unw_rec_list *ptr = alloc_record (bspstore_sprel);
542d6675 2012 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
2013 return ptr;
2014}
2015
2016static unw_rec_list *
2017output_rnat_when ()
2018{
2019 unw_rec_list *ptr = alloc_record (rnat_when);
2020 return ptr;
2021}
2022
2023static unw_rec_list *
2024output_rnat_gr (gr)
2025 unsigned int gr;
2026{
2027 unw_rec_list *ptr = alloc_record (rnat_gr);
2028 ptr->r.record.p.gr = gr;
2029 return ptr;
2030}
2031
2032static unw_rec_list *
2033output_rnat_psprel (offset)
2034 unsigned int offset;
2035{
2036 unw_rec_list *ptr = alloc_record (rnat_psprel);
542d6675 2037 ptr->r.record.p.pspoff = offset / 4;
800eeca4
JW
2038 return ptr;
2039}
2040
2041static unw_rec_list *
2042output_rnat_sprel (offset)
2043 unsigned int offset;
2044{
2045 unw_rec_list *ptr = alloc_record (rnat_sprel);
542d6675 2046 ptr->r.record.p.spoff = offset / 4;
800eeca4
JW
2047 return ptr;
2048}
2049
2050static unw_rec_list *
e0c9811a
JW
2051output_unwabi (abi, context)
2052 unsigned long abi;
2053 unsigned long context;
800eeca4 2054{
e0c9811a
JW
2055 unw_rec_list *ptr = alloc_record (unwabi);
2056 ptr->r.record.p.abi = abi;
2057 ptr->r.record.p.context = context;
800eeca4
JW
2058 return ptr;
2059}
2060
2061static unw_rec_list *
e0c9811a 2062output_epilogue (unsigned long ecount)
800eeca4 2063{
e0c9811a
JW
2064 unw_rec_list *ptr = alloc_record (epilogue);
2065 ptr->r.record.b.ecount = ecount;
800eeca4
JW
2066 return ptr;
2067}
2068
2069static unw_rec_list *
e0c9811a 2070output_label_state (unsigned long label)
800eeca4 2071{
e0c9811a
JW
2072 unw_rec_list *ptr = alloc_record (label_state);
2073 ptr->r.record.b.label = label;
800eeca4
JW
2074 return ptr;
2075}
2076
2077static unw_rec_list *
e0c9811a
JW
2078output_copy_state (unsigned long label)
2079{
2080 unw_rec_list *ptr = alloc_record (copy_state);
2081 ptr->r.record.b.label = label;
2082 return ptr;
2083}
2084
2085static unw_rec_list *
2086output_spill_psprel (ab, reg, offset)
2087 unsigned int ab;
800eeca4
JW
2088 unsigned int reg;
2089 unsigned int offset;
2090{
2091 unw_rec_list *ptr = alloc_record (spill_psprel);
e0c9811a 2092 ptr->r.record.x.ab = ab;
800eeca4 2093 ptr->r.record.x.reg = reg;
542d6675 2094 ptr->r.record.x.pspoff = offset / 4;
800eeca4
JW
2095 return ptr;
2096}
2097
2098static unw_rec_list *
e0c9811a
JW
2099output_spill_sprel (ab, reg, offset)
2100 unsigned int ab;
800eeca4
JW
2101 unsigned int reg;
2102 unsigned int offset;
2103{
2104 unw_rec_list *ptr = alloc_record (spill_sprel);
e0c9811a 2105 ptr->r.record.x.ab = ab;
800eeca4 2106 ptr->r.record.x.reg = reg;
542d6675 2107 ptr->r.record.x.spoff = offset / 4;
800eeca4
JW
2108 return ptr;
2109}
2110
2111static unw_rec_list *
e0c9811a
JW
2112output_spill_psprel_p (ab, reg, offset, predicate)
2113 unsigned int ab;
800eeca4
JW
2114 unsigned int reg;
2115 unsigned int offset;
2116 unsigned int predicate;
2117{
2118 unw_rec_list *ptr = alloc_record (spill_psprel_p);
e0c9811a 2119 ptr->r.record.x.ab = ab;
800eeca4 2120 ptr->r.record.x.reg = reg;
542d6675 2121 ptr->r.record.x.pspoff = offset / 4;
800eeca4
JW
2122 ptr->r.record.x.qp = predicate;
2123 return ptr;
2124}
2125
2126static unw_rec_list *
e0c9811a
JW
2127output_spill_sprel_p (ab, reg, offset, predicate)
2128 unsigned int ab;
800eeca4
JW
2129 unsigned int reg;
2130 unsigned int offset;
2131 unsigned int predicate;
2132{
2133 unw_rec_list *ptr = alloc_record (spill_sprel_p);
e0c9811a 2134 ptr->r.record.x.ab = ab;
800eeca4 2135 ptr->r.record.x.reg = reg;
542d6675 2136 ptr->r.record.x.spoff = offset / 4;
800eeca4
JW
2137 ptr->r.record.x.qp = predicate;
2138 return ptr;
2139}
2140
2141static unw_rec_list *
e0c9811a
JW
2142output_spill_reg (ab, reg, targ_reg, xy)
2143 unsigned int ab;
800eeca4
JW
2144 unsigned int reg;
2145 unsigned int targ_reg;
2146 unsigned int xy;
2147{
2148 unw_rec_list *ptr = alloc_record (spill_reg);
e0c9811a 2149 ptr->r.record.x.ab = ab;
800eeca4
JW
2150 ptr->r.record.x.reg = reg;
2151 ptr->r.record.x.treg = targ_reg;
2152 ptr->r.record.x.xy = xy;
2153 return ptr;
2154}
2155
2156static unw_rec_list *
e0c9811a
JW
2157output_spill_reg_p (ab, reg, targ_reg, xy, predicate)
2158 unsigned int ab;
800eeca4
JW
2159 unsigned int reg;
2160 unsigned int targ_reg;
2161 unsigned int xy;
2162 unsigned int predicate;
2163{
2164 unw_rec_list *ptr = alloc_record (spill_reg_p);
e0c9811a 2165 ptr->r.record.x.ab = ab;
800eeca4
JW
2166 ptr->r.record.x.reg = reg;
2167 ptr->r.record.x.treg = targ_reg;
2168 ptr->r.record.x.xy = xy;
2169 ptr->r.record.x.qp = predicate;
2170 return ptr;
2171}
2172
197865e8 2173/* Given a unw_rec_list process the correct format with the
800eeca4 2174 specified function. */
542d6675 2175
800eeca4
JW
2176static void
2177process_one_record (ptr, f)
2178 unw_rec_list *ptr;
2179 vbyte_func f;
2180{
e0c9811a
JW
2181 unsigned long fr_mask, gr_mask;
2182
197865e8 2183 switch (ptr->r.type)
800eeca4 2184 {
542d6675
KH
2185 case gr_mem:
2186 case fr_mem:
2187 case br_mem:
2188 case frgr_mem:
2189 /* These are taken care of by prologue/prologue_gr. */
2190 break;
e0c9811a 2191
542d6675
KH
2192 case prologue_gr:
2193 case prologue:
2194 if (ptr->r.type == prologue_gr)
2195 output_R2_format (f, ptr->r.record.r.grmask,
2196 ptr->r.record.r.grsave, ptr->r.record.r.rlen);
2197 else
800eeca4 2198 output_R1_format (f, ptr->r.type, ptr->r.record.r.rlen);
542d6675
KH
2199
2200 /* Output descriptor(s) for union of register spills (if any). */
2201 gr_mask = ptr->r.record.r.mask.gr_mem;
2202 fr_mask = ptr->r.record.r.mask.fr_mem;
2203 if (fr_mask)
2204 {
2205 if ((fr_mask & ~0xfUL) == 0)
2206 output_P6_format (f, fr_mem, fr_mask);
2207 else
2208 {
2209 output_P5_format (f, gr_mask, fr_mask);
2210 gr_mask = 0;
2211 }
2212 }
2213 if (gr_mask)
2214 output_P6_format (f, gr_mem, gr_mask);
2215 if (ptr->r.record.r.mask.br_mem)
2216 output_P1_format (f, ptr->r.record.r.mask.br_mem);
2217
2218 /* output imask descriptor if necessary: */
2219 if (ptr->r.record.r.mask.i)
2220 output_P4_format (f, ptr->r.record.r.mask.i,
2221 ptr->r.record.r.imask_size);
2222 break;
2223
2224 case body:
2225 output_R1_format (f, ptr->r.type, ptr->r.record.r.rlen);
2226 break;
2227 case mem_stack_f:
2228 case mem_stack_v:
2229 output_P7_format (f, ptr->r.type, ptr->r.record.p.t,
2230 ptr->r.record.p.size);
2231 break;
2232 case psp_gr:
2233 case rp_gr:
2234 case pfs_gr:
2235 case preds_gr:
2236 case unat_gr:
2237 case lc_gr:
2238 case fpsr_gr:
2239 case priunat_gr:
2240 case bsp_gr:
2241 case bspstore_gr:
2242 case rnat_gr:
2243 output_P3_format (f, ptr->r.type, ptr->r.record.p.gr);
2244 break;
2245 case rp_br:
2246 output_P3_format (f, rp_br, ptr->r.record.p.br);
2247 break;
2248 case psp_sprel:
2249 output_P7_format (f, psp_sprel, ptr->r.record.p.spoff, 0);
2250 break;
2251 case rp_when:
2252 case pfs_when:
2253 case preds_when:
2254 case unat_when:
2255 case lc_when:
2256 case fpsr_when:
2257 output_P7_format (f, ptr->r.type, ptr->r.record.p.t, 0);
2258 break;
2259 case rp_psprel:
2260 case pfs_psprel:
2261 case preds_psprel:
2262 case unat_psprel:
2263 case lc_psprel:
2264 case fpsr_psprel:
2265 case spill_base:
2266 output_P7_format (f, ptr->r.type, ptr->r.record.p.pspoff, 0);
2267 break;
2268 case rp_sprel:
2269 case pfs_sprel:
2270 case preds_sprel:
2271 case unat_sprel:
2272 case lc_sprel:
2273 case fpsr_sprel:
2274 case priunat_sprel:
2275 case bsp_sprel:
2276 case bspstore_sprel:
2277 case rnat_sprel:
2278 output_P8_format (f, ptr->r.type, ptr->r.record.p.spoff);
2279 break;
2280 case gr_gr:
2281 output_P9_format (f, ptr->r.record.p.grmask, ptr->r.record.p.gr);
2282 break;
2283 case br_gr:
2284 output_P2_format (f, ptr->r.record.p.brmask, ptr->r.record.p.gr);
2285 break;
2286 case spill_mask:
2287 as_bad ("spill_mask record unimplemented.");
2288 break;
2289 case priunat_when_gr:
2290 case priunat_when_mem:
2291 case bsp_when:
2292 case bspstore_when:
2293 case rnat_when:
2294 output_P8_format (f, ptr->r.type, ptr->r.record.p.t);
2295 break;
2296 case priunat_psprel:
2297 case bsp_psprel:
2298 case bspstore_psprel:
2299 case rnat_psprel:
2300 output_P8_format (f, ptr->r.type, ptr->r.record.p.pspoff);
2301 break;
2302 case unwabi:
2303 output_P10_format (f, ptr->r.record.p.abi, ptr->r.record.p.context);
2304 break;
2305 case epilogue:
2306 output_B3_format (f, ptr->r.record.b.ecount, ptr->r.record.b.t);
2307 break;
2308 case label_state:
2309 case copy_state:
2310 output_B4_format (f, ptr->r.type, ptr->r.record.b.label);
2311 break;
2312 case spill_psprel:
2313 output_X1_format (f, ptr->r.type, ptr->r.record.x.ab,
2314 ptr->r.record.x.reg, ptr->r.record.x.t,
2315 ptr->r.record.x.pspoff);
2316 break;
2317 case spill_sprel:
2318 output_X1_format (f, ptr->r.type, ptr->r.record.x.ab,
2319 ptr->r.record.x.reg, ptr->r.record.x.t,
2320 ptr->r.record.x.spoff);
2321 break;
2322 case spill_reg:
2323 output_X2_format (f, ptr->r.record.x.ab, ptr->r.record.x.reg,
2324 ptr->r.record.x.xy >> 1, ptr->r.record.x.xy,
2325 ptr->r.record.x.treg, ptr->r.record.x.t);
2326 break;
2327 case spill_psprel_p:
2328 output_X3_format (f, ptr->r.type, ptr->r.record.x.qp,
2329 ptr->r.record.x.ab, ptr->r.record.x.reg,
2330 ptr->r.record.x.t, ptr->r.record.x.pspoff);
2331 break;
2332 case spill_sprel_p:
2333 output_X3_format (f, ptr->r.type, ptr->r.record.x.qp,
2334 ptr->r.record.x.ab, ptr->r.record.x.reg,
2335 ptr->r.record.x.t, ptr->r.record.x.spoff);
2336 break;
2337 case spill_reg_p:
2338 output_X4_format (f, ptr->r.record.x.qp, ptr->r.record.x.ab,
2339 ptr->r.record.x.reg, ptr->r.record.x.xy >> 1,
2340 ptr->r.record.x.xy, ptr->r.record.x.treg,
2341 ptr->r.record.x.t);
2342 break;
2343 default:
2344 as_bad ("record_type_not_valid");
2345 break;
800eeca4
JW
2346 }
2347}
2348
197865e8 2349/* Given a unw_rec_list list, process all the records with
800eeca4
JW
2350 the specified function. */
2351static void
2352process_unw_records (list, f)
2353 unw_rec_list *list;
2354 vbyte_func f;
2355{
2356 unw_rec_list *ptr;
2357 for (ptr = list; ptr; ptr = ptr->next)
2358 process_one_record (ptr, f);
2359}
2360
2361/* Determine the size of a record list in bytes. */
2362static int
2363calc_record_size (list)
2364 unw_rec_list *list;
2365{
2366 vbyte_count = 0;
2367 process_unw_records (list, count_output);
2368 return vbyte_count;
2369}
2370
e0c9811a
JW
2371/* Update IMASK bitmask to reflect the fact that one or more registers
2372 of type TYPE are saved starting at instruction with index T. If N
2373 bits are set in REGMASK, it is assumed that instructions T through
2374 T+N-1 save these registers.
2375
2376 TYPE values:
2377 0: no save
2378 1: instruction saves next fp reg
2379 2: instruction saves next general reg
2380 3: instruction saves next branch reg */
2381static void
2382set_imask (region, regmask, t, type)
2383 unw_rec_list *region;
2384 unsigned long regmask;
2385 unsigned long t;
2386 unsigned int type;
2387{
2388 unsigned char *imask;
2389 unsigned long imask_size;
2390 unsigned int i;
2391 int pos;
2392
2393 imask = region->r.record.r.mask.i;
2394 imask_size = region->r.record.r.imask_size;
2395 if (!imask)
2396 {
542d6675 2397 imask_size = (region->r.record.r.rlen * 2 + 7) / 8 + 1;
e0c9811a
JW
2398 imask = xmalloc (imask_size);
2399 memset (imask, 0, imask_size);
2400
2401 region->r.record.r.imask_size = imask_size;
2402 region->r.record.r.mask.i = imask;
2403 }
2404
542d6675
KH
2405 i = (t / 4) + 1;
2406 pos = 2 * (3 - t % 4);
e0c9811a
JW
2407 while (regmask)
2408 {
2409 if (i >= imask_size)
2410 {
2411 as_bad ("Ignoring attempt to spill beyond end of region");
2412 return;
2413 }
2414
2415 imask[i] |= (type & 0x3) << pos;
197865e8 2416
e0c9811a
JW
2417 regmask &= (regmask - 1);
2418 pos -= 2;
2419 if (pos < 0)
2420 {
2421 pos = 0;
2422 ++i;
2423 }
2424 }
2425}
2426
2427static int
2428count_bits (unsigned long mask)
2429{
2430 int n = 0;
2431
2432 while (mask)
2433 {
2434 mask &= mask - 1;
2435 ++n;
2436 }
2437 return n;
2438}
2439
f5a30c2e
JW
2440/* Return the number of instruction slots from FIRST_ADDR to SLOT_ADDR.
2441 SLOT_FRAG is the frag containing SLOT_ADDR, and FIRST_FRAG is the frag
2442 containing FIRST_ADDR. */
2443
e0c9811a 2444unsigned long
f5a30c2e
JW
2445slot_index (slot_addr, slot_frag, first_addr, first_frag)
2446 unsigned long slot_addr;
2447 fragS *slot_frag;
2448 unsigned long first_addr;
2449 fragS *first_frag;
e0c9811a 2450{
f5a30c2e
JW
2451 unsigned long index = 0;
2452
2453 /* First time we are called, the initial address and frag are invalid. */
2454 if (first_addr == 0)
2455 return 0;
2456
2457 /* If the two addresses are in different frags, then we need to add in
2458 the remaining size of this frag, and then the entire size of intermediate
2459 frags. */
2460 while (slot_frag != first_frag)
2461 {
2462 unsigned long start_addr = (unsigned long) &first_frag->fr_literal;
2463
2464 /* Add in the full size of the frag converted to instruction slots. */
2465 index += 3 * (first_frag->fr_fix >> 4);
2466 /* Subtract away the initial part before first_addr. */
2467 index -= (3 * ((first_addr >> 4) - (start_addr >> 4))
2468 + ((first_addr & 0x3) - (start_addr & 0x3)));
e0c9811a 2469
f5a30c2e
JW
2470 /* Move to the beginning of the next frag. */
2471 first_frag = first_frag->fr_next;
2472 first_addr = (unsigned long) &first_frag->fr_literal;
2473 }
2474
2475 /* Add in the used part of the last frag. */
2476 index += (3 * ((slot_addr >> 4) - (first_addr >> 4))
2477 + ((slot_addr & 0x3) - (first_addr & 0x3)));
2478 return index;
2479}
4a1805b1 2480
800eeca4
JW
2481/* Given a complete record list, process any records which have
2482 unresolved fields, (ie length counts for a prologue). After
197865e8 2483 this has been run, all neccessary information should be available
800eeca4 2484 within each record to generate an image. */
542d6675 2485
800eeca4
JW
2486static void
2487fixup_unw_records (list)
2488 unw_rec_list *list;
2489{
e0c9811a
JW
2490 unw_rec_list *ptr, *region = 0;
2491 unsigned long first_addr = 0, rlen = 0, t;
f5a30c2e 2492 fragS *first_frag = 0;
e0c9811a 2493
800eeca4
JW
2494 for (ptr = list; ptr; ptr = ptr->next)
2495 {
2496 if (ptr->slot_number == SLOT_NUM_NOT_SET)
542d6675 2497 as_bad (" Insn slot not set in unwind record.");
f5a30c2e
JW
2498 t = slot_index (ptr->slot_number, ptr->slot_frag,
2499 first_addr, first_frag);
800eeca4
JW
2500 switch (ptr->r.type)
2501 {
542d6675
KH
2502 case prologue:
2503 case prologue_gr:
2504 case body:
2505 {
2506 unw_rec_list *last;
2507 int size, dir_len = 0;
2508 unsigned long last_addr;
f5a30c2e 2509 fragS *last_frag;
542d6675
KH
2510
2511 first_addr = ptr->slot_number;
f5a30c2e 2512 first_frag = ptr->slot_frag;
542d6675
KH
2513 ptr->slot_number = 0;
2514 /* Find either the next body/prologue start, or the end of
2515 the list, and determine the size of the region. */
2516 last_addr = unwind.next_slot_number;
f5a30c2e 2517 last_frag = unwind.next_slot_frag;
542d6675
KH
2518 for (last = ptr->next; last != NULL; last = last->next)
2519 if (last->r.type == prologue || last->r.type == prologue_gr
2520 || last->r.type == body)
2521 {
2522 last_addr = last->slot_number;
f5a30c2e 2523 last_frag = last->slot_frag;
542d6675
KH
2524 break;
2525 }
2526 else if (!last->next)
2527 {
2528 /* In the absence of an explicit .body directive,
2529 the prologue ends after the last instruction
2530 covered by an unwind directive. */
2531 if (ptr->r.type != body)
2532 {
2533 last_addr = last->slot_number;
f5a30c2e 2534 last_frag = last->slot_frag;
542d6675
KH
2535 switch (last->r.type)
2536 {
2537 case frgr_mem:
2538 dir_len = (count_bits (last->r.record.p.frmask)
2539 + count_bits (last->r.record.p.grmask));
2540 break;
2541 case fr_mem:
2542 case gr_mem:
2543 dir_len += count_bits (last->r.record.p.rmask);
2544 break;
2545 case br_mem:
2546 case br_gr:
2547 dir_len += count_bits (last->r.record.p.brmask);
2548 break;
2549 case gr_gr:
2550 dir_len += count_bits (last->r.record.p.grmask);
2551 break;
2552 default:
2553 dir_len = 1;
2554 break;
2555 }
2556 }
2557 break;
2558 }
f5a30c2e
JW
2559 size = (slot_index (last_addr, last_frag, first_addr, first_frag)
2560 + dir_len);
542d6675
KH
2561 rlen = ptr->r.record.r.rlen = size;
2562 region = ptr;
e0c9811a 2563 break;
542d6675
KH
2564 }
2565 case epilogue:
2566 ptr->r.record.b.t = rlen - 1 - t;
2567 break;
e0c9811a 2568
542d6675
KH
2569 case mem_stack_f:
2570 case mem_stack_v:
2571 case rp_when:
2572 case pfs_when:
2573 case preds_when:
2574 case unat_when:
2575 case lc_when:
2576 case fpsr_when:
2577 case priunat_when_gr:
2578 case priunat_when_mem:
2579 case bsp_when:
2580 case bspstore_when:
2581 case rnat_when:
2582 ptr->r.record.p.t = t;
2583 break;
e0c9811a 2584
542d6675
KH
2585 case spill_reg:
2586 case spill_sprel:
2587 case spill_psprel:
2588 case spill_reg_p:
2589 case spill_sprel_p:
2590 case spill_psprel_p:
2591 ptr->r.record.x.t = t;
2592 break;
e0c9811a 2593
542d6675
KH
2594 case frgr_mem:
2595 if (!region)
2596 {
2597 as_bad ("frgr_mem record before region record!\n");
2598 return;
2599 }
2600 region->r.record.r.mask.fr_mem |= ptr->r.record.p.frmask;
2601 region->r.record.r.mask.gr_mem |= ptr->r.record.p.grmask;
2602 set_imask (region, ptr->r.record.p.frmask, t, 1);
2603 set_imask (region, ptr->r.record.p.grmask, t, 2);
2604 break;
2605 case fr_mem:
2606 if (!region)
2607 {
2608 as_bad ("fr_mem record before region record!\n");
2609 return;
2610 }
2611 region->r.record.r.mask.fr_mem |= ptr->r.record.p.rmask;
2612 set_imask (region, ptr->r.record.p.rmask, t, 1);
2613 break;
2614 case gr_mem:
2615 if (!region)
2616 {
2617 as_bad ("gr_mem record before region record!\n");
2618 return;
2619 }
2620 region->r.record.r.mask.gr_mem |= ptr->r.record.p.rmask;
2621 set_imask (region, ptr->r.record.p.rmask, t, 2);
2622 break;
2623 case br_mem:
2624 if (!region)
2625 {
2626 as_bad ("br_mem record before region record!\n");
2627 return;
2628 }
2629 region->r.record.r.mask.br_mem |= ptr->r.record.p.brmask;
2630 set_imask (region, ptr->r.record.p.brmask, t, 3);
2631 break;
e0c9811a 2632
542d6675
KH
2633 case gr_gr:
2634 if (!region)
2635 {
2636 as_bad ("gr_gr record before region record!\n");
2637 return;
2638 }
2639 set_imask (region, ptr->r.record.p.grmask, t, 2);
2640 break;
2641 case br_gr:
2642 if (!region)
2643 {
2644 as_bad ("br_gr record before region record!\n");
2645 return;
2646 }
2647 set_imask (region, ptr->r.record.p.brmask, t, 3);
2648 break;
e0c9811a 2649
542d6675
KH
2650 default:
2651 break;
800eeca4
JW
2652 }
2653 }
2654}
2655
2656/* Generate an unwind image from a record list. Returns the number of
2657 bytes in the resulting image. The memory image itselof is returned
2658 in the 'ptr' parameter. */
2659static int
2660output_unw_records (list, ptr)
2661 unw_rec_list *list;
2662 void **ptr;
2663{
2664 int size, x, extra = 0;
2665 unsigned char *mem;
2666
2667 fixup_unw_records (list);
2668 size = calc_record_size (list);
2669
2670 /* pad to 8 byte boundry. */
2671 x = size % 8;
2672 if (x != 0)
2673 extra = 8 - x;
2674 /* Add 8 for the header + 8 more bytes for the personality offset. */
2675 mem = xmalloc (size + extra + 16);
2676
2677 vbyte_mem_ptr = mem + 8;
2678 /* Clear the padding area and personality. */
2679 memset (mem + 8 + size, 0 , extra + 8);
2680 /* Initialize the header area. */
efcc5914
JW
2681 md_number_to_chars (mem, (((bfd_vma) 1 << 48) /* version */
2682 | (unwind.personality_routine
2683 ? ((bfd_vma) 3 << 32) /* U & E handler flags */
2684 : 0)
e4c58b25
JW
2685 | ((size + extra) / 8)), /* length (dwords) */
2686 8);
800eeca4
JW
2687
2688 process_unw_records (list, output_vbyte_mem);
2689
2690 *ptr = mem;
2691 return size + extra + 16;
2692}
2693
e0c9811a
JW
2694static int
2695convert_expr_to_ab_reg (e, ab, regp)
2696 expressionS *e;
2697 unsigned int *ab;
2698 unsigned int *regp;
2699{
2700 unsigned int reg;
2701
2702 if (e->X_op != O_register)
2703 return 0;
2704
2705 reg = e->X_add_number;
2434f565 2706 if (reg >= (REG_GR + 4) && reg <= (REG_GR + 7))
e0c9811a
JW
2707 {
2708 *ab = 0;
2709 *regp = reg - REG_GR;
2710 }
2434f565
JW
2711 else if ((reg >= (REG_FR + 2) && reg <= (REG_FR + 5))
2712 || (reg >= (REG_FR + 16) && reg <= (REG_FR + 31)))
e0c9811a
JW
2713 {
2714 *ab = 1;
2715 *regp = reg - REG_FR;
2716 }
2434f565 2717 else if (reg >= (REG_BR + 1) && reg <= (REG_BR + 5))
e0c9811a
JW
2718 {
2719 *ab = 2;
2720 *regp = reg - REG_BR;
2721 }
2722 else
2723 {
2724 *ab = 3;
2725 switch (reg)
2726 {
2727 case REG_PR: *regp = 0; break;
2728 case REG_PSP: *regp = 1; break;
2729 case REG_PRIUNAT: *regp = 2; break;
2730 case REG_BR + 0: *regp = 3; break;
2731 case REG_AR + AR_BSP: *regp = 4; break;
2732 case REG_AR + AR_BSPSTORE: *regp = 5; break;
2733 case REG_AR + AR_RNAT: *regp = 6; break;
2734 case REG_AR + AR_UNAT: *regp = 7; break;
2735 case REG_AR + AR_FPSR: *regp = 8; break;
2736 case REG_AR + AR_PFS: *regp = 9; break;
2737 case REG_AR + AR_LC: *regp = 10; break;
2738
2739 default:
2740 return 0;
2741 }
2742 }
2743 return 1;
197865e8 2744}
e0c9811a
JW
2745
2746static int
2747convert_expr_to_xy_reg (e, xy, regp)
2748 expressionS *e;
2749 unsigned int *xy;
2750 unsigned int *regp;
2751{
2752 unsigned int reg;
2753
2754 if (e->X_op != O_register)
2755 return 0;
2756
2757 reg = e->X_add_number;
2758
2434f565 2759 if (/* reg >= REG_GR && */ reg <= (REG_GR + 127))
e0c9811a
JW
2760 {
2761 *xy = 0;
2762 *regp = reg - REG_GR;
2763 }
2434f565 2764 else if (reg >= REG_FR && reg <= (REG_FR + 127))
e0c9811a
JW
2765 {
2766 *xy = 1;
2767 *regp = reg - REG_FR;
2768 }
2434f565 2769 else if (reg >= REG_BR && reg <= (REG_BR + 7))
e0c9811a
JW
2770 {
2771 *xy = 2;
2772 *regp = reg - REG_BR;
2773 }
2774 else
2775 return -1;
2776 return 1;
197865e8 2777}
e0c9811a 2778
800eeca4
JW
2779static void
2780dot_radix (dummy)
2434f565 2781 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
2782{
2783 int radix;
2784
2785 SKIP_WHITESPACE ();
2786 radix = *input_line_pointer++;
2787
2788 if (radix != 'C' && !is_end_of_line[(unsigned char) radix])
2789 {
2790 as_bad ("Radix `%c' unsupported", *input_line_pointer);
542d6675 2791 ignore_rest_of_line ();
800eeca4
JW
2792 return;
2793 }
2794}
2795
2796/* .sbss, .bss etc. are macros that expand into ".section SECNAME". */
2797static void
2798dot_special_section (which)
2799 int which;
2800{
2801 set_section ((char *) special_section_name[which]);
2802}
2803
2804static void
2805add_unwind_entry (ptr)
2806 unw_rec_list *ptr;
2807{
e0c9811a
JW
2808 if (unwind.tail)
2809 unwind.tail->next = ptr;
800eeca4 2810 else
e0c9811a
JW
2811 unwind.list = ptr;
2812 unwind.tail = ptr;
800eeca4
JW
2813
2814 /* The current entry can in fact be a chain of unwind entries. */
e0c9811a
JW
2815 if (unwind.current_entry == NULL)
2816 unwind.current_entry = ptr;
800eeca4
JW
2817}
2818
197865e8 2819static void
800eeca4 2820dot_fframe (dummy)
2434f565 2821 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
2822{
2823 expressionS e;
e0c9811a 2824
800eeca4 2825 parse_operand (&e);
197865e8 2826
800eeca4
JW
2827 if (e.X_op != O_constant)
2828 as_bad ("Operand to .fframe must be a constant");
2829 else
e0c9811a
JW
2830 add_unwind_entry (output_mem_stack_f (e.X_add_number));
2831}
2832
197865e8 2833static void
e0c9811a 2834dot_vframe (dummy)
2434f565 2835 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
2836{
2837 expressionS e;
2838 unsigned reg;
2839
2840 parse_operand (&e);
2841 reg = e.X_add_number - REG_GR;
2842 if (e.X_op == O_register && reg < 128)
800eeca4 2843 {
e0c9811a 2844 add_unwind_entry (output_mem_stack_v ());
30d25259
RH
2845 if (! (unwind.prologue_mask & 2))
2846 add_unwind_entry (output_psp_gr (reg));
800eeca4 2847 }
e0c9811a
JW
2848 else
2849 as_bad ("First operand to .vframe must be a general register");
800eeca4
JW
2850}
2851
197865e8 2852static void
e0c9811a 2853dot_vframesp (dummy)
2434f565 2854 int dummy ATTRIBUTE_UNUSED;
800eeca4 2855{
e0c9811a
JW
2856 expressionS e;
2857
2858 parse_operand (&e);
2859 if (e.X_op == O_constant)
2860 {
2861 add_unwind_entry (output_mem_stack_v ());
2862 add_unwind_entry (output_psp_sprel (e.X_add_number));
2863 }
2864 else
2865 as_bad ("First operand to .vframesp must be a general register");
2866}
2867
197865e8 2868static void
e0c9811a 2869dot_vframepsp (dummy)
2434f565 2870 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
2871{
2872 expressionS e;
2873
2874 parse_operand (&e);
2875 if (e.X_op == O_constant)
2876 {
2877 add_unwind_entry (output_mem_stack_v ());
2878 add_unwind_entry (output_psp_sprel (e.X_add_number));
2879 }
2880 else
2881 as_bad ("First operand to .vframepsp must be a general register");
800eeca4
JW
2882}
2883
197865e8 2884static void
800eeca4 2885dot_save (dummy)
2434f565 2886 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
2887{
2888 expressionS e1, e2;
2889 int sep;
2890 int reg1, reg2;
2891
2892 sep = parse_operand (&e1);
2893 if (sep != ',')
2894 as_bad ("No second operand to .save");
2895 sep = parse_operand (&e2);
2896
e0c9811a 2897 reg1 = e1.X_add_number;
800eeca4 2898 reg2 = e2.X_add_number - REG_GR;
197865e8 2899
800eeca4 2900 /* Make sure its a valid ar.xxx reg, OR its br0, aka 'rp'. */
e0c9811a 2901 if (e1.X_op == O_register)
800eeca4 2902 {
542d6675 2903 if (e2.X_op == O_register && reg2 >= 0 && reg2 < 128)
800eeca4
JW
2904 {
2905 switch (reg1)
2906 {
542d6675
KH
2907 case REG_AR + AR_BSP:
2908 add_unwind_entry (output_bsp_when ());
2909 add_unwind_entry (output_bsp_gr (reg2));
2910 break;
2911 case REG_AR + AR_BSPSTORE:
2912 add_unwind_entry (output_bspstore_when ());
2913 add_unwind_entry (output_bspstore_gr (reg2));
2914 break;
2915 case REG_AR + AR_RNAT:
2916 add_unwind_entry (output_rnat_when ());
2917 add_unwind_entry (output_rnat_gr (reg2));
2918 break;
2919 case REG_AR + AR_UNAT:
2920 add_unwind_entry (output_unat_when ());
2921 add_unwind_entry (output_unat_gr (reg2));
2922 break;
2923 case REG_AR + AR_FPSR:
2924 add_unwind_entry (output_fpsr_when ());
2925 add_unwind_entry (output_fpsr_gr (reg2));
2926 break;
2927 case REG_AR + AR_PFS:
2928 add_unwind_entry (output_pfs_when ());
2929 if (! (unwind.prologue_mask & 4))
2930 add_unwind_entry (output_pfs_gr (reg2));
2931 break;
2932 case REG_AR + AR_LC:
2933 add_unwind_entry (output_lc_when ());
2934 add_unwind_entry (output_lc_gr (reg2));
2935 break;
2936 case REG_BR:
2937 add_unwind_entry (output_rp_when ());
2938 if (! (unwind.prologue_mask & 8))
2939 add_unwind_entry (output_rp_gr (reg2));
2940 break;
2941 case REG_PR:
2942 add_unwind_entry (output_preds_when ());
2943 if (! (unwind.prologue_mask & 1))
2944 add_unwind_entry (output_preds_gr (reg2));
2945 break;
2946 case REG_PRIUNAT:
2947 add_unwind_entry (output_priunat_when_gr ());
2948 add_unwind_entry (output_priunat_gr (reg2));
2949 break;
2950 default:
2951 as_bad ("First operand not a valid register");
800eeca4
JW
2952 }
2953 }
2954 else
2955 as_bad (" Second operand not a valid register");
2956 }
2957 else
e0c9811a 2958 as_bad ("First operand not a register");
800eeca4
JW
2959}
2960
197865e8 2961static void
800eeca4 2962dot_restore (dummy)
2434f565 2963 int dummy ATTRIBUTE_UNUSED;
800eeca4 2964{
e0c9811a
JW
2965 expressionS e1, e2;
2966 unsigned long ecount = 0;
2967 int sep;
2968
2969 sep = parse_operand (&e1);
2970 if (e1.X_op != O_register || e1.X_add_number != REG_GR + 12)
2971 {
2972 as_bad ("First operand to .restore must be stack pointer (sp)");
2973 return;
2974 }
2975
2976 if (sep == ',')
2977 {
2978 parse_operand (&e2);
2979 if (e1.X_op != O_constant)
2980 {
2981 as_bad ("Second operand to .restore must be constant");
2982 return;
2983 }
2984 ecount = e1.X_op;
2985 }
2986 add_unwind_entry (output_epilogue (ecount));
2987}
2988
197865e8 2989static void
e0c9811a 2990dot_restorereg (dummy)
2434f565 2991 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
2992{
2993 unsigned int ab, reg;
2994 expressionS e;
2995
2996 parse_operand (&e);
2997
2998 if (!convert_expr_to_ab_reg (&e, &ab, &reg))
2999 {
3000 as_bad ("First operand to .restorereg must be a preserved register");
3001 return;
3002 }
3003 add_unwind_entry (output_spill_reg (ab, reg, 0, 0));
3004}
3005
197865e8 3006static void
e0c9811a 3007dot_restorereg_p (dummy)
2434f565 3008 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
3009{
3010 unsigned int qp, ab, reg;
3011 expressionS e1, e2;
3012 int sep;
3013
3014 sep = parse_operand (&e1);
3015 if (sep != ',')
3016 {
3017 as_bad ("No second operand to .restorereg.p");
3018 return;
3019 }
3020
3021 parse_operand (&e2);
3022
3023 qp = e1.X_add_number - REG_P;
3024 if (e1.X_op != O_register || qp > 63)
3025 {
3026 as_bad ("First operand to .restorereg.p must be a predicate");
3027 return;
3028 }
3029
3030 if (!convert_expr_to_ab_reg (&e2, &ab, &reg))
3031 {
3032 as_bad ("Second operand to .restorereg.p must be a preserved register");
3033 return;
3034 }
3035 add_unwind_entry (output_spill_reg_p (ab, reg, 0, 0, qp));
800eeca4
JW
3036}
3037
3038static int
3039generate_unwind_image ()
3040{
3041 int size;
3042 unsigned char *unw_rec;
800eeca4 3043
10850f29
JW
3044 /* Force out pending instructions, to make sure all unwind records have
3045 a valid slot_number field. */
3046 ia64_flush_insns ();
3047
800eeca4 3048 /* Generate the unwind record. */
150f24a2 3049 size = output_unw_records (unwind.list, (void **) &unw_rec);
e0c9811a
JW
3050 if (size % 8 != 0)
3051 as_bad ("Unwind record is not a multiple of 8 bytes.");
800eeca4
JW
3052
3053 /* If there are unwind records, switch sections, and output the info. */
3054 if (size != 0)
3055 {
800eeca4 3056 unsigned char *where;
800eeca4 3057 expressionS exp;
800eeca4
JW
3058 set_section ((char *) special_section_name[SPECIAL_SECTION_UNWIND_INFO]);
3059
5e7474a7
JW
3060 /* Make sure the section has 8 byte alignment. */
3061 record_alignment (now_seg, 3);
3062
800eeca4 3063 /* Set expression which points to start of unwind descriptor area. */
e0c9811a 3064 unwind.info = expr_build_dot ();
800eeca4 3065
542d6675 3066 where = (unsigned char *) frag_more (size);
800eeca4
JW
3067
3068 /* Issue a label for this address, and keep track of it to put it
3069 in the unwind section. */
3070
3071 /* Copy the information from the unwind record into this section. The
3072 data is already in the correct byte order. */
3073 memcpy (where, unw_rec, size);
3074 /* Add the personality address to the image. */
e0c9811a 3075 if (unwind.personality_routine != 0)
542d6675 3076 {
800eeca4 3077 exp.X_op = O_symbol;
e0c9811a 3078 exp.X_add_symbol = unwind.personality_routine;
800eeca4
JW
3079 exp.X_add_number = 0;
3080 fix_new_exp (frag_now, frag_now_fix () - 8, 8,
3081 &exp, 0, BFD_RELOC_IA64_LTOFF_FPTR64LSB);
e0c9811a 3082 unwind.personality_routine = 0;
542d6675 3083 }
800eeca4
JW
3084 obj_elf_previous (0);
3085 }
3086
e0c9811a
JW
3087 free_list_records (unwind.list);
3088 unwind.list = unwind.tail = unwind.current_entry = NULL;
800eeca4
JW
3089
3090 return size;
3091}
3092
197865e8 3093static void
542d6675 3094dot_handlerdata (dummy)
2434f565 3095 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3096{
3097 generate_unwind_image ();
e0c9811a 3098 demand_empty_rest_of_line ();
800eeca4
JW
3099}
3100
197865e8 3101static void
800eeca4 3102dot_unwentry (dummy)
2434f565 3103 int dummy ATTRIBUTE_UNUSED;
800eeca4 3104{
e0c9811a 3105 demand_empty_rest_of_line ();
800eeca4
JW
3106}
3107
197865e8 3108static void
800eeca4 3109dot_altrp (dummy)
2434f565 3110 int dummy ATTRIBUTE_UNUSED;
800eeca4 3111{
e0c9811a
JW
3112 expressionS e;
3113 unsigned reg;
3114
3115 parse_operand (&e);
3116 reg = e.X_add_number - REG_BR;
3117 if (e.X_op == O_register && reg < 8)
3118 add_unwind_entry (output_rp_br (reg));
3119 else
3120 as_bad ("First operand not a valid branch register");
800eeca4
JW
3121}
3122
197865e8 3123static void
e0c9811a
JW
3124dot_savemem (psprel)
3125 int psprel;
800eeca4
JW
3126{
3127 expressionS e1, e2;
3128 int sep;
3129 int reg1, val;
3130
3131 sep = parse_operand (&e1);
3132 if (sep != ',')
e0c9811a 3133 as_bad ("No second operand to .save%ssp", psprel ? "p" : "");
800eeca4
JW
3134 sep = parse_operand (&e2);
3135
e0c9811a 3136 reg1 = e1.X_add_number;
800eeca4 3137 val = e2.X_add_number;
197865e8 3138
800eeca4 3139 /* Make sure its a valid ar.xxx reg, OR its br0, aka 'rp'. */
e0c9811a 3140 if (e1.X_op == O_register)
800eeca4
JW
3141 {
3142 if (e2.X_op == O_constant)
3143 {
3144 switch (reg1)
3145 {
542d6675
KH
3146 case REG_AR + AR_BSP:
3147 add_unwind_entry (output_bsp_when ());
3148 add_unwind_entry ((psprel
3149 ? output_bsp_psprel
3150 : output_bsp_sprel) (val));
3151 break;
3152 case REG_AR + AR_BSPSTORE:
3153 add_unwind_entry (output_bspstore_when ());
3154 add_unwind_entry ((psprel
3155 ? output_bspstore_psprel
3156 : output_bspstore_sprel) (val));
3157 break;
3158 case REG_AR + AR_RNAT:
3159 add_unwind_entry (output_rnat_when ());
3160 add_unwind_entry ((psprel
3161 ? output_rnat_psprel
3162 : output_rnat_sprel) (val));
3163 break;
3164 case REG_AR + AR_UNAT:
3165 add_unwind_entry (output_unat_when ());
3166 add_unwind_entry ((psprel
3167 ? output_unat_psprel
3168 : output_unat_sprel) (val));
3169 break;
3170 case REG_AR + AR_FPSR:
3171 add_unwind_entry (output_fpsr_when ());
3172 add_unwind_entry ((psprel
3173 ? output_fpsr_psprel
3174 : output_fpsr_sprel) (val));
3175 break;
3176 case REG_AR + AR_PFS:
3177 add_unwind_entry (output_pfs_when ());
3178 add_unwind_entry ((psprel
3179 ? output_pfs_psprel
3180 : output_pfs_sprel) (val));
3181 break;
3182 case REG_AR + AR_LC:
3183 add_unwind_entry (output_lc_when ());
3184 add_unwind_entry ((psprel
3185 ? output_lc_psprel
3186 : output_lc_sprel) (val));
3187 break;
3188 case REG_BR:
3189 add_unwind_entry (output_rp_when ());
3190 add_unwind_entry ((psprel
3191 ? output_rp_psprel
3192 : output_rp_sprel) (val));
3193 break;
3194 case REG_PR:
3195 add_unwind_entry (output_preds_when ());
3196 add_unwind_entry ((psprel
3197 ? output_preds_psprel
3198 : output_preds_sprel) (val));
3199 break;
3200 case REG_PRIUNAT:
3201 add_unwind_entry (output_priunat_when_mem ());
3202 add_unwind_entry ((psprel
3203 ? output_priunat_psprel
3204 : output_priunat_sprel) (val));
3205 break;
3206 default:
3207 as_bad ("First operand not a valid register");
800eeca4
JW
3208 }
3209 }
3210 else
3211 as_bad (" Second operand not a valid constant");
3212 }
3213 else
e0c9811a 3214 as_bad ("First operand not a register");
800eeca4
JW
3215}
3216
197865e8 3217static void
800eeca4 3218dot_saveg (dummy)
2434f565 3219 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3220{
3221 expressionS e1, e2;
3222 int sep;
3223 sep = parse_operand (&e1);
3224 if (sep == ',')
3225 parse_operand (&e2);
197865e8 3226
800eeca4
JW
3227 if (e1.X_op != O_constant)
3228 as_bad ("First operand to .save.g must be a constant.");
3229 else
3230 {
3231 int grmask = e1.X_add_number;
3232 if (sep != ',')
3233 add_unwind_entry (output_gr_mem (grmask));
3234 else
542d6675 3235 {
800eeca4 3236 int reg = e2.X_add_number - REG_GR;
542d6675 3237 if (e2.X_op == O_register && reg >= 0 && reg < 128)
800eeca4
JW
3238 add_unwind_entry (output_gr_gr (grmask, reg));
3239 else
3240 as_bad ("Second operand is an invalid register.");
3241 }
3242 }
3243}
3244
197865e8 3245static void
800eeca4 3246dot_savef (dummy)
2434f565 3247 int dummy ATTRIBUTE_UNUSED;
800eeca4 3248{
e0c9811a 3249 expressionS e1;
800eeca4
JW
3250 int sep;
3251 sep = parse_operand (&e1);
197865e8 3252
800eeca4
JW
3253 if (e1.X_op != O_constant)
3254 as_bad ("Operand to .save.f must be a constant.");
3255 else
e0c9811a 3256 add_unwind_entry (output_fr_mem (e1.X_add_number));
800eeca4
JW
3257}
3258
197865e8 3259static void
800eeca4 3260dot_saveb (dummy)
2434f565 3261 int dummy ATTRIBUTE_UNUSED;
800eeca4 3262{
e0c9811a
JW
3263 expressionS e1, e2;
3264 unsigned int reg;
3265 unsigned char sep;
3266 int brmask;
3267
800eeca4 3268 sep = parse_operand (&e1);
800eeca4 3269 if (e1.X_op != O_constant)
800eeca4 3270 {
e0c9811a
JW
3271 as_bad ("First operand to .save.b must be a constant.");
3272 return;
800eeca4 3273 }
e0c9811a
JW
3274 brmask = e1.X_add_number;
3275
3276 if (sep == ',')
3277 {
3278 sep = parse_operand (&e2);
3279 reg = e2.X_add_number - REG_GR;
3280 if (e2.X_op != O_register || reg > 127)
3281 {
3282 as_bad ("Second operand to .save.b must be a general register.");
3283 return;
3284 }
3285 add_unwind_entry (output_br_gr (brmask, e2.X_add_number));
3286 }
3287 else
3288 add_unwind_entry (output_br_mem (brmask));
3289
3290 if (!is_end_of_line[sep] && !is_it_end_of_statement ())
3291 ignore_rest_of_line ();
800eeca4
JW
3292}
3293
197865e8 3294static void
800eeca4 3295dot_savegf (dummy)
2434f565 3296 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3297{
3298 expressionS e1, e2;
3299 int sep;
3300 sep = parse_operand (&e1);
3301 if (sep == ',')
3302 parse_operand (&e2);
197865e8 3303
800eeca4
JW
3304 if (e1.X_op != O_constant || sep != ',' || e2.X_op != O_constant)
3305 as_bad ("Both operands of .save.gf must be constants.");
3306 else
3307 {
3308 int grmask = e1.X_add_number;
3309 int frmask = e2.X_add_number;
3310 add_unwind_entry (output_frgr_mem (grmask, frmask));
3311 }
3312}
3313
197865e8 3314static void
800eeca4 3315dot_spill (dummy)
2434f565 3316 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3317{
3318 expressionS e;
e0c9811a
JW
3319 unsigned char sep;
3320
3321 sep = parse_operand (&e);
3322 if (!is_end_of_line[sep] && !is_it_end_of_statement ())
3323 ignore_rest_of_line ();
197865e8 3324
800eeca4
JW
3325 if (e.X_op != O_constant)
3326 as_bad ("Operand to .spill must be a constant");
3327 else
e0c9811a
JW
3328 add_unwind_entry (output_spill_base (e.X_add_number));
3329}
3330
3331static void
3332dot_spillreg (dummy)
2434f565 3333 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
3334{
3335 int sep, ab, xy, reg, treg;
3336 expressionS e1, e2;
3337
3338 sep = parse_operand (&e1);
3339 if (sep != ',')
3340 {
3341 as_bad ("No second operand to .spillreg");
3342 return;
3343 }
3344
3345 parse_operand (&e2);
3346
3347 if (!convert_expr_to_ab_reg (&e1, &ab, &reg))
800eeca4 3348 {
e0c9811a
JW
3349 as_bad ("First operand to .spillreg must be a preserved register");
3350 return;
800eeca4 3351 }
e0c9811a
JW
3352
3353 if (!convert_expr_to_xy_reg (&e2, &xy, &treg))
3354 {
3355 as_bad ("Second operand to .spillreg must be a register");
3356 return;
3357 }
3358
3359 add_unwind_entry (output_spill_reg (ab, reg, treg, xy));
3360}
3361
3362static void
3363dot_spillmem (psprel)
3364 int psprel;
3365{
3366 expressionS e1, e2;
3367 int sep, ab, reg;
3368
3369 sep = parse_operand (&e1);
3370 if (sep != ',')
3371 {
3372 as_bad ("Second operand missing");
3373 return;
3374 }
3375
3376 parse_operand (&e2);
3377
3378 if (!convert_expr_to_ab_reg (&e1, &ab, &reg))
3379 {
3380 as_bad ("First operand to .spill%s must be a preserved register",
3381 psprel ? "psp" : "sp");
3382 return;
3383 }
3384
3385 if (e2.X_op != O_constant)
3386 {
3387 as_bad ("Second operand to .spill%s must be a constant",
3388 psprel ? "psp" : "sp");
3389 return;
3390 }
3391
3392 if (psprel)
3393 add_unwind_entry (output_spill_psprel (ab, reg, e2.X_add_number));
3394 else
3395 add_unwind_entry (output_spill_sprel (ab, reg, e2.X_add_number));
3396}
3397
3398static void
3399dot_spillreg_p (dummy)
2434f565 3400 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
3401{
3402 int sep, ab, xy, reg, treg;
3403 expressionS e1, e2, e3;
3404 unsigned int qp;
3405
3406 sep = parse_operand (&e1);
3407 if (sep != ',')
3408 {
3409 as_bad ("No second and third operand to .spillreg.p");
3410 return;
3411 }
3412
3413 sep = parse_operand (&e2);
3414 if (sep != ',')
3415 {
3416 as_bad ("No third operand to .spillreg.p");
3417 return;
3418 }
3419
3420 parse_operand (&e3);
3421
3422 qp = e1.X_add_number - REG_P;
3423
3424 if (e1.X_op != O_register || qp > 63)
3425 {
3426 as_bad ("First operand to .spillreg.p must be a predicate");
3427 return;
3428 }
3429
3430 if (!convert_expr_to_ab_reg (&e2, &ab, &reg))
3431 {
3432 as_bad ("Second operand to .spillreg.p must be a preserved register");
3433 return;
3434 }
3435
3436 if (!convert_expr_to_xy_reg (&e3, &xy, &treg))
3437 {
3438 as_bad ("Third operand to .spillreg.p must be a register");
3439 return;
3440 }
3441
3442 add_unwind_entry (output_spill_reg_p (ab, reg, treg, xy, qp));
3443}
3444
3445static void
3446dot_spillmem_p (psprel)
3447 int psprel;
3448{
3449 expressionS e1, e2, e3;
3450 int sep, ab, reg;
3451 unsigned int qp;
3452
3453 sep = parse_operand (&e1);
3454 if (sep != ',')
3455 {
3456 as_bad ("Second operand missing");
3457 return;
3458 }
3459
3460 parse_operand (&e2);
3461 if (sep != ',')
3462 {
3463 as_bad ("Second operand missing");
3464 return;
3465 }
3466
3467 parse_operand (&e3);
3468
3469 qp = e1.X_add_number - REG_P;
3470 if (e1.X_op != O_register || qp > 63)
3471 {
3472 as_bad ("First operand to .spill%s_p must be a predicate",
3473 psprel ? "psp" : "sp");
3474 return;
3475 }
3476
3477 if (!convert_expr_to_ab_reg (&e2, &ab, &reg))
3478 {
3479 as_bad ("Second operand to .spill%s_p must be a preserved register",
3480 psprel ? "psp" : "sp");
3481 return;
3482 }
3483
3484 if (e3.X_op != O_constant)
3485 {
3486 as_bad ("Third operand to .spill%s_p must be a constant",
3487 psprel ? "psp" : "sp");
3488 return;
3489 }
3490
3491 if (psprel)
3492 add_unwind_entry (output_spill_psprel_p (qp, ab, reg, e3.X_add_number));
3493 else
3494 add_unwind_entry (output_spill_sprel_p (qp, ab, reg, e3.X_add_number));
3495}
3496
3497static void
3498dot_label_state (dummy)
2434f565 3499 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
3500{
3501 expressionS e;
3502
3503 parse_operand (&e);
3504 if (e.X_op != O_constant)
3505 {
3506 as_bad ("Operand to .label_state must be a constant");
3507 return;
3508 }
3509 add_unwind_entry (output_label_state (e.X_add_number));
3510}
3511
3512static void
3513dot_copy_state (dummy)
2434f565 3514 int dummy ATTRIBUTE_UNUSED;
e0c9811a
JW
3515{
3516 expressionS e;
3517
3518 parse_operand (&e);
3519 if (e.X_op != O_constant)
3520 {
3521 as_bad ("Operand to .copy_state must be a constant");
3522 return;
3523 }
3524 add_unwind_entry (output_copy_state (e.X_add_number));
800eeca4
JW
3525}
3526
197865e8 3527static void
800eeca4 3528dot_unwabi (dummy)
2434f565 3529 int dummy ATTRIBUTE_UNUSED;
800eeca4 3530{
e0c9811a
JW
3531 expressionS e1, e2;
3532 unsigned char sep;
3533
3534 sep = parse_operand (&e1);
3535 if (sep != ',')
3536 {
3537 as_bad ("Second operand to .unwabi missing");
3538 return;
3539 }
3540 sep = parse_operand (&e2);
3541 if (!is_end_of_line[sep] && !is_it_end_of_statement ())
3542 ignore_rest_of_line ();
3543
3544 if (e1.X_op != O_constant)
3545 {
3546 as_bad ("First operand to .unwabi must be a constant");
3547 return;
3548 }
3549
3550 if (e2.X_op != O_constant)
3551 {
3552 as_bad ("Second operand to .unwabi must be a constant");
3553 return;
3554 }
3555
3556 add_unwind_entry (output_unwabi (e1.X_add_number, e2.X_add_number));
800eeca4
JW
3557}
3558
197865e8 3559static void
800eeca4 3560dot_personality (dummy)
2434f565 3561 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3562{
3563 char *name, *p, c;
3564 SKIP_WHITESPACE ();
3565 name = input_line_pointer;
3566 c = get_symbol_end ();
3567 p = input_line_pointer;
e0c9811a 3568 unwind.personality_routine = symbol_find_or_make (name);
800eeca4
JW
3569 *p = c;
3570 SKIP_WHITESPACE ();
3571 demand_empty_rest_of_line ();
3572}
3573
3574static void
3575dot_proc (dummy)
2434f565 3576 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3577{
3578 char *name, *p, c;
3579 symbolS *sym;
3580
e0c9811a
JW
3581 unwind.proc_start = expr_build_dot ();
3582 /* Parse names of main and alternate entry points and mark them as
542d6675 3583 function symbols: */
800eeca4
JW
3584 while (1)
3585 {
3586 SKIP_WHITESPACE ();
3587 name = input_line_pointer;
3588 c = get_symbol_end ();
3589 p = input_line_pointer;
3590 sym = symbol_find_or_make (name);
e0c9811a 3591 if (unwind.proc_start == 0)
542d6675 3592 {
e0c9811a 3593 unwind.proc_start = sym;
800eeca4
JW
3594 }
3595 symbol_get_bfdsym (sym)->flags |= BSF_FUNCTION;
3596 *p = c;
3597 SKIP_WHITESPACE ();
3598 if (*input_line_pointer != ',')
3599 break;
3600 ++input_line_pointer;
3601 }
3602 demand_empty_rest_of_line ();
3603 ia64_do_align (16);
3604
e0c9811a
JW
3605 unwind.list = unwind.tail = unwind.current_entry = NULL;
3606 unwind.personality_routine = 0;
800eeca4
JW
3607}
3608
3609static void
3610dot_body (dummy)
2434f565 3611 int dummy ATTRIBUTE_UNUSED;
800eeca4 3612{
e0c9811a 3613 unwind.prologue = 0;
30d25259
RH
3614 unwind.prologue_mask = 0;
3615
800eeca4 3616 add_unwind_entry (output_body ());
e0c9811a 3617 demand_empty_rest_of_line ();
800eeca4
JW
3618}
3619
3620static void
3621dot_prologue (dummy)
2434f565 3622 int dummy ATTRIBUTE_UNUSED;
800eeca4 3623{
e0c9811a 3624 unsigned char sep;
2434f565 3625 int mask = 0, grsave = 0;
e0c9811a 3626
e0c9811a 3627 if (!is_it_end_of_statement ())
800eeca4
JW
3628 {
3629 expressionS e1, e2;
800eeca4
JW
3630 sep = parse_operand (&e1);
3631 if (sep != ',')
3632 as_bad ("No second operand to .prologue");
3633 sep = parse_operand (&e2);
e0c9811a 3634 if (!is_end_of_line[sep] && !is_it_end_of_statement ())
542d6675 3635 ignore_rest_of_line ();
800eeca4
JW
3636
3637 if (e1.X_op == O_constant)
542d6675 3638 {
30d25259
RH
3639 mask = e1.X_add_number;
3640
800eeca4 3641 if (e2.X_op == O_constant)
30d25259
RH
3642 grsave = e2.X_add_number;
3643 else if (e2.X_op == O_register
3644 && (grsave = e2.X_add_number - REG_GR) < 128)
3645 ;
800eeca4 3646 else
30d25259
RH
3647 as_bad ("Second operand not a constant or general register");
3648
3649 add_unwind_entry (output_prologue_gr (mask, grsave));
800eeca4
JW
3650 }
3651 else
3652 as_bad ("First operand not a constant");
3653 }
3654 else
3655 add_unwind_entry (output_prologue ());
30d25259
RH
3656
3657 unwind.prologue = 1;
3658 unwind.prologue_mask = mask;
800eeca4
JW
3659}
3660
3661static void
3662dot_endp (dummy)
2434f565 3663 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3664{
3665 expressionS e;
3666 unsigned char *ptr;
44f5c83a 3667 int bytes_per_address;
800eeca4
JW
3668 long where;
3669 segT saved_seg;
3670 subsegT saved_subseg;
3671
3672 saved_seg = now_seg;
3673 saved_subseg = now_subseg;
3674
3675 expression (&e);
3676 demand_empty_rest_of_line ();
3677
3678 insn_group_break (1, 0, 0);
800eeca4
JW
3679
3680 /* If there was a .handlerdata, we haven't generated an image yet. */
e0c9811a 3681 if (unwind.info == 0)
800eeca4
JW
3682 {
3683 generate_unwind_image ();
3684 }
3685
3686 subseg_set (md.last_text_seg, 0);
e0c9811a 3687 unwind.proc_end = expr_build_dot ();
800eeca4
JW
3688
3689 set_section ((char *) special_section_name[SPECIAL_SECTION_UNWIND]);
5e7474a7
JW
3690
3691 /* Make sure the section has 8 byte alignment. */
3692 record_alignment (now_seg, 3);
3693
800eeca4
JW
3694 ptr = frag_more (24);
3695 where = frag_now_fix () - 24;
44f5c83a 3696 bytes_per_address = bfd_arch_bits_per_address (stdoutput) / 8;
800eeca4
JW
3697
3698 /* Issue the values of a) Proc Begin, b) Proc End, c) Unwind Record. */
3699 e.X_op = O_pseudo_fixup;
3700 e.X_op_symbol = pseudo_func[FUNC_SEG_RELATIVE].u.sym;
3701 e.X_add_number = 0;
e0c9811a 3702 e.X_add_symbol = unwind.proc_start;
44f5c83a 3703 ia64_cons_fix_new (frag_now, where, bytes_per_address, &e);
800eeca4
JW
3704
3705 e.X_op = O_pseudo_fixup;
3706 e.X_op_symbol = pseudo_func[FUNC_SEG_RELATIVE].u.sym;
3707 e.X_add_number = 0;
e0c9811a 3708 e.X_add_symbol = unwind.proc_end;
44f5c83a 3709 ia64_cons_fix_new (frag_now, where + bytes_per_address, bytes_per_address, &e);
800eeca4 3710
e0c9811a 3711 if (unwind.info != 0)
800eeca4
JW
3712 {
3713 e.X_op = O_pseudo_fixup;
3714 e.X_op_symbol = pseudo_func[FUNC_SEG_RELATIVE].u.sym;
3715 e.X_add_number = 0;
e0c9811a 3716 e.X_add_symbol = unwind.info;
44f5c83a 3717 ia64_cons_fix_new (frag_now, where + (bytes_per_address * 2), bytes_per_address, &e);
800eeca4
JW
3718 }
3719 else
44f5c83a 3720 md_number_to_chars (ptr + (bytes_per_address * 2), 0, bytes_per_address);
800eeca4
JW
3721
3722 subseg_set (saved_seg, saved_subseg);
e0c9811a 3723 unwind.proc_start = unwind.proc_end = unwind.info = 0;
800eeca4
JW
3724}
3725
3726static void
3727dot_template (template)
3728 int template;
3729{
3730 CURR_SLOT.user_template = template;
3731}
3732
3733static void
3734dot_regstk (dummy)
2434f565 3735 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3736{
3737 int ins, locs, outs, rots;
3738
3739 if (is_it_end_of_statement ())
3740 ins = locs = outs = rots = 0;
3741 else
3742 {
3743 ins = get_absolute_expression ();
3744 if (*input_line_pointer++ != ',')
3745 goto err;
3746 locs = get_absolute_expression ();
3747 if (*input_line_pointer++ != ',')
3748 goto err;
3749 outs = get_absolute_expression ();
3750 if (*input_line_pointer++ != ',')
3751 goto err;
3752 rots = get_absolute_expression ();
3753 }
3754 set_regstack (ins, locs, outs, rots);
3755 return;
3756
3757 err:
3758 as_bad ("Comma expected");
3759 ignore_rest_of_line ();
3760}
3761
3762static void
3763dot_rot (type)
3764 int type;
3765{
3766 unsigned num_regs, num_alloced = 0;
3767 struct dynreg **drpp, *dr;
3768 int ch, base_reg = 0;
3769 char *name, *start;
3770 size_t len;
3771
3772 switch (type)
3773 {
3774 case DYNREG_GR: base_reg = REG_GR + 32; break;
3775 case DYNREG_FR: base_reg = REG_FR + 32; break;
3776 case DYNREG_PR: base_reg = REG_P + 16; break;
3777 default: break;
3778 }
3779
542d6675 3780 /* First, remove existing names from hash table. */
800eeca4
JW
3781 for (dr = md.dynreg[type]; dr && dr->num_regs; dr = dr->next)
3782 {
3783 hash_delete (md.dynreg_hash, dr->name);
3784 dr->num_regs = 0;
3785 }
3786
3787 drpp = &md.dynreg[type];
3788 while (1)
3789 {
3790 start = input_line_pointer;
3791 ch = get_symbol_end ();
3792 *input_line_pointer = ch;
3793 len = (input_line_pointer - start);
3794
3795 SKIP_WHITESPACE ();
3796 if (*input_line_pointer != '[')
3797 {
3798 as_bad ("Expected '['");
3799 goto err;
3800 }
3801 ++input_line_pointer; /* skip '[' */
3802
3803 num_regs = get_absolute_expression ();
3804
3805 if (*input_line_pointer++ != ']')
3806 {
3807 as_bad ("Expected ']'");
3808 goto err;
3809 }
3810 SKIP_WHITESPACE ();
3811
3812 num_alloced += num_regs;
3813 switch (type)
3814 {
3815 case DYNREG_GR:
3816 if (num_alloced > md.rot.num_regs)
3817 {
3818 as_bad ("Used more than the declared %d rotating registers",
3819 md.rot.num_regs);
3820 goto err;
3821 }
3822 break;
3823 case DYNREG_FR:
3824 if (num_alloced > 96)
3825 {
3826 as_bad ("Used more than the available 96 rotating registers");
3827 goto err;
3828 }
3829 break;
3830 case DYNREG_PR:
3831 if (num_alloced > 48)
3832 {
3833 as_bad ("Used more than the available 48 rotating registers");
3834 goto err;
3835 }
3836 break;
3837
3838 default:
3839 break;
3840 }
3841
3842 name = obstack_alloc (&notes, len + 1);
3843 memcpy (name, start, len);
3844 name[len] = '\0';
3845
3846 if (!*drpp)
3847 {
3848 *drpp = obstack_alloc (&notes, sizeof (*dr));
3849 memset (*drpp, 0, sizeof (*dr));
3850 }
3851
3852 dr = *drpp;
3853 dr->name = name;
3854 dr->num_regs = num_regs;
3855 dr->base = base_reg;
3856 drpp = &dr->next;
3857 base_reg += num_regs;
3858
3859 if (hash_insert (md.dynreg_hash, name, dr))
3860 {
3861 as_bad ("Attempt to redefine register set `%s'", name);
3862 goto err;
3863 }
3864
3865 if (*input_line_pointer != ',')
3866 break;
3867 ++input_line_pointer; /* skip comma */
3868 SKIP_WHITESPACE ();
3869 }
3870 demand_empty_rest_of_line ();
3871 return;
3872
3873 err:
3874 ignore_rest_of_line ();
3875}
3876
3877static void
3878dot_byteorder (byteorder)
3879 int byteorder;
3880{
3881 target_big_endian = byteorder;
3882}
3883
3884static void
3885dot_psr (dummy)
2434f565 3886 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3887{
3888 char *option;
3889 int ch;
3890
3891 while (1)
3892 {
3893 option = input_line_pointer;
3894 ch = get_symbol_end ();
3895 if (strcmp (option, "lsb") == 0)
3896 md.flags &= ~EF_IA_64_BE;
3897 else if (strcmp (option, "msb") == 0)
3898 md.flags |= EF_IA_64_BE;
3899 else if (strcmp (option, "abi32") == 0)
3900 md.flags &= ~EF_IA_64_ABI64;
3901 else if (strcmp (option, "abi64") == 0)
3902 md.flags |= EF_IA_64_ABI64;
3903 else
3904 as_bad ("Unknown psr option `%s'", option);
3905 *input_line_pointer = ch;
3906
3907 SKIP_WHITESPACE ();
3908 if (*input_line_pointer != ',')
3909 break;
3910
3911 ++input_line_pointer;
3912 SKIP_WHITESPACE ();
3913 }
3914 demand_empty_rest_of_line ();
3915}
3916
3917static void
3918dot_alias (dummy)
2434f565 3919 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3920{
3921 as_bad (".alias not implemented yet");
3922}
3923
3924static void
3925dot_ln (dummy)
2434f565 3926 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
3927{
3928 new_logical_line (0, get_absolute_expression ());
3929 demand_empty_rest_of_line ();
3930}
3931
542d6675 3932static char *
800eeca4
JW
3933parse_section_name ()
3934{
3935 char *name;
3936 int len;
3937
3938 SKIP_WHITESPACE ();
3939 if (*input_line_pointer != '"')
3940 {
3941 as_bad ("Missing section name");
3942 ignore_rest_of_line ();
3943 return 0;
3944 }
3945 name = demand_copy_C_string (&len);
3946 if (!name)
3947 {
3948 ignore_rest_of_line ();
3949 return 0;
3950 }
3951 SKIP_WHITESPACE ();
3952 if (*input_line_pointer != ',')
3953 {
3954 as_bad ("Comma expected after section name");
3955 ignore_rest_of_line ();
3956 return 0;
3957 }
3958 ++input_line_pointer; /* skip comma */
3959 return name;
3960}
3961
3962static void
3963dot_xdata (size)
3964 int size;
3965{
3966 char *name = parse_section_name ();
3967 if (!name)
3968 return;
3969
3970 set_section (name);
3971 cons (size);
3972 obj_elf_previous (0);
3973}
3974
3975/* Why doesn't float_cons() call md_cons_align() the way cons() does? */
542d6675 3976
800eeca4
JW
3977static void
3978stmt_float_cons (kind)
3979 int kind;
3980{
3981 size_t size;
3982
3983 switch (kind)
3984 {
3985 case 'd': size = 8; break;
3986 case 'x': size = 10; break;
3987
3988 case 'f':
3989 default:
3990 size = 4;
3991 break;
3992 }
3993 ia64_do_align (size);
3994 float_cons (kind);
3995}
3996
3997static void
3998stmt_cons_ua (size)
3999 int size;
4000{
4001 int saved_auto_align = md.auto_align;
4002
4003 md.auto_align = 0;
4004 cons (size);
4005 md.auto_align = saved_auto_align;
4006}
4007
4008static void
4009dot_xfloat_cons (kind)
4010 int kind;
4011{
4012 char *name = parse_section_name ();
4013 if (!name)
4014 return;
4015
4016 set_section (name);
4017 stmt_float_cons (kind);
4018 obj_elf_previous (0);
4019}
4020
4021static void
4022dot_xstringer (zero)
4023 int zero;
4024{
4025 char *name = parse_section_name ();
4026 if (!name)
4027 return;
4028
4029 set_section (name);
4030 stringer (zero);
4031 obj_elf_previous (0);
4032}
4033
4034static void
4035dot_xdata_ua (size)
4036 int size;
4037{
4038 int saved_auto_align = md.auto_align;
4039 char *name = parse_section_name ();
4040 if (!name)
4041 return;
4042
4043 set_section (name);
4044 md.auto_align = 0;
4045 cons (size);
4046 md.auto_align = saved_auto_align;
4047 obj_elf_previous (0);
4048}
4049
4050static void
4051dot_xfloat_cons_ua (kind)
4052 int kind;
4053{
4054 int saved_auto_align = md.auto_align;
4055 char *name = parse_section_name ();
4056 if (!name)
4057 return;
4058
4059 set_section (name);
4060 md.auto_align = 0;
4061 stmt_float_cons (kind);
4062 md.auto_align = saved_auto_align;
4063 obj_elf_previous (0);
4064}
4065
4066/* .reg.val <regname>,value */
542d6675 4067
800eeca4
JW
4068static void
4069dot_reg_val (dummy)
2434f565 4070 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
4071{
4072 expressionS reg;
4073
4074 expression (&reg);
4075 if (reg.X_op != O_register)
4076 {
4077 as_bad (_("Register name expected"));
4078 ignore_rest_of_line ();
4079 }
4080 else if (*input_line_pointer++ != ',')
4081 {
4082 as_bad (_("Comma expected"));
4083 ignore_rest_of_line ();
4084 }
197865e8 4085 else
800eeca4
JW
4086 {
4087 valueT value = get_absolute_expression ();
4088 int regno = reg.X_add_number;
542d6675
KH
4089 if (regno < REG_GR || regno > REG_GR + 128)
4090 as_warn (_("Register value annotation ignored"));
800eeca4 4091 else
542d6675
KH
4092 {
4093 gr_values[regno - REG_GR].known = 1;
4094 gr_values[regno - REG_GR].value = value;
4095 gr_values[regno - REG_GR].path = md.path;
4096 }
800eeca4
JW
4097 }
4098 demand_empty_rest_of_line ();
4099}
4100
197865e8 4101/* select dv checking mode
800eeca4
JW
4102 .auto
4103 .explicit
4104 .default
4105
197865e8 4106 A stop is inserted when changing modes
800eeca4 4107 */
542d6675 4108
800eeca4
JW
4109static void
4110dot_dv_mode (type)
542d6675 4111 int type;
800eeca4
JW
4112{
4113 if (md.manual_bundling)
4114 as_warn (_("Directive invalid within a bundle"));
4115
4116 if (type == 'E' || type == 'A')
4117 md.mode_explicitly_set = 0;
4118 else
4119 md.mode_explicitly_set = 1;
4120
4121 md.detect_dv = 1;
4122 switch (type)
4123 {
4124 case 'A':
4125 case 'a':
4126 if (md.explicit_mode)
542d6675 4127 insn_group_break (1, 0, 0);
800eeca4
JW
4128 md.explicit_mode = 0;
4129 break;
4130 case 'E':
4131 case 'e':
4132 if (!md.explicit_mode)
542d6675 4133 insn_group_break (1, 0, 0);
800eeca4
JW
4134 md.explicit_mode = 1;
4135 break;
4136 default:
4137 case 'd':
4138 if (md.explicit_mode != md.default_explicit_mode)
542d6675 4139 insn_group_break (1, 0, 0);
800eeca4
JW
4140 md.explicit_mode = md.default_explicit_mode;
4141 md.mode_explicitly_set = 0;
4142 break;
4143 }
4144}
4145
4146static void
4147print_prmask (mask)
542d6675 4148 valueT mask;
800eeca4
JW
4149{
4150 int regno;
4151 char *comma = "";
542d6675 4152 for (regno = 0; regno < 64; regno++)
800eeca4 4153 {
542d6675
KH
4154 if (mask & ((valueT) 1 << regno))
4155 {
4156 fprintf (stderr, "%s p%d", comma, regno);
4157 comma = ",";
4158 }
800eeca4
JW
4159 }
4160}
4161
4162/*
4163 .pred.rel.clear [p1 [,p2 [,...]]] (also .pred.rel "clear")
4164 .pred.rel.imply p1, p2 (also .pred.rel "imply")
4165 .pred.rel.mutex p1, p2 [,...] (also .pred.rel "mutex")
4166 .pred.safe_across_calls p1 [, p2 [,...]]
4167 */
542d6675 4168
800eeca4
JW
4169static void
4170dot_pred_rel (type)
542d6675 4171 int type;
800eeca4
JW
4172{
4173 valueT mask = 0;
4174 int count = 0;
4175 int p1 = -1, p2 = -1;
4176
4177 if (type == 0)
4178 {
4179 if (*input_line_pointer != '"')
542d6675
KH
4180 {
4181 as_bad (_("Missing predicate relation type"));
4182 ignore_rest_of_line ();
4183 return;
4184 }
197865e8 4185 else
542d6675
KH
4186 {
4187 int len;
4188 char *form = demand_copy_C_string (&len);
4189 if (strcmp (form, "mutex") == 0)
4190 type = 'm';
4191 else if (strcmp (form, "clear") == 0)
4192 type = 'c';
4193 else if (strcmp (form, "imply") == 0)
4194 type = 'i';
4195 else
4196 {
4197 as_bad (_("Unrecognized predicate relation type"));
4198 ignore_rest_of_line ();
4199 return;
4200 }
4201 }
800eeca4 4202 if (*input_line_pointer == ',')
542d6675 4203 ++input_line_pointer;
800eeca4
JW
4204 SKIP_WHITESPACE ();
4205 }
4206
4207 SKIP_WHITESPACE ();
4208 while (1)
4209 {
4210 valueT bit = 1;
4211 int regno;
197865e8 4212
800eeca4 4213 if (toupper (*input_line_pointer) != 'P'
542d6675
KH
4214 || (regno = atoi (++input_line_pointer)) < 0
4215 || regno > 63)
4216 {
4217 as_bad (_("Predicate register expected"));
4218 ignore_rest_of_line ();
4219 return;
4220 }
800eeca4 4221 while (isdigit (*input_line_pointer))
542d6675 4222 ++input_line_pointer;
800eeca4 4223 if (p1 == -1)
542d6675 4224 p1 = regno;
800eeca4 4225 else if (p2 == -1)
542d6675 4226 p2 = regno;
800eeca4
JW
4227 bit <<= regno;
4228 if (mask & bit)
542d6675
KH
4229 as_warn (_("Duplicate predicate register ignored"));
4230 mask |= bit;
4231 count++;
4232 /* See if it's a range. */
800eeca4 4233 if (*input_line_pointer == '-')
542d6675
KH
4234 {
4235 valueT stop = 1;
4236 ++input_line_pointer;
4237
4238 if (toupper (*input_line_pointer) != 'P'
4239 || (regno = atoi (++input_line_pointer)) < 0
4240 || regno > 63)
4241 {
4242 as_bad (_("Predicate register expected"));
4243 ignore_rest_of_line ();
4244 return;
4245 }
4246 while (isdigit (*input_line_pointer))
4247 ++input_line_pointer;
4248 stop <<= regno;
4249 if (bit >= stop)
4250 {
4251 as_bad (_("Bad register range"));
4252 ignore_rest_of_line ();
4253 return;
4254 }
4255 while (bit < stop)
4256 {
4257 bit <<= 1;
4258 mask |= bit;
4259 count++;
4260 }
4261 SKIP_WHITESPACE ();
4262 }
800eeca4 4263 if (*input_line_pointer != ',')
542d6675 4264 break;
800eeca4
JW
4265 ++input_line_pointer;
4266 SKIP_WHITESPACE ();
4267 }
4268
4269 switch (type)
4270 {
4271 case 'c':
4272 if (count == 0)
542d6675 4273 mask = ~(valueT) 0;
800eeca4 4274 clear_qp_mutex (mask);
197865e8 4275 clear_qp_implies (mask, (valueT) 0);
800eeca4
JW
4276 break;
4277 case 'i':
4278 if (count != 2 || p1 == -1 || p2 == -1)
542d6675 4279 as_bad (_("Predicate source and target required"));
800eeca4 4280 else if (p1 == 0 || p2 == 0)
542d6675 4281 as_bad (_("Use of p0 is not valid in this context"));
800eeca4 4282 else
542d6675 4283 add_qp_imply (p1, p2);
800eeca4
JW
4284 break;
4285 case 'm':
4286 if (count < 2)
542d6675
KH
4287 {
4288 as_bad (_("At least two PR arguments expected"));
4289 break;
4290 }
800eeca4 4291 else if (mask & 1)
542d6675
KH
4292 {
4293 as_bad (_("Use of p0 is not valid in this context"));
4294 break;
4295 }
800eeca4
JW
4296 add_qp_mutex (mask);
4297 break;
4298 case 's':
4299 /* note that we don't override any existing relations */
4300 if (count == 0)
542d6675
KH
4301 {
4302 as_bad (_("At least one PR argument expected"));
4303 break;
4304 }
800eeca4 4305 if (md.debug_dv)
542d6675
KH
4306 {
4307 fprintf (stderr, "Safe across calls: ");
4308 print_prmask (mask);
4309 fprintf (stderr, "\n");
4310 }
800eeca4
JW
4311 qp_safe_across_calls = mask;
4312 break;
4313 }
4314 demand_empty_rest_of_line ();
4315}
4316
4317/* .entry label [, label [, ...]]
4318 Hint to DV code that the given labels are to be considered entry points.
542d6675
KH
4319 Otherwise, only global labels are considered entry points. */
4320
800eeca4
JW
4321static void
4322dot_entry (dummy)
2434f565 4323 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
4324{
4325 const char *err;
4326 char *name;
4327 int c;
4328 symbolS *symbolP;
4329
4330 do
4331 {
4332 name = input_line_pointer;
4333 c = get_symbol_end ();
4334 symbolP = symbol_find_or_make (name);
4335
4336 err = hash_insert (md.entry_hash, S_GET_NAME (symbolP), (PTR) symbolP);
4337 if (err)
542d6675
KH
4338 as_fatal (_("Inserting \"%s\" into entry hint table failed: %s"),
4339 name, err);
800eeca4
JW
4340
4341 *input_line_pointer = c;
4342 SKIP_WHITESPACE ();
4343 c = *input_line_pointer;
4344 if (c == ',')
4345 {
4346 input_line_pointer++;
4347 SKIP_WHITESPACE ();
4348 if (*input_line_pointer == '\n')
4349 c = '\n';
4350 }
4351 }
4352 while (c == ',');
4353
4354 demand_empty_rest_of_line ();
4355}
4356
197865e8 4357/* .mem.offset offset, base
542d6675
KH
4358 "base" is used to distinguish between offsets from a different base. */
4359
800eeca4
JW
4360static void
4361dot_mem_offset (dummy)
2434f565 4362 int dummy ATTRIBUTE_UNUSED;
800eeca4
JW
4363{
4364 md.mem_offset.hint = 1;
4365 md.mem_offset.offset = get_absolute_expression ();
4366 if (*input_line_pointer != ',')
4367 {
4368 as_bad (_("Comma expected"));
4369 ignore_rest_of_line ();
4370 return;
4371 }
4372 ++input_line_pointer;
4373 md.mem_offset.base = get_absolute_expression ();
4374 demand_empty_rest_of_line ();
4375}
4376
542d6675 4377/* ia64-specific pseudo-ops: */
800eeca4
JW
4378const pseudo_typeS md_pseudo_table[] =
4379 {
4380 { "radix", dot_radix, 0 },
4381 { "lcomm", s_lcomm_bytes, 1 },
4382 { "bss", dot_special_section, SPECIAL_SECTION_BSS },
4383 { "sbss", dot_special_section, SPECIAL_SECTION_SBSS },
4384 { "sdata", dot_special_section, SPECIAL_SECTION_SDATA },
4385 { "rodata", dot_special_section, SPECIAL_SECTION_RODATA },
4386 { "comment", dot_special_section, SPECIAL_SECTION_COMMENT },
4387 { "ia_64.unwind", dot_special_section, SPECIAL_SECTION_UNWIND },
4388 { "ia_64.unwind_info", dot_special_section, SPECIAL_SECTION_UNWIND_INFO },
4389 { "proc", dot_proc, 0 },
4390 { "body", dot_body, 0 },
4391 { "prologue", dot_prologue, 0 },
2434f565
JW
4392 { "endp", dot_endp, 0 },
4393 { "file", dwarf2_directive_file, 0 },
4394 { "loc", dwarf2_directive_loc, 0 },
4395
4396 { "fframe", dot_fframe, 0 },
4397 { "vframe", dot_vframe, 0 },
4398 { "vframesp", dot_vframesp, 0 },
4399 { "vframepsp", dot_vframepsp, 0 },
4400 { "save", dot_save, 0 },
4401 { "restore", dot_restore, 0 },
4402 { "restorereg", dot_restorereg, 0 },
4403 { "restorereg.p", dot_restorereg_p, 0 },
4404 { "handlerdata", dot_handlerdata, 0 },
4405 { "unwentry", dot_unwentry, 0 },
4406 { "altrp", dot_altrp, 0 },
e0c9811a
JW
4407 { "savesp", dot_savemem, 0 },
4408 { "savepsp", dot_savemem, 1 },
2434f565
JW
4409 { "save.g", dot_saveg, 0 },
4410 { "save.f", dot_savef, 0 },
4411 { "save.b", dot_saveb, 0 },
4412 { "save.gf", dot_savegf, 0 },
4413 { "spill", dot_spill, 0 },
4414 { "spillreg", dot_spillreg, 0 },
e0c9811a
JW
4415 { "spillsp", dot_spillmem, 0 },
4416 { "spillpsp", dot_spillmem, 1 },
2434f565 4417 { "spillreg.p", dot_spillreg_p, 0 },
e0c9811a
JW
4418 { "spillsp.p", dot_spillmem_p, 0 },
4419 { "spillpsp.p", dot_spillmem_p, 1 },
2434f565
JW
4420 { "label_state", dot_label_state, 0 },
4421 { "copy_state", dot_copy_state, 0 },
4422 { "unwabi", dot_unwabi, 0 },
4423 { "personality", dot_personality, 0 },
800eeca4 4424#if 0
2434f565 4425 { "estate", dot_estate, 0 },
800eeca4
JW
4426#endif
4427 { "mii", dot_template, 0x0 },
4428 { "mli", dot_template, 0x2 }, /* old format, for compatibility */
4429 { "mlx", dot_template, 0x2 },
4430 { "mmi", dot_template, 0x4 },
4431 { "mfi", dot_template, 0x6 },
4432 { "mmf", dot_template, 0x7 },
4433 { "mib", dot_template, 0x8 },
4434 { "mbb", dot_template, 0x9 },
4435 { "bbb", dot_template, 0xb },
4436 { "mmb", dot_template, 0xc },
4437 { "mfb", dot_template, 0xe },
4438#if 0
4439 { "lb", dot_scope, 0 },
4440 { "le", dot_scope, 1 },
4441#endif
4442 { "align", s_align_bytes, 0 },
4443 { "regstk", dot_regstk, 0 },
4444 { "rotr", dot_rot, DYNREG_GR },
4445 { "rotf", dot_rot, DYNREG_FR },
4446 { "rotp", dot_rot, DYNREG_PR },
4447 { "lsb", dot_byteorder, 0 },
4448 { "msb", dot_byteorder, 1 },
4449 { "psr", dot_psr, 0 },
4450 { "alias", dot_alias, 0 },
4451 { "ln", dot_ln, 0 }, /* source line info (for debugging) */
4452
4453 { "xdata1", dot_xdata, 1 },
4454 { "xdata2", dot_xdata, 2 },
4455 { "xdata4", dot_xdata, 4 },
4456 { "xdata8", dot_xdata, 8 },
4457 { "xreal4", dot_xfloat_cons, 'f' },
4458 { "xreal8", dot_xfloat_cons, 'd' },
4459 { "xreal10", dot_xfloat_cons, 'x' },
4460 { "xstring", dot_xstringer, 0 },
4461 { "xstringz", dot_xstringer, 1 },
4462
542d6675 4463 /* unaligned versions: */
800eeca4
JW
4464 { "xdata2.ua", dot_xdata_ua, 2 },
4465 { "xdata4.ua", dot_xdata_ua, 4 },
4466 { "xdata8.ua", dot_xdata_ua, 8 },
4467 { "xreal4.ua", dot_xfloat_cons_ua, 'f' },
4468 { "xreal8.ua", dot_xfloat_cons_ua, 'd' },
4469 { "xreal10.ua", dot_xfloat_cons_ua, 'x' },
4470
4471 /* annotations/DV checking support */
4472 { "entry", dot_entry, 0 },
2434f565 4473 { "mem.offset", dot_mem_offset, 0 },
800eeca4
JW
4474 { "pred.rel", dot_pred_rel, 0 },
4475 { "pred.rel.clear", dot_pred_rel, 'c' },
4476 { "pred.rel.imply", dot_pred_rel, 'i' },
4477 { "pred.rel.mutex", dot_pred_rel, 'm' },
4478 { "pred.safe_across_calls", dot_pred_rel, 's' },
2434f565 4479 { "reg.val", dot_reg_val, 0 },
800eeca4
JW
4480 { "auto", dot_dv_mode, 'a' },
4481 { "explicit", dot_dv_mode, 'e' },
4482 { "default", dot_dv_mode, 'd' },
4483
4484 { NULL, 0, 0 }
4485 };
4486
4487static const struct pseudo_opcode
4488 {
4489 const char *name;
4490 void (*handler) (int);
4491 int arg;
4492 }
4493pseudo_opcode[] =
4494 {
4495 /* these are more like pseudo-ops, but don't start with a dot */
4496 { "data1", cons, 1 },
4497 { "data2", cons, 2 },
4498 { "data4", cons, 4 },
4499 { "data8", cons, 8 },
4500 { "real4", stmt_float_cons, 'f' },
4501 { "real8", stmt_float_cons, 'd' },
4502 { "real10", stmt_float_cons, 'x' },
4503 { "string", stringer, 0 },
4504 { "stringz", stringer, 1 },
4505
542d6675 4506 /* unaligned versions: */
800eeca4
JW
4507 { "data2.ua", stmt_cons_ua, 2 },
4508 { "data4.ua", stmt_cons_ua, 4 },
4509 { "data8.ua", stmt_cons_ua, 8 },
4510 { "real4.ua", float_cons, 'f' },
4511 { "real8.ua", float_cons, 'd' },
4512 { "real10.ua", float_cons, 'x' },
4513 };
4514
4515/* Declare a register by creating a symbol for it and entering it in
4516 the symbol table. */
542d6675
KH
4517
4518static symbolS *
800eeca4
JW
4519declare_register (name, regnum)
4520 const char *name;
4521 int regnum;
4522{
4523 const char *err;
4524 symbolS *sym;
4525
4526 sym = symbol_new (name, reg_section, regnum, &zero_address_frag);
4527
4528 err = hash_insert (md.reg_hash, S_GET_NAME (sym), (PTR) sym);
4529 if (err)
4530 as_fatal ("Inserting \"%s\" into register table failed: %s",
4531 name, err);
4532
4533 return sym;
4534}
4535
4536static void
4537declare_register_set (prefix, num_regs, base_regnum)
4538 const char *prefix;
4539 int num_regs;
4540 int base_regnum;
4541{
4542 char name[8];
4543 int i;
4544
4545 for (i = 0; i < num_regs; ++i)
4546 {
4547 sprintf (name, "%s%u", prefix, i);
4548 declare_register (name, base_regnum + i);
4549 }
4550}
4551
4552static unsigned int
4553operand_width (opnd)
4554 enum ia64_opnd opnd;
4555{
4556 const struct ia64_operand *odesc = &elf64_ia64_operands[opnd];
4557 unsigned int bits = 0;
4558 int i;
4559
4560 bits = 0;
4561 for (i = 0; i < NELEMS (odesc->field) && odesc->field[i].bits; ++i)
4562 bits += odesc->field[i].bits;
4563
4564 return bits;
4565}
4566
4567static int
4568operand_match (idesc, index, e)
4569 const struct ia64_opcode *idesc;
4570 int index;
4571 expressionS *e;
4572{
4573 enum ia64_opnd opnd = idesc->operands[index];
4574 int bits, relocatable = 0;
4575 struct insn_fix *fix;
4576 bfd_signed_vma val;
4577
4578 switch (opnd)
4579 {
542d6675 4580 /* constants: */
800eeca4
JW
4581
4582 case IA64_OPND_AR_CCV:
4583 if (e->X_op == O_register && e->X_add_number == REG_AR + 32)
4584 return 1;
4585 break;
4586
4587 case IA64_OPND_AR_PFS:
4588 if (e->X_op == O_register && e->X_add_number == REG_AR + 64)
4589 return 1;
4590 break;
4591
4592 case IA64_OPND_GR0:
4593 if (e->X_op == O_register && e->X_add_number == REG_GR + 0)
4594 return 1;
4595 break;
4596
4597 case IA64_OPND_IP:
4598 if (e->X_op == O_register && e->X_add_number == REG_IP)
4599 return 1;
4600 break;
4601
4602 case IA64_OPND_PR:
4603 if (e->X_op == O_register && e->X_add_number == REG_PR)
4604 return 1;
4605 break;
4606
4607 case IA64_OPND_PR_ROT:
4608 if (e->X_op == O_register && e->X_add_number == REG_PR_ROT)
4609 return 1;
4610 break;
4611
4612 case IA64_OPND_PSR:
4613 if (e->X_op == O_register && e->X_add_number == REG_PSR)
4614 return 1;
4615 break;
4616
4617 case IA64_OPND_PSR_L:
4618 if (e->X_op == O_register && e->X_add_number == REG_PSR_L)
4619 return 1;
4620 break;
4621
4622 case IA64_OPND_PSR_UM:
4623 if (e->X_op == O_register && e->X_add_number == REG_PSR_UM)
4624 return 1;
4625 break;
4626
4627 case IA64_OPND_C1:
4628 if (e->X_op == O_constant && e->X_add_number == 1)
4629 return 1;
4630 break;
4631
4632 case IA64_OPND_C8:
4633 if (e->X_op == O_constant && e->X_add_number == 8)
4634 return 1;
4635 break;
4636
4637 case IA64_OPND_C16:
4638 if (e->X_op == O_constant && e->X_add_number == 16)
4639 return 1;
4640 break;
4641
542d6675 4642 /* register operands: */
800eeca4
JW
4643
4644 case IA64_OPND_AR3:
4645 if (e->X_op == O_register && e->X_add_number >= REG_AR
4646 && e->X_add_number < REG_AR + 128)
4647 return 1;
4648 break;
4649
4650 case IA64_OPND_B1:
4651 case IA64_OPND_B2:
4652 if (e->X_op == O_register && e->X_add_number >= REG_BR
4653 && e->X_add_number < REG_BR + 8)
4654 return 1;
4655 break;
4656
4657 case IA64_OPND_CR3:
4658 if (e->X_op == O_register && e->X_add_number >= REG_CR
4659 && e->X_add_number < REG_CR + 128)
4660 return 1;
4661 break;
4662
4663 case IA64_OPND_F1:
4664 case IA64_OPND_F2:
4665 case IA64_OPND_F3:
4666 case IA64_OPND_F4:
4667 if (e->X_op == O_register && e->X_add_number >= REG_FR
4668 && e->X_add_number < REG_FR + 128)
4669 return 1;
4670 break;
4671
4672 case IA64_OPND_P1:
4673 case IA64_OPND_P2:
4674 if (e->X_op == O_register && e->X_add_number >= REG_P
4675 && e->X_add_number < REG_P + 64)
4676 return 1;
4677 break;
4678
4679 case IA64_OPND_R1:
4680 case IA64_OPND_R2:
4681 case IA64_OPND_R3:
4682 if (e->X_op == O_register && e->X_add_number >= REG_GR
4683 && e->X_add_number < REG_GR + 128)
4684 return 1;
4685 break;
4686
4687 case IA64_OPND_R3_2:
4688 if (e->X_op == O_register && e->X_add_number >= REG_GR
4689 && e->X_add_number < REG_GR + 4)
4690 return 1;
4691 break;
4692
542d6675 4693 /* indirect operands: */
800eeca4
JW
4694 case IA64_OPND_CPUID_R3:
4695 case IA64_OPND_DBR_R3:
4696 case IA64_OPND_DTR_R3:
4697 case IA64_OPND_ITR_R3:
4698 case IA64_OPND_IBR_R3:
4699 case IA64_OPND_MSR_R3:
4700 case IA64_OPND_PKR_R3:
4701 case IA64_OPND_PMC_R3:
4702 case IA64_OPND_PMD_R3:
4703 case IA64_OPND_RR_R3:
4704 if (e->X_op == O_index && e->X_op_symbol
4705 && (S_GET_VALUE (e->X_op_symbol) - IND_CPUID
4706 == opnd - IA64_OPND_CPUID_R3))
4707 return 1;
4708 break;
4709
4710 case IA64_OPND_MR3:
4711 if (e->X_op == O_index && !e->X_op_symbol)
4712 return 1;
4713 break;
4714
542d6675 4715 /* immediate operands: */
800eeca4
JW
4716 case IA64_OPND_CNT2a:
4717 case IA64_OPND_LEN4:
4718 case IA64_OPND_LEN6:
4719 bits = operand_width (idesc->operands[index]);
4720 if (e->X_op == O_constant
4721 && (bfd_vma) (e->X_add_number - 1) < ((bfd_vma) 1 << bits))
4722 return 1;
4723 break;
4724
4725 case IA64_OPND_CNT2b:
4726 if (e->X_op == O_constant
4727 && (bfd_vma) (e->X_add_number - 1) < 3)
4728 return 1;
4729 break;
4730
4731 case IA64_OPND_CNT2c:
4732 val = e->X_add_number;
4733 if (e->X_op == O_constant
4734 && (val == 0 || val == 7 || val == 15 || val == 16))
4735 return 1;
4736 break;
4737
4738 case IA64_OPND_SOR:
4739 /* SOR must be an integer multiple of 8 */
4740 if (e->X_add_number & 0x7)
4741 break;
4742 case IA64_OPND_SOF:
4743 case IA64_OPND_SOL:
4744 if (e->X_op == O_constant &&
4745 (bfd_vma) e->X_add_number <= 96)
4746 return 1;
4747 break;
4748
4749 case IA64_OPND_IMMU62:
4750 if (e->X_op == O_constant)
542d6675 4751 {
800eeca4 4752 if ((bfd_vma) e->X_add_number < ((bfd_vma) 1 << 62))
542d6675
KH
4753 return 1;
4754 }
197865e8 4755 else
542d6675
KH
4756 {
4757 /* FIXME -- need 62-bit relocation type */
4758 as_bad (_("62-bit relocation not yet implemented"));
4759 }
800eeca4
JW
4760 break;
4761
4762 case IA64_OPND_IMMU64:
4763 if (e->X_op == O_symbol || e->X_op == O_pseudo_fixup
4764 || e->X_op == O_subtract)
4765 {
4766 fix = CURR_SLOT.fixup + CURR_SLOT.num_fixups;
4767 fix->code = BFD_RELOC_IA64_IMM64;
4768 if (e->X_op != O_subtract)
4769 {
4770 fix->code = ia64_gen_real_reloc_type (e->X_op_symbol, fix->code);
4771 if (e->X_op == O_pseudo_fixup)
4772 e->X_op = O_symbol;
4773 }
4774
4775 fix->opnd = idesc->operands[index];
4776 fix->expr = *e;
4777 fix->is_pcrel = 0;
4778 ++CURR_SLOT.num_fixups;
4779 return 1;
4780 }
4781 else if (e->X_op == O_constant)
4782 return 1;
4783 break;
4784
4785 case IA64_OPND_CCNT5:
4786 case IA64_OPND_CNT5:
4787 case IA64_OPND_CNT6:
4788 case IA64_OPND_CPOS6a:
4789 case IA64_OPND_CPOS6b:
4790 case IA64_OPND_CPOS6c:
4791 case IA64_OPND_IMMU2:
4792 case IA64_OPND_IMMU7a:
4793 case IA64_OPND_IMMU7b:
800eeca4
JW
4794 case IA64_OPND_IMMU21:
4795 case IA64_OPND_IMMU24:
4796 case IA64_OPND_MBTYPE4:
4797 case IA64_OPND_MHTYPE8:
4798 case IA64_OPND_POS6:
4799 bits = operand_width (idesc->operands[index]);
4800 if (e->X_op == O_constant
4801 && (bfd_vma) e->X_add_number < ((bfd_vma) 1 << bits))
4802 return 1;
4803 break;
4804
bf3ca999
TW
4805 case IA64_OPND_IMMU9:
4806 bits = operand_width (idesc->operands[index]);
4807 if (e->X_op == O_constant
4808 && (bfd_vma) e->X_add_number < ((bfd_vma) 1 << bits))
542d6675
KH
4809 {
4810 int lobits = e->X_add_number & 0x3;
4811 if (((bfd_vma) e->X_add_number & 0x3C) != 0 && lobits == 0)
4812 e->X_add_number |= (bfd_vma) 0x3;
4813 return 1;
4814 }
bf3ca999
TW
4815 break;
4816
800eeca4
JW
4817 case IA64_OPND_IMM44:
4818 /* least 16 bits must be zero */
4819 if ((e->X_add_number & 0xffff) != 0)
542d6675 4820 as_warn (_("lower 16 bits of mask ignored"));
800eeca4
JW
4821
4822 if (e->X_op == O_constant
4823 && ((e->X_add_number >= 0
2434f565 4824 && (bfd_vma) e->X_add_number < ((bfd_vma) 1 << 44))
800eeca4 4825 || (e->X_add_number < 0
2434f565 4826 && (bfd_vma) -e->X_add_number <= ((bfd_vma) 1 << 44))))
542d6675
KH
4827 {
4828 /* sign-extend */
4829 if (e->X_add_number >= 0
4830 && (e->X_add_number & ((bfd_vma) 1 << 43)) != 0)
4831 {
4832 e->X_add_number |= ~(((bfd_vma) 1 << 44) - 1);
4833 }
4834 return 1;
4835 }
800eeca4
JW
4836 break;
4837
4838 case IA64_OPND_IMM17:
4839 /* bit 0 is a don't care (pr0 is hardwired to 1) */
4840 if (e->X_op == O_constant
4841 && ((e->X_add_number >= 0
2434f565 4842 && (bfd_vma) e->X_add_number < ((bfd_vma) 1 << 17))
800eeca4 4843 || (e->X_add_number < 0
2434f565 4844 && (bfd_vma) -e->X_add_number <= ((bfd_vma) 1 << 17))))
542d6675
KH
4845 {
4846 /* sign-extend */
4847 if (e->X_add_number >= 0
4848 && (e->X_add_number & ((bfd_vma) 1 << 16)) != 0)
4849 {
4850 e->X_add_number |= ~(((bfd_vma) 1 << 17) - 1);
4851 }
4852 return 1;
4853 }
800eeca4
JW
4854 break;
4855
4856 case IA64_OPND_IMM14:
4857 case IA64_OPND_IMM22:
4858 relocatable = 1;
4859 case IA64_OPND_IMM1:
4860 case IA64_OPND_IMM8:
4861 case IA64_OPND_IMM8U4:
4862 case IA64_OPND_IMM8M1:
4863 case IA64_OPND_IMM8M1U4:
4864 case IA64_OPND_IMM8M1U8:
4865 case IA64_OPND_IMM9a:
4866 case IA64_OPND_IMM9b:
4867 bits = operand_width (idesc->operands[index]);
4868 if (relocatable && (e->X_op == O_symbol
4869 || e->X_op == O_subtract
4870 || e->X_op == O_pseudo_fixup))
4871 {
4872 fix = CURR_SLOT.fixup + CURR_SLOT.num_fixups;
4873
4874 if (idesc->operands[index] == IA64_OPND_IMM14)
4875 fix->code = BFD_RELOC_IA64_IMM14;
4876 else
4877 fix->code = BFD_RELOC_IA64_IMM22;
4878
4879 if (e->X_op != O_subtract)
4880 {
4881 fix->code = ia64_gen_real_reloc_type (e->X_op_symbol, fix->code);
4882 if (e->X_op == O_pseudo_fixup)
4883 e->X_op = O_symbol;
4884 }
4885
4886 fix->opnd = idesc->operands[index];
4887 fix->expr = *e;
4888 fix->is_pcrel = 0;
4889 ++CURR_SLOT.num_fixups;
4890 return 1;
4891 }
4892 else if (e->X_op != O_constant
4893 && ! (e->X_op == O_big && opnd == IA64_OPND_IMM8M1U8))
4894 return 0;
4895
4896 if (opnd == IA64_OPND_IMM8M1U4)
4897 {
4898 /* Zero is not valid for unsigned compares that take an adjusted
4899 constant immediate range. */
4900 if (e->X_add_number == 0)
4901 return 0;
4902
4903 /* Sign-extend 32-bit unsigned numbers, so that the following range
4904 checks will work. */
4905 val = e->X_add_number;
197865e8
KH
4906 if (((val & (~(bfd_vma) 0 << 32)) == 0)
4907 && ((val & ((bfd_vma) 1 << 31)) != 0))
800eeca4
JW
4908 val = ((val << 32) >> 32);
4909
4910 /* Check for 0x100000000. This is valid because
4911 0x100000000-1 is the same as ((uint32_t) -1). */
4912 if (val == ((bfd_signed_vma) 1 << 32))
4913 return 1;
4914
4915 val = val - 1;
4916 }
4917 else if (opnd == IA64_OPND_IMM8M1U8)
4918 {
4919 /* Zero is not valid for unsigned compares that take an adjusted
4920 constant immediate range. */
4921 if (e->X_add_number == 0)
4922 return 0;
4923
4924 /* Check for 0x10000000000000000. */
4925 if (e->X_op == O_big)
4926 {
4927 if (generic_bignum[0] == 0
4928 && generic_bignum[1] == 0
4929 && generic_bignum[2] == 0
4930 && generic_bignum[3] == 0
4931 && generic_bignum[4] == 1)
4932 return 1;
4933 else
4934 return 0;
4935 }
4936 else
4937 val = e->X_add_number - 1;
4938 }
4939 else if (opnd == IA64_OPND_IMM8M1)
4940 val = e->X_add_number - 1;
4941 else if (opnd == IA64_OPND_IMM8U4)
4942 {
4943 /* Sign-extend 32-bit unsigned numbers, so that the following range
4944 checks will work. */
4945 val = e->X_add_number;
197865e8
KH
4946 if (((val & (~(bfd_vma) 0 << 32)) == 0)
4947 && ((val & ((bfd_vma) 1 << 31)) != 0))
800eeca4
JW
4948 val = ((val << 32) >> 32);
4949 }
4950 else
4951 val = e->X_add_number;
4952
2434f565
JW
4953 if ((val >= 0 && (bfd_vma) val < ((bfd_vma) 1 << (bits - 1)))
4954 || (val < 0 && (bfd_vma) -val <= ((bfd_vma) 1 << (bits - 1))))
800eeca4
JW
4955 return 1;
4956 break;
4957
4958 case IA64_OPND_INC3:
4959 /* +/- 1, 4, 8, 16 */
4960 val = e->X_add_number;
4961 if (val < 0)
4962 val = -val;
4963 if (e->X_op == O_constant
4964 && (val == 1 || val == 4 || val == 8 || val == 16))
4965 return 1;
4966 break;
4967
4968 case IA64_OPND_TGT25:
4969 case IA64_OPND_TGT25b:
4970 case IA64_OPND_TGT25c:
4971 case IA64_OPND_TGT64:
4972 if (e->X_op == O_symbol)
4973 {
4974 fix = CURR_SLOT.fixup + CURR_SLOT.num_fixups;
4975 if (opnd == IA64_OPND_TGT25)
4976 fix->code = BFD_RELOC_IA64_PCREL21F;
4977 else if (opnd == IA64_OPND_TGT25b)
4978 fix->code = BFD_RELOC_IA64_PCREL21M;
4979 else if (opnd == IA64_OPND_TGT25c)
4980 fix->code = BFD_RELOC_IA64_PCREL21B;
542d6675 4981 else if (opnd == IA64_OPND_TGT64)
c67e42c9
RH
4982 fix->code = BFD_RELOC_IA64_PCREL60B;
4983 else
4984 abort ();
4985
800eeca4
JW
4986 fix->code = ia64_gen_real_reloc_type (e->X_op_symbol, fix->code);
4987 fix->opnd = idesc->operands[index];
4988 fix->expr = *e;
4989 fix->is_pcrel = 1;
4990 ++CURR_SLOT.num_fixups;
4991 return 1;
4992 }
4993 case IA64_OPND_TAG13:
4994 case IA64_OPND_TAG13b:
4995 switch (e->X_op)
4996 {
4997 case O_constant:
4998 return 1;
4999
5000 case O_symbol:
5001 fix = CURR_SLOT.fixup + CURR_SLOT.num_fixups;
5002 fix->code = ia64_gen_real_reloc_type (e->X_op_symbol, 0);
5003 fix->opnd = idesc->operands[index];
5004 fix->expr = *e;
5005 fix->is_pcrel = 1;
5006 ++CURR_SLOT.num_fixups;
5007 return 1;
5008
5009 default:
5010 break;
5011 }
5012 break;
5013
5014 default:
5015 break;
5016 }
5017 return 0;
5018}
5019
5020static int
5021parse_operand (e)
5022 expressionS *e;
5023{
5024 int sep = '\0';
5025
5026 memset (e, 0, sizeof (*e));
5027 e->X_op = O_absent;
5028 SKIP_WHITESPACE ();
5029 if (*input_line_pointer != '}')
5030 expression (e);
5031 sep = *input_line_pointer++;
5032
5033 if (sep == '}')
5034 {
5035 if (!md.manual_bundling)
5036 as_warn ("Found '}' when manual bundling is off");
5037 else
5038 CURR_SLOT.manual_bundling_off = 1;
5039 md.manual_bundling = 0;
5040 sep = '\0';
5041 }
5042 return sep;
5043}
5044
5045/* Returns the next entry in the opcode table that matches the one in
5046 IDESC, and frees the entry in IDESC. If no matching entry is
197865e8 5047 found, NULL is returned instead. */
800eeca4
JW
5048
5049static struct ia64_opcode *
5050get_next_opcode (struct ia64_opcode *idesc)
5051{
5052 struct ia64_opcode *next = ia64_find_next_opcode (idesc);
5053 ia64_free_opcode (idesc);
5054 return next;
5055}
5056
5057/* Parse the operands for the opcode and find the opcode variant that
5058 matches the specified operands, or NULL if no match is possible. */
542d6675
KH
5059
5060static struct ia64_opcode *
800eeca4
JW
5061parse_operands (idesc)
5062 struct ia64_opcode *idesc;
5063{
5064 int i = 0, highest_unmatched_operand, num_operands = 0, num_outputs = 0;
5065 int sep = 0;
5066 enum ia64_opnd expected_operand = IA64_OPND_NIL;
5067 char mnemonic[129];
5068 char *first_arg = 0, *end, *saved_input_pointer;
5069 unsigned int sof;
5070
5071 assert (strlen (idesc->name) <= 128);
5072
5073 strcpy (mnemonic, idesc->name);
5074 if (idesc->operands[2] == IA64_OPND_SOF)
5075 {
5076 /* To make the common idiom "alloc loc?=ar.pfs,0,1,0,0" work, we
5077 can't parse the first operand until we have parsed the
5078 remaining operands of the "alloc" instruction. */
5079 SKIP_WHITESPACE ();
5080 first_arg = input_line_pointer;
5081 end = strchr (input_line_pointer, '=');
5082 if (!end)
5083 {
5084 as_bad ("Expected separator `='");
5085 return 0;
5086 }
5087 input_line_pointer = end + 1;
5088 ++i;
5089 ++num_outputs;
5090 }
5091
5092 for (; i < NELEMS (CURR_SLOT.opnd); ++i)
5093 {
5094 sep = parse_operand (CURR_SLOT.opnd + i);
5095 if (CURR_SLOT.opnd[i].X_op == O_absent)
5096 break;
5097
5098 ++num_operands;
5099
5100 if (sep != '=' && sep != ',')
5101 break;
5102
5103 if (sep == '=')
5104 {
5105 if (num_outputs > 0)
5106 as_bad ("Duplicate equal sign (=) in instruction");
5107 else
5108 num_outputs = i + 1;
5109 }
5110 }
5111 if (sep != '\0')
5112 {
5113 as_bad ("Illegal operand separator `%c'", sep);
5114 return 0;
5115 }
197865e8 5116
800eeca4
JW
5117 if (idesc->operands[2] == IA64_OPND_SOF)
5118 {
5119 /* map alloc r1=ar.pfs,i,l,o,r to alloc r1=ar.pfs,(i+l+o),(i+l),r */
5120 know (strcmp (idesc->name, "alloc") == 0);
5121 if (num_operands == 5 /* first_arg not included in this count! */
5122 && CURR_SLOT.opnd[2].X_op == O_constant
5123 && CURR_SLOT.opnd[3].X_op == O_constant
5124 && CURR_SLOT.opnd[4].X_op == O_constant
5125 && CURR_SLOT.opnd[5].X_op == O_constant)
5126 {
5127 sof = set_regstack (CURR_SLOT.opnd[2].X_add_number,
5128 CURR_SLOT.opnd[3].X_add_number,
5129 CURR_SLOT.opnd[4].X_add_number,
5130 CURR_SLOT.opnd[5].X_add_number);
5131
542d6675 5132 /* now we can parse the first arg: */
800eeca4
JW
5133 saved_input_pointer = input_line_pointer;
5134 input_line_pointer = first_arg;
5135 sep = parse_operand (CURR_SLOT.opnd + 0);
5136 if (sep != '=')
5137 --num_outputs; /* force error */
5138 input_line_pointer = saved_input_pointer;
5139
5140 CURR_SLOT.opnd[2].X_add_number = sof;
5141 CURR_SLOT.opnd[3].X_add_number
5142 = sof - CURR_SLOT.opnd[4].X_add_number;
5143 CURR_SLOT.opnd[4] = CURR_SLOT.opnd[5];
5144 }
5145 }
5146
5147 highest_unmatched_operand = 0;
5148 expected_operand = idesc->operands[0];
5149 for (; idesc; idesc = get_next_opcode (idesc))
5150 {
5151 if (num_outputs != idesc->num_outputs)
5152 continue; /* mismatch in # of outputs */
5153
5154 CURR_SLOT.num_fixups = 0;
5155 for (i = 0; i < num_operands && idesc->operands[i]; ++i)
5156 if (!operand_match (idesc, i, CURR_SLOT.opnd + i))
5157 break;
5158
5159 if (i != num_operands)
5160 {
5161 if (i > highest_unmatched_operand)
5162 {
5163 highest_unmatched_operand = i;
5164 expected_operand = idesc->operands[i];
5165 }
5166 continue;
5167 }
5168
5169 if (num_operands < NELEMS (idesc->operands)
5170 && idesc->operands[num_operands])
5171 continue; /* mismatch in number of arguments */
5172
5173 break;
5174 }
5175 if (!idesc)
5176 {
5177 if (expected_operand)
5178 as_bad ("Operand %u of `%s' should be %s",
5179 highest_unmatched_operand + 1, mnemonic,
5180 elf64_ia64_operands[expected_operand].desc);
5181 else
5182 as_bad ("Operand mismatch");
5183 return 0;
5184 }
5185 return idesc;
5186}
5187
88be23ec
BS
5188/* Keep track of state necessary to determine whether a NOP is necessary
5189 to avoid an erratum in A and B step Itanium chips, and return 1 if we
5190 detect a case where additional NOPs may be necessary. */
5191static int
5192errata_nop_necessary_p (slot, insn_unit)
5193 struct slot *slot;
5194 enum ia64_unit insn_unit;
5195{
5196 int i;
5197 struct group *this_group = md.last_groups + md.group_idx;
5198 struct group *prev_group = md.last_groups + (md.group_idx + 2) % 3;
5199 struct ia64_opcode *idesc = slot->idesc;
5200
5201 /* Test whether this could be the first insn in a problematic sequence. */
5202 if (insn_unit == IA64_UNIT_F)
5203 {
5204 for (i = 0; i < idesc->num_outputs; i++)
5205 if (idesc->operands[i] == IA64_OPND_P1
5206 || idesc->operands[i] == IA64_OPND_P2)
5207 {
5208 int regno = slot->opnd[i].X_add_number - REG_P;
ca683b78 5209 if (regno >= 64)
88be23ec
BS
5210 abort ();
5211 this_group->p_reg_set[regno] = 1;
5212 }
5213 }
5214
5215 /* Test whether this could be the second insn in a problematic sequence. */
5216 if (insn_unit == IA64_UNIT_M && slot->qp_regno > 0
5217 && prev_group->p_reg_set[slot->qp_regno])
5218 {
5219 for (i = 0; i < idesc->num_outputs; i++)
5220 if (idesc->operands[i] == IA64_OPND_R1
5221 || idesc->operands[i] == IA64_OPND_R2
5222 || idesc->operands[i] == IA64_OPND_R3)
5223 {
5224 int regno = slot->opnd[i].X_add_number - REG_GR;
ca683b78 5225 if (regno >= 128)
88be23ec
BS
5226 abort ();
5227 if (strncmp (idesc->name, "add", 3) != 0
5228 && strncmp (idesc->name, "sub", 3) != 0
5229 && strncmp (idesc->name, "shladd", 6) != 0
5230 && (idesc->flags & IA64_OPCODE_POSTINC) == 0)
5231 this_group->g_reg_set_conditionally[regno] = 1;
5232 }
5233 }
5234
5235 /* Test whether this could be the third insn in a problematic sequence. */
5236 for (i = 0; i < NELEMS (idesc->operands) && idesc->operands[i]; i++)
5237 {
5238 if (/* For fc, ptc, ptr, tak, thash, tpa, ttag, probe, ptr, ptc. */
5239 idesc->operands[i] == IA64_OPND_R3
5240 /* For mov indirect. */
5241 || idesc->operands[i] == IA64_OPND_RR_R3
5242 || idesc->operands[i] == IA64_OPND_DBR_R3
5243 || idesc->operands[i] == IA64_OPND_IBR_R3
5244 || idesc->operands[i] == IA64_OPND_PKR_R3
5245 || idesc->operands[i] == IA64_OPND_PMC_R3
5246 || idesc->operands[i] == IA64_OPND_PMD_R3
5247 || idesc->operands[i] == IA64_OPND_MSR_R3
5248 || idesc->operands[i] == IA64_OPND_CPUID_R3
5249 /* For itr. */
5250 || idesc->operands[i] == IA64_OPND_ITR_R3
5251 || idesc->operands[i] == IA64_OPND_DTR_R3
5252 /* Normal memory addresses (load, store, xchg, cmpxchg, etc.). */
5253 || idesc->operands[i] == IA64_OPND_MR3)
5254 {
5255 int regno = slot->opnd[i].X_add_number - REG_GR;
ca683b78 5256 if (regno >= 128)
88be23ec
BS
5257 abort ();
5258 if (idesc->operands[i] == IA64_OPND_R3)
5259 {
5260 if (strcmp (idesc->name, "fc") != 0
5261 && strcmp (idesc->name, "tak") != 0
5262 && strcmp (idesc->name, "thash") != 0
5263 && strcmp (idesc->name, "tpa") != 0
5264 && strcmp (idesc->name, "ttag") != 0
5265 && strncmp (idesc->name, "ptr", 3) != 0
5266 && strncmp (idesc->name, "ptc", 3) != 0
5267 && strncmp (idesc->name, "probe", 5) != 0)
5268 return 0;
5269 }
bc805888 5270 if (prev_group->g_reg_set_conditionally[regno])
88be23ec
BS
5271 return 1;
5272 }
5273 }
5274 return 0;
5275}
5276
800eeca4
JW
5277static void
5278build_insn (slot, insnp)
5279 struct slot *slot;
5280 bfd_vma *insnp;
5281{
5282 const struct ia64_operand *odesc, *o2desc;
5283 struct ia64_opcode *idesc = slot->idesc;
5284 bfd_signed_vma insn, val;
5285 const char *err;
5286 int i;
5287
5288 insn = idesc->opcode | slot->qp_regno;
5289
5290 for (i = 0; i < NELEMS (idesc->operands) && idesc->operands[i]; ++i)
5291 {
c67e42c9
RH
5292 if (slot->opnd[i].X_op == O_register
5293 || slot->opnd[i].X_op == O_constant
5294 || slot->opnd[i].X_op == O_index)
5295 val = slot->opnd[i].X_add_number;
5296 else if (slot->opnd[i].X_op == O_big)
800eeca4 5297 {
c67e42c9
RH
5298 /* This must be the value 0x10000000000000000. */
5299 assert (idesc->operands[i] == IA64_OPND_IMM8M1U8);
5300 val = 0;
5301 }
5302 else
5303 val = 0;
5304
5305 switch (idesc->operands[i])
5306 {
5307 case IA64_OPND_IMMU64:
800eeca4
JW
5308 *insnp++ = (val >> 22) & 0x1ffffffffffLL;
5309 insn |= (((val & 0x7f) << 13) | (((val >> 7) & 0x1ff) << 27)
5310 | (((val >> 16) & 0x1f) << 22) | (((val >> 21) & 0x1) << 21)
5311 | (((val >> 63) & 0x1) << 36));
c67e42c9
RH
5312 continue;
5313
5314 case IA64_OPND_IMMU62:
542d6675
KH
5315 val &= 0x3fffffffffffffffULL;
5316 if (val != slot->opnd[i].X_add_number)
5317 as_warn (_("Value truncated to 62 bits"));
5318 *insnp++ = (val >> 21) & 0x1ffffffffffLL;
5319 insn |= (((val & 0xfffff) << 6) | (((val >> 20) & 0x1) << 36));
c67e42c9 5320 continue;
800eeca4 5321
c67e42c9
RH
5322 case IA64_OPND_TGT64:
5323 val >>= 4;
5324 *insnp++ = ((val >> 20) & 0x7fffffffffLL) << 2;
5325 insn |= ((((val >> 59) & 0x1) << 36)
5326 | (((val >> 0) & 0xfffff) << 13));
5327 continue;
800eeca4 5328
c67e42c9
RH
5329 case IA64_OPND_AR3:
5330 val -= REG_AR;
5331 break;
5332
5333 case IA64_OPND_B1:
5334 case IA64_OPND_B2:
5335 val -= REG_BR;
5336 break;
5337
5338 case IA64_OPND_CR3:
5339 val -= REG_CR;
5340 break;
5341
5342 case IA64_OPND_F1:
5343 case IA64_OPND_F2:
5344 case IA64_OPND_F3:
5345 case IA64_OPND_F4:
5346 val -= REG_FR;
5347 break;
5348
5349 case IA64_OPND_P1:
5350 case IA64_OPND_P2:
5351 val -= REG_P;
5352 break;
5353
5354 case IA64_OPND_R1:
5355 case IA64_OPND_R2:
5356 case IA64_OPND_R3:
5357 case IA64_OPND_R3_2:
5358 case IA64_OPND_CPUID_R3:
5359 case IA64_OPND_DBR_R3:
5360 case IA64_OPND_DTR_R3:
5361 case IA64_OPND_ITR_R3:
5362 case IA64_OPND_IBR_R3:
5363 case IA64_OPND_MR3:
5364 case IA64_OPND_MSR_R3:
5365 case IA64_OPND_PKR_R3:
5366 case IA64_OPND_PMC_R3:
5367 case IA64_OPND_PMD_R3:
197865e8 5368 case IA64_OPND_RR_R3:
c67e42c9
RH
5369 val -= REG_GR;
5370 break;
5371
5372 default:
5373 break;
5374 }
5375
5376 odesc = elf64_ia64_operands + idesc->operands[i];
5377 err = (*odesc->insert) (odesc, val, &insn);
5378 if (err)
5379 as_bad_where (slot->src_file, slot->src_line,
5380 "Bad operand value: %s", err);
5381 if (idesc->flags & IA64_OPCODE_PSEUDO)
5382 {
5383 if ((idesc->flags & IA64_OPCODE_F2_EQ_F3)
5384 && odesc == elf64_ia64_operands + IA64_OPND_F3)
5385 {
5386 o2desc = elf64_ia64_operands + IA64_OPND_F2;
5387 (*o2desc->insert) (o2desc, val, &insn);
800eeca4 5388 }
c67e42c9
RH
5389 if ((idesc->flags & IA64_OPCODE_LEN_EQ_64MCNT)
5390 && (odesc == elf64_ia64_operands + IA64_OPND_CPOS6a
5391 || odesc == elf64_ia64_operands + IA64_OPND_POS6))
800eeca4 5392 {
c67e42c9
RH
5393 o2desc = elf64_ia64_operands + IA64_OPND_LEN6;
5394 (*o2desc->insert) (o2desc, 64 - val, &insn);
800eeca4
JW
5395 }
5396 }
5397 }
5398 *insnp = insn;
5399}
5400
5401static void
5402emit_one_bundle ()
5403{
5404 unsigned int manual_bundling_on = 0, manual_bundling_off = 0;
5405 unsigned int manual_bundling = 0;
5406 enum ia64_unit required_unit, insn_unit = 0;
5407 enum ia64_insn_type type[3], insn_type;
5408 unsigned int template, orig_template;
542d6675 5409 bfd_vma insn[3] = { -1, -1, -1 };
800eeca4
JW
5410 struct ia64_opcode *idesc;
5411 int end_of_insn_group = 0, user_template = -1;
5412 int n, i, j, first, curr;
2434f565 5413 unw_rec_list *ptr;
800eeca4
JW
5414 bfd_vma t0 = 0, t1 = 0;
5415 struct label_fix *lfix;
5416 struct insn_fix *ifix;
5417 char mnemonic[16];
5418 fixS *fix;
5419 char *f;
5420
5421 first = (md.curr_slot + NUM_SLOTS - md.num_slots_in_use) % NUM_SLOTS;
5422 know (first >= 0 & first < NUM_SLOTS);
5423 n = MIN (3, md.num_slots_in_use);
5424
5425 /* Determine template: user user_template if specified, best match
542d6675 5426 otherwise: */
800eeca4
JW
5427
5428 if (md.slot[first].user_template >= 0)
5429 user_template = template = md.slot[first].user_template;
5430 else
5431 {
032efc85 5432 /* Auto select appropriate template. */
800eeca4
JW
5433 memset (type, 0, sizeof (type));
5434 curr = first;
5435 for (i = 0; i < n; ++i)
5436 {
032efc85
RH
5437 if (md.slot[curr].label_fixups && i != 0)
5438 break;
800eeca4
JW
5439 type[i] = md.slot[curr].idesc->type;
5440 curr = (curr + 1) % NUM_SLOTS;
5441 }
5442 template = best_template[type[0]][type[1]][type[2]];
5443 }
5444
542d6675 5445 /* initialize instructions with appropriate nops: */
800eeca4
JW
5446 for (i = 0; i < 3; ++i)
5447 insn[i] = nop[ia64_templ_desc[template].exec_unit[i]];
5448
5449 f = frag_more (16);
5450
542d6675 5451 /* now fill in slots with as many insns as possible: */
800eeca4
JW
5452 curr = first;
5453 idesc = md.slot[curr].idesc;
5454 end_of_insn_group = 0;
5455 for (i = 0; i < 3 && md.num_slots_in_use > 0; ++i)
5456 {
e0c9811a
JW
5457 /* Set the slot number for prologue/body records now as those
5458 refer to the current point, not the point after the
542d6675 5459 instruction has been issued: */
10850f29
JW
5460 /* Don't try to delete prologue/body records here, as that will cause
5461 them to also be deleted from the master list of unwind records. */
e0c9811a 5462 for (ptr = md.slot[curr].unwind_record; ptr; ptr = ptr->next)
10850f29
JW
5463 if (ptr->r.type == prologue || ptr->r.type == prologue_gr
5464 || ptr->r.type == body)
f5a30c2e
JW
5465 {
5466 ptr->slot_number = (unsigned long) f + i;
5467 ptr->slot_frag = frag_now;
5468 }
e0c9811a 5469
800eeca4
JW
5470 if (idesc->flags & IA64_OPCODE_SLOT2)
5471 {
5472 if (manual_bundling && i != 2)
5473 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5474 "`%s' must be last in bundle", idesc->name);
5475 else
5476 i = 2;
5477 }
5478 if (idesc->flags & IA64_OPCODE_LAST)
5479 {
2434f565
JW
5480 int required_slot;
5481 unsigned int required_template;
800eeca4
JW
5482
5483 /* If we need a stop bit after an M slot, our only choice is
5484 template 5 (M;;MI). If we need a stop bit after a B
5485 slot, our only choice is to place it at the end of the
5486 bundle, because the only available templates are MIB,
5487 MBB, BBB, MMB, and MFB. We don't handle anything other
5488 than M and B slots because these are the only kind of
5489 instructions that can have the IA64_OPCODE_LAST bit set. */
5490 required_template = template;
5491 switch (idesc->type)
5492 {
5493 case IA64_TYPE_M:
5494 required_slot = 0;
5495 required_template = 5;
5496 break;
5497
5498 case IA64_TYPE_B:
5499 required_slot = 2;
5500 break;
5501
5502 default:
5503 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5504 "Internal error: don't know how to force %s to end"
5505 "of instruction group", idesc->name);
5506 required_slot = i;
5507 break;
5508 }
5509 if (manual_bundling && i != required_slot)
5510 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5511 "`%s' must be last in instruction group",
5512 idesc->name);
5513 if (required_slot < i)
5514 /* Can't fit this instruction. */
5515 break;
5516
5517 i = required_slot;
5518 if (required_template != template)
5519 {
5520 /* If we switch the template, we need to reset the NOPs
5521 after slot i. The slot-types of the instructions ahead
5522 of i never change, so we don't need to worry about
5523 changing NOPs in front of this slot. */
5524 for (j = i; j < 3; ++j)
5525 insn[j] = nop[ia64_templ_desc[required_template].exec_unit[j]];
5526 }
5527 template = required_template;
5528 }
5529 if (curr != first && md.slot[curr].label_fixups)
5530 {
5531 if (manual_bundling_on)
5532 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5533 "Label must be first in a bundle");
5534 /* This insn must go into the first slot of a bundle. */
5535 break;
5536 }
5537
5538 manual_bundling_on = md.slot[curr].manual_bundling_on;
5539 manual_bundling_off = md.slot[curr].manual_bundling_off;
5540
5541 if (manual_bundling_on)
5542 {
5543 if (curr == first)
5544 manual_bundling = 1;
5545 else
5546 break; /* need to start a new bundle */
5547 }
5548
5549 if (end_of_insn_group && md.num_slots_in_use >= 1)
5550 {
5551 /* We need an instruction group boundary in the middle of a
5552 bundle. See if we can switch to an other template with
5553 an appropriate boundary. */
5554
5555 orig_template = template;
5556 if (i == 1 && (user_template == 4
5557 || (user_template < 0
5558 && (ia64_templ_desc[template].exec_unit[0]
5559 == IA64_UNIT_M))))
5560 {
5561 template = 5;
5562 end_of_insn_group = 0;
5563 }
5564 else if (i == 2 && (user_template == 0
5565 || (user_template < 0
5566 && (ia64_templ_desc[template].exec_unit[1]
5567 == IA64_UNIT_I)))
5568 /* This test makes sure we don't switch the template if
5569 the next instruction is one that needs to be first in
5570 an instruction group. Since all those instructions are
5571 in the M group, there is no way such an instruction can
5572 fit in this bundle even if we switch the template. The
5573 reason we have to check for this is that otherwise we
5574 may end up generating "MI;;I M.." which has the deadly
5575 effect that the second M instruction is no longer the
5576 first in the bundle! --davidm 99/12/16 */
5577 && (idesc->flags & IA64_OPCODE_FIRST) == 0)
5578 {
5579 template = 1;
5580 end_of_insn_group = 0;
5581 }
5582 else if (curr != first)
5583 /* can't fit this insn */
5584 break;
5585
5586 if (template != orig_template)
5587 /* if we switch the template, we need to reset the NOPs
5588 after slot i. The slot-types of the instructions ahead
5589 of i never change, so we don't need to worry about
5590 changing NOPs in front of this slot. */
5591 for (j = i; j < 3; ++j)
5592 insn[j] = nop[ia64_templ_desc[template].exec_unit[j]];
5593 }
5594 required_unit = ia64_templ_desc[template].exec_unit[i];
5595
542d6675 5596 /* resolve dynamic opcodes such as "break" and "nop": */
800eeca4
JW
5597 if (idesc->type == IA64_TYPE_DYN)
5598 {
5599 if ((strcmp (idesc->name, "nop") == 0)
5600 || (strcmp (idesc->name, "break") == 0))
5601 insn_unit = required_unit;
5602 else if (strcmp (idesc->name, "chk.s") == 0)
5603 {
5604 insn_unit = IA64_UNIT_M;
5605 if (required_unit == IA64_UNIT_I)
5606 insn_unit = IA64_UNIT_I;
5607 }
5608 else
5609 as_fatal ("emit_one_bundle: unexpected dynamic op");
5610
5611 sprintf (mnemonic, "%s.%c", idesc->name, "?imbf??"[insn_unit]);
3d56ab85 5612 ia64_free_opcode (idesc);
800eeca4
JW
5613 md.slot[curr].idesc = idesc = ia64_find_opcode (mnemonic);
5614#if 0
5615 know (!idesc->next); /* no resolved dynamic ops have collisions */
5616#endif
5617 }
5618 else
5619 {
5620 insn_type = idesc->type;
5621 insn_unit = IA64_UNIT_NIL;
5622 switch (insn_type)
5623 {
5624 case IA64_TYPE_A:
5625 if (required_unit == IA64_UNIT_I || required_unit == IA64_UNIT_M)
5626 insn_unit = required_unit;
5627 break;
542d6675 5628 case IA64_TYPE_X: insn_unit = IA64_UNIT_L; break;
800eeca4
JW
5629 case IA64_TYPE_I: insn_unit = IA64_UNIT_I; break;
5630 case IA64_TYPE_M: insn_unit = IA64_UNIT_M; break;
5631 case IA64_TYPE_B: insn_unit = IA64_UNIT_B; break;
5632 case IA64_TYPE_F: insn_unit = IA64_UNIT_F; break;
5633 default: break;
5634 }
5635 }
5636
5637 if (insn_unit != required_unit)
5638 {
5639 if (required_unit == IA64_UNIT_L
542d6675 5640 && insn_unit == IA64_UNIT_I
800eeca4
JW
5641 && !(idesc->flags & IA64_OPCODE_X_IN_MLX))
5642 {
5643 /* we got ourselves an MLX template but the current
197865e8 5644 instruction isn't an X-unit, or an I-unit instruction
800eeca4
JW
5645 that can go into the X slot of an MLX template. Duh. */
5646 if (md.num_slots_in_use >= NUM_SLOTS)
5647 {
5648 as_bad_where (md.slot[curr].src_file,
5649 md.slot[curr].src_line,
5650 "`%s' can't go in X slot of "
5651 "MLX template", idesc->name);
542d6675 5652 /* drop this insn so we don't livelock: */
800eeca4
JW
5653 --md.num_slots_in_use;
5654 }
5655 break;
5656 }
5657 continue; /* try next slot */
5658 }
5659
800eeca4
JW
5660 {
5661 bfd_vma addr;
5662
f1bcba5b 5663 addr = frag_now->fr_address + frag_now_fix () - 16 + i;
800eeca4
JW
5664 dwarf2_gen_line_info (addr, &md.slot[curr].debug_line);
5665 }
5666
88be23ec
BS
5667 if (errata_nop_necessary_p (md.slot + curr, insn_unit))
5668 as_warn (_("Additional NOP may be necessary to workaround Itanium processor A/B step errata"));
5669
800eeca4
JW
5670 build_insn (md.slot + curr, insn + i);
5671
10850f29
JW
5672 /* Set slot counts for non prologue/body unwind records. */
5673 for (ptr = md.slot[curr].unwind_record; ptr; ptr = ptr->next)
5674 if (ptr->r.type != prologue && ptr->r.type != prologue_gr
5675 && ptr->r.type != body)
f5a30c2e
JW
5676 {
5677 ptr->slot_number = (unsigned long) f + i;
5678 ptr->slot_frag = frag_now;
5679 }
10850f29 5680 md.slot[curr].unwind_record = NULL;
10850f29 5681
800eeca4
JW
5682 if (required_unit == IA64_UNIT_L)
5683 {
5684 know (i == 1);
5685 /* skip one slot for long/X-unit instructions */
5686 ++i;
5687 }
5688 --md.num_slots_in_use;
5689
542d6675 5690 /* now is a good time to fix up the labels for this insn: */
800eeca4
JW
5691 for (lfix = md.slot[curr].label_fixups; lfix; lfix = lfix->next)
5692 {
5693 S_SET_VALUE (lfix->sym, frag_now_fix () - 16);
5694 symbol_set_frag (lfix->sym, frag_now);
5695 }
f1bcba5b
JW
5696 /* and fix up the tags also. */
5697 for (lfix = md.slot[curr].tag_fixups; lfix; lfix = lfix->next)
5698 {
5699 S_SET_VALUE (lfix->sym, frag_now_fix () - 16 + i);
5700 symbol_set_frag (lfix->sym, frag_now);
5701 }
800eeca4
JW
5702
5703 for (j = 0; j < md.slot[curr].num_fixups; ++j)
5704 {
5705 ifix = md.slot[curr].fixup + j;
5a080f89 5706 fix = fix_new_exp (frag_now, frag_now_fix () - 16 + i, 8,
800eeca4
JW
5707 &ifix->expr, ifix->is_pcrel, ifix->code);
5708 fix->tc_fix_data.opnd = ifix->opnd;
5709 fix->fx_plt = (fix->fx_r_type == BFD_RELOC_IA64_PLTOFF22);
5710 fix->fx_file = md.slot[curr].src_file;
5711 fix->fx_line = md.slot[curr].src_line;
5712 }
5713
5714 end_of_insn_group = md.slot[curr].end_of_insn_group;
5715
88be23ec
BS
5716 if (end_of_insn_group)
5717 {
5718 md.group_idx = (md.group_idx + 1) % 3;
5719 memset (md.last_groups + md.group_idx, 0, sizeof md.last_groups[0]);
5720 }
5721
542d6675 5722 /* clear slot: */
800eeca4
JW
5723 ia64_free_opcode (md.slot[curr].idesc);
5724 memset (md.slot + curr, 0, sizeof (md.slot[curr]));
5725 md.slot[curr].user_template = -1;
5726
5727 if (manual_bundling_off)
5728 {
5729 manual_bundling = 0;
5730 break;
5731 }
5732 curr = (curr + 1) % NUM_SLOTS;
5733 idesc = md.slot[curr].idesc;
5734 }
5735 if (manual_bundling)
5736 {
5737 if (md.num_slots_in_use > 0)
5738 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5739 "`%s' does not fit into %s template",
5740 idesc->name, ia64_templ_desc[template].name);
5741 else
5742 as_bad_where (md.slot[curr].src_file, md.slot[curr].src_line,
5743 "Missing '}' at end of file");
5744 }
5745 know (md.num_slots_in_use < NUM_SLOTS);
5746
5747 t0 = end_of_insn_group | (template << 1) | (insn[0] << 5) | (insn[1] << 46);
5748 t1 = ((insn[1] >> 18) & 0x7fffff) | (insn[2] << 23);
5749
44f5c83a
JW
5750 number_to_chars_littleendian (f + 0, t0, 8);
5751 number_to_chars_littleendian (f + 8, t1, 8);
f5a30c2e
JW
5752
5753 unwind.next_slot_number = (unsigned long) f + 16;
5754 unwind.next_slot_frag = frag_now;
800eeca4
JW
5755}
5756
5757int
5758md_parse_option (c, arg)
5759 int c;
5760 char *arg;
5761{
800eeca4
JW
5762 switch (c)
5763 {
c43c2cc5 5764 /* Switches from the Intel assembler. */
44f5c83a 5765 case 'm':
800eeca4
JW
5766 if (strcmp (arg, "ilp64") == 0
5767 || strcmp (arg, "lp64") == 0
5768 || strcmp (arg, "p64") == 0)
5769 {
5770 md.flags |= EF_IA_64_ABI64;
5771 }
5772 else if (strcmp (arg, "ilp32") == 0)
5773 {
5774 md.flags &= ~EF_IA_64_ABI64;
5775 }
5776 else if (strcmp (arg, "le") == 0)
5777 {
5778 md.flags &= ~EF_IA_64_BE;
5779 }
5780 else if (strcmp (arg, "be") == 0)
5781 {
5782 md.flags |= EF_IA_64_BE;
5783 }
5784 else
5785 return 0;
5786 break;
5787
5788 case 'N':
5789 if (strcmp (arg, "so") == 0)
5790 {
542d6675 5791 /* Suppress signon message. */
800eeca4
JW
5792 }
5793 else if (strcmp (arg, "pi") == 0)
5794 {
5795 /* Reject privileged instructions. FIXME */
5796 }
5797 else if (strcmp (arg, "us") == 0)
5798 {
5799 /* Allow union of signed and unsigned range. FIXME */
5800 }
5801 else if (strcmp (arg, "close_fcalls") == 0)
5802 {
5803 /* Do not resolve global function calls. */
5804 }
5805 else
5806 return 0;
5807 break;
5808
5809 case 'C':
5810 /* temp[="prefix"] Insert temporary labels into the object file
5811 symbol table prefixed by "prefix".
5812 Default prefix is ":temp:".
5813 */
5814 break;
5815
5816 case 'a':
5817 /* ??? Conflicts with gas' listing option. */
5818 /* indirect=<tgt> Assume unannotated indirect branches behavior
5819 according to <tgt> --
5820 exit: branch out from the current context (default)
5821 labels: all labels in context may be branch targets
5822 */
5823 break;
5824
5825 case 'x':
5826 /* -X conflicts with an ignored option, use -x instead */
5827 md.detect_dv = 1;
5828 if (!arg || strcmp (arg, "explicit") == 0)
542d6675
KH
5829 {
5830 /* set default mode to explicit */
5831 md.default_explicit_mode = 1;
5832 break;
5833 }
800eeca4 5834 else if (strcmp (arg, "auto") == 0)
542d6675
KH
5835 {
5836 md.default_explicit_mode = 0;
5837 }
800eeca4 5838 else if (strcmp (arg, "debug") == 0)
542d6675
KH
5839 {
5840 md.debug_dv = 1;
5841 }
800eeca4 5842 else if (strcmp (arg, "debugx") == 0)
542d6675
KH
5843 {
5844 md.default_explicit_mode = 1;
5845 md.debug_dv = 1;
5846 }
800eeca4 5847 else
542d6675
KH
5848 {
5849 as_bad (_("Unrecognized option '-x%s'"), arg);
5850 }
800eeca4
JW
5851 break;
5852
5853 case 'S':
5854 /* nops Print nops statistics. */
5855 break;
5856
c43c2cc5
JW
5857 /* GNU specific switches for gcc. */
5858 case OPTION_MCONSTANT_GP:
5859 md.flags |= EF_IA_64_CONS_GP;
5860 break;
5861
5862 case OPTION_MAUTO_PIC:
5863 md.flags |= EF_IA_64_NOFUNCDESC_CONS_GP;
5864 break;
5865
800eeca4
JW
5866 default:
5867 return 0;
5868 }
5869
5870 return 1;
5871}
5872
5873void
5874md_show_usage (stream)
5875 FILE *stream;
5876{
542d6675 5877 fputs (_("\
800eeca4 5878IA-64 options:\n\
44f5c83a
JW
5879 -milp32|-milp64|-mlp64|-mp64 select data model (default -mlp64)\n\
5880 -mle | -mbe select little- or big-endian byte order (default -mle)\n\
800eeca4
JW
5881 -x | -xexplicit turn on dependency violation checking (default)\n\
5882 -xauto automagically remove dependency violations\n\
5883 -xdebug debug dependency violation checker\n"),
5884 stream);
5885}
5886
44576e1f
RH
5887/* Return true if TYPE fits in TEMPL at SLOT. */
5888
5889static int
800eeca4
JW
5890match (int templ, int type, int slot)
5891{
5892 enum ia64_unit unit;
5893 int result;
5894
5895 unit = ia64_templ_desc[templ].exec_unit[slot];
5896 switch (type)
5897 {
5898 case IA64_TYPE_DYN: result = 1; break; /* for nop and break */
5899 case IA64_TYPE_A:
5900 result = (unit == IA64_UNIT_I || unit == IA64_UNIT_M);
5901 break;
5902 case IA64_TYPE_X: result = (unit == IA64_UNIT_L); break;
5903 case IA64_TYPE_I: result = (unit == IA64_UNIT_I); break;
5904 case IA64_TYPE_M: result = (unit == IA64_UNIT_M); break;
5905 case IA64_TYPE_B: result = (unit == IA64_UNIT_B); break;
5906 case IA64_TYPE_F: result = (unit == IA64_UNIT_F); break;
5907 default: result = 0; break;
5908 }
5909 return result;
5910}
5911
44576e1f
RH
5912/* Add a bit of extra goodness if a nop of type F or B would fit
5913 in TEMPL at SLOT. */
5914
5915static inline int
5916extra_goodness (int templ, int slot)
5917{
ebeeafe6 5918 if (slot == 1 && match (templ, IA64_TYPE_F, slot))
44576e1f 5919 return 2;
ebeeafe6 5920 if (slot == 2 && match (templ, IA64_TYPE_B, slot))
44576e1f
RH
5921 return 1;
5922 return 0;
5923}
5924
800eeca4
JW
5925/* This function is called once, at assembler startup time. It sets
5926 up all the tables, etc. that the MD part of the assembler will need
5927 that can be determined before arguments are parsed. */
5928void
5929md_begin ()
5930{
44f5c83a 5931 int i, j, k, t, total, ar_base, cr_base, goodness, best, regnum, ok;
800eeca4
JW
5932 const char *err;
5933 char name[8];
5934
5935 md.auto_align = 1;
5936 md.explicit_mode = md.default_explicit_mode;
5937
5938 bfd_set_section_alignment (stdoutput, text_section, 4);
5939
44f5c83a 5940 target_big_endian = TARGET_BYTES_BIG_ENDIAN;
800eeca4 5941 pseudo_func[FUNC_FPTR_RELATIVE].u.sym =
542d6675
KH
5942 symbol_new (".<fptr>", undefined_section, FUNC_FPTR_RELATIVE,
5943 &zero_address_frag);
800eeca4
JW
5944
5945 pseudo_func[FUNC_GP_RELATIVE].u.sym =
542d6675
KH
5946 symbol_new (".<gprel>", undefined_section, FUNC_GP_RELATIVE,
5947 &zero_address_frag);
800eeca4
JW
5948
5949 pseudo_func[FUNC_LT_RELATIVE].u.sym =
542d6675
KH
5950 symbol_new (".<ltoff>", undefined_section, FUNC_LT_RELATIVE,
5951 &zero_address_frag);
800eeca4 5952
c67e42c9 5953 pseudo_func[FUNC_PC_RELATIVE].u.sym =
542d6675
KH
5954 symbol_new (".<pcrel>", undefined_section, FUNC_PC_RELATIVE,
5955 &zero_address_frag);
c67e42c9 5956
800eeca4 5957 pseudo_func[FUNC_PLT_RELATIVE].u.sym =
542d6675
KH
5958 symbol_new (".<pltoff>", undefined_section, FUNC_PLT_RELATIVE,
5959 &zero_address_frag);
800eeca4
JW
5960
5961 pseudo_func[FUNC_SEC_RELATIVE].u.sym =
542d6675
KH
5962 symbol_new (".<secrel>", undefined_section, FUNC_SEC_RELATIVE,
5963 &zero_address_frag);
800eeca4
JW
5964
5965 pseudo_func[FUNC_SEG_RELATIVE].u.sym =
542d6675
KH
5966 symbol_new (".<segrel>", undefined_section, FUNC_SEG_RELATIVE,
5967 &zero_address_frag);
800eeca4
JW
5968
5969 pseudo_func[FUNC_LTV_RELATIVE].u.sym =
542d6675
KH
5970 symbol_new (".<ltv>", undefined_section, FUNC_LTV_RELATIVE,
5971 &zero_address_frag);
800eeca4
JW
5972
5973 pseudo_func[FUNC_LT_FPTR_RELATIVE].u.sym =
542d6675
KH
5974 symbol_new (".<ltoff.fptr>", undefined_section, FUNC_LT_FPTR_RELATIVE,
5975 &zero_address_frag);
800eeca4 5976
197865e8 5977 /* Compute the table of best templates. We compute goodness as a
44576e1f
RH
5978 base 4 value, in which each match counts for 3, each F counts
5979 for 2, each B counts for 1. This should maximize the number of
5980 F and B nops in the chosen bundles, which is good because these
5981 pipelines are least likely to be overcommitted. */
800eeca4
JW
5982 for (i = 0; i < IA64_NUM_TYPES; ++i)
5983 for (j = 0; j < IA64_NUM_TYPES; ++j)
5984 for (k = 0; k < IA64_NUM_TYPES; ++k)
5985 {
5986 best = 0;
5987 for (t = 0; t < NELEMS (ia64_templ_desc); ++t)
5988 {
5989 goodness = 0;
5990 if (match (t, i, 0))
5991 {
5992 if (match (t, j, 1))
5993 {
5994 if (match (t, k, 2))
44576e1f 5995 goodness = 3 + 3 + 3;
800eeca4 5996 else
44576e1f 5997 goodness = 3 + 3 + extra_goodness (t, 2);
800eeca4
JW
5998 }
5999 else if (match (t, j, 2))
44576e1f 6000 goodness = 3 + 3 + extra_goodness (t, 1);
800eeca4 6001 else
44576e1f
RH
6002 {
6003 goodness = 3;
6004 goodness += extra_goodness (t, 1);
6005 goodness += extra_goodness (t, 2);
6006 }
800eeca4
JW
6007 }
6008 else if (match (t, i, 1))
6009 {
6010 if (match (t, j, 2))
44576e1f 6011 goodness = 3 + 3;
800eeca4 6012 else
44576e1f 6013 goodness = 3 + extra_goodness (t, 2);
800eeca4
JW
6014 }
6015 else if (match (t, i, 2))
44576e1f 6016 goodness = 3 + extra_goodness (t, 1);
800eeca4
JW
6017
6018 if (goodness > best)
6019 {
6020 best = goodness;
6021 best_template[i][j][k] = t;
6022 }
6023 }
6024 }
6025
6026 for (i = 0; i < NUM_SLOTS; ++i)
6027 md.slot[i].user_template = -1;
6028
6029 md.pseudo_hash = hash_new ();
6030 for (i = 0; i < NELEMS (pseudo_opcode); ++i)
6031 {
6032 err = hash_insert (md.pseudo_hash, pseudo_opcode[i].name,
6033 (void *) (pseudo_opcode + i));
6034 if (err)
6035 as_fatal ("ia64.md_begin: can't hash `%s': %s",
6036 pseudo_opcode[i].name, err);
6037 }
6038
6039 md.reg_hash = hash_new ();
6040 md.dynreg_hash = hash_new ();
6041 md.const_hash = hash_new ();
6042 md.entry_hash = hash_new ();
6043
542d6675 6044 /* general registers: */
800eeca4
JW
6045
6046 total = 128;
6047 for (i = 0; i < total; ++i)
6048 {
6049 sprintf (name, "r%d", i - REG_GR);
6050 md.regsym[i] = declare_register (name, i);
6051 }
6052
542d6675 6053 /* floating point registers: */
800eeca4
JW
6054 total += 128;
6055 for (; i < total; ++i)
6056 {
6057 sprintf (name, "f%d", i - REG_FR);
6058 md.regsym[i] = declare_register (name, i);
6059 }
6060
542d6675 6061 /* application registers: */
800eeca4
JW
6062 total += 128;
6063 ar_base = i;
6064 for (; i < total; ++i)
6065 {
6066 sprintf (name, "ar%d", i - REG_AR);
6067 md.regsym[i] = declare_register (name, i);
6068 }
6069
542d6675 6070 /* control registers: */
800eeca4
JW
6071 total += 128;
6072 cr_base = i;
6073 for (; i < total; ++i)
6074 {
6075 sprintf (name, "cr%d", i - REG_CR);
6076 md.regsym[i] = declare_register (name, i);
6077 }
6078
542d6675 6079 /* predicate registers: */
800eeca4
JW
6080 total += 64;
6081 for (; i < total; ++i)
6082 {
6083 sprintf (name, "p%d", i - REG_P);
6084 md.regsym[i] = declare_register (name, i);
6085 }
6086
542d6675 6087 /* branch registers: */
800eeca4
JW
6088 total += 8;
6089 for (; i < total; ++i)
6090 {
6091 sprintf (name, "b%d", i - REG_BR);
6092 md.regsym[i] = declare_register (name, i);
6093 }
6094
6095 md.regsym[REG_IP] = declare_register ("ip", REG_IP);
6096 md.regsym[REG_CFM] = declare_register ("cfm", REG_CFM);
6097 md.regsym[REG_PR] = declare_register ("pr", REG_PR);
6098 md.regsym[REG_PR_ROT] = declare_register ("pr.rot", REG_PR_ROT);
6099 md.regsym[REG_PSR] = declare_register ("psr", REG_PSR);
6100 md.regsym[REG_PSR_L] = declare_register ("psr.l", REG_PSR_L);
6101 md.regsym[REG_PSR_UM] = declare_register ("psr.um", REG_PSR_UM);
6102
6103 for (i = 0; i < NELEMS (indirect_reg); ++i)
6104 {
6105 regnum = indirect_reg[i].regnum;
6106 md.regsym[regnum] = declare_register (indirect_reg[i].name, regnum);
6107 }
6108
542d6675 6109 /* define synonyms for application registers: */
800eeca4
JW
6110 for (i = REG_AR; i < REG_AR + NELEMS (ar); ++i)
6111 md.regsym[i] = declare_register (ar[i - REG_AR].name,
6112 REG_AR + ar[i - REG_AR].regnum);
6113
542d6675 6114 /* define synonyms for control registers: */
800eeca4
JW
6115 for (i = REG_CR; i < REG_CR + NELEMS (cr); ++i)
6116 md.regsym[i] = declare_register (cr[i - REG_CR].name,
6117 REG_CR + cr[i - REG_CR].regnum);
6118
6119 declare_register ("gp", REG_GR + 1);
6120 declare_register ("sp", REG_GR + 12);
6121 declare_register ("rp", REG_BR + 0);
6122
542d6675 6123 /* pseudo-registers used to specify unwind info: */
e0c9811a
JW
6124 declare_register ("psp", REG_PSP);
6125
800eeca4
JW
6126 declare_register_set ("ret", 4, REG_GR + 8);
6127 declare_register_set ("farg", 8, REG_FR + 8);
6128 declare_register_set ("fret", 8, REG_FR + 8);
6129
6130 for (i = 0; i < NELEMS (const_bits); ++i)
6131 {
6132 err = hash_insert (md.const_hash, const_bits[i].name,
6133 (PTR) (const_bits + i));
6134 if (err)
6135 as_fatal ("Inserting \"%s\" into constant hash table failed: %s",
6136 name, err);
6137 }
6138
44f5c83a
JW
6139 /* Set the architecture and machine depending on defaults and command line
6140 options. */
6141 if (md.flags & EF_IA_64_ABI64)
6142 ok = bfd_set_arch_mach (stdoutput, bfd_arch_ia64, bfd_mach_ia64_elf64);
6143 else
6144 ok = bfd_set_arch_mach (stdoutput, bfd_arch_ia64, bfd_mach_ia64_elf32);
6145
6146 if (! ok)
6147 as_warn (_("Could not set architecture and machine"));
800eeca4
JW
6148
6149 md.mem_offset.hint = 0;
6150 md.path = 0;
6151 md.maxpaths = 0;
6152 md.entry_labels = NULL;
6153}
6154
44f5c83a
JW
6155/* Set the elf type to 64 bit ABI by default. Cannot do this in md_begin
6156 because that is called after md_parse_option which is where we do the
6157 dynamic changing of md.flags based on -mlp64 or -milp32. Also, set the
6158 default endianness. */
6159
6160void
6161ia64_init (argc, argv)
2434f565
JW
6162 int argc ATTRIBUTE_UNUSED;
6163 char **argv ATTRIBUTE_UNUSED;
44f5c83a
JW
6164{
6165 md.flags = EF_IA_64_ABI64;
6166 if (TARGET_BYTES_BIG_ENDIAN)
6167 md.flags |= EF_IA_64_BE;
6168}
6169
6170/* Return a string for the target object file format. */
6171
6172const char *
6173ia64_target_format ()
6174{
6175 if (OUTPUT_FLAVOR == bfd_target_elf_flavour)
6176 {
72a76794
JW
6177 if (md.flags & EF_IA_64_BE)
6178 {
6179 if (md.flags & EF_IA_64_ABI64)
6180 return "elf64-ia64-big";
6181 else
6182 return "elf32-ia64-big";
6183 }
44f5c83a 6184 else
72a76794
JW
6185 {
6186 if (md.flags & EF_IA_64_ABI64)
6187 return "elf64-ia64-little";
6188 else
6189 return "elf32-ia64-little";
6190 }
44f5c83a
JW
6191 }
6192 else
6193 return "unknown-format";
6194}
6195
800eeca4
JW
6196void
6197ia64_end_of_source ()
6198{
542d6675 6199 /* terminate insn group upon reaching end of file: */
800eeca4
JW
6200 insn_group_break (1, 0, 0);
6201
542d6675 6202 /* emits slots we haven't written yet: */
800eeca4
JW
6203 ia64_flush_insns ();
6204
6205 bfd_set_private_flags (stdoutput, md.flags);
6206
800eeca4
JW
6207 md.mem_offset.hint = 0;
6208}
6209
6210void
6211ia64_start_line ()
6212{
f1bcba5b
JW
6213 if (md.qp.X_op == O_register)
6214 as_bad ("qualifying predicate not followed by instruction");
800eeca4
JW
6215 md.qp.X_op = O_absent;
6216
6217 if (ignore_input ())
6218 return;
6219
6220 if (input_line_pointer[0] == ';' && input_line_pointer[-1] == ';')
6221 {
6222 if (md.detect_dv && !md.explicit_mode)
542d6675 6223 as_warn (_("Explicit stops are ignored in auto mode"));
800eeca4 6224 else
542d6675 6225 insn_group_break (1, 0, 0);
800eeca4
JW
6226 }
6227}
6228
f1bcba5b
JW
6229/* This is a hook for ia64_frob_label, so that it can distinguish tags from
6230 labels. */
6231static int defining_tag = 0;
6232
800eeca4
JW
6233int
6234ia64_unrecognized_line (ch)
6235 int ch;
6236{
6237 switch (ch)
6238 {
6239 case '(':
6240 expression (&md.qp);
6241 if (*input_line_pointer++ != ')')
6242 {
6243 as_bad ("Expected ')'");
6244 return 0;
6245 }
6246 if (md.qp.X_op != O_register)
6247 {
6248 as_bad ("Qualifying predicate expected");
6249 return 0;
6250 }
6251 if (md.qp.X_add_number < REG_P || md.qp.X_add_number >= REG_P + 64)
6252 {
6253 as_bad ("Predicate register expected");
6254 return 0;
6255 }
6256 return 1;
6257
6258 case '{':
6259 if (md.manual_bundling)
6260 as_warn ("Found '{' when manual bundling is already turned on");
6261 else
6262 CURR_SLOT.manual_bundling_on = 1;
6263 md.manual_bundling = 1;
6264
542d6675
KH
6265 /* Bundling is only acceptable in explicit mode
6266 or when in default automatic mode. */
800eeca4 6267 if (md.detect_dv && !md.explicit_mode)
542d6675
KH
6268 {
6269 if (!md.mode_explicitly_set
6270 && !md.default_explicit_mode)
6271 dot_dv_mode ('E');
6272 else
6273 as_warn (_("Found '{' after explicit switch to automatic mode"));
6274 }
800eeca4
JW
6275 return 1;
6276
6277 case '}':
6278 if (!md.manual_bundling)
6279 as_warn ("Found '}' when manual bundling is off");
6280 else
6281 PREV_SLOT.manual_bundling_off = 1;
6282 md.manual_bundling = 0;
6283
6284 /* switch back to automatic mode, if applicable */
197865e8 6285 if (md.detect_dv
542d6675
KH
6286 && md.explicit_mode
6287 && !md.mode_explicitly_set
6288 && !md.default_explicit_mode)
6289 dot_dv_mode ('A');
800eeca4
JW
6290
6291 /* Allow '{' to follow on the same line. We also allow ";;", but that
6292 happens automatically because ';' is an end of line marker. */
6293 SKIP_WHITESPACE ();
6294 if (input_line_pointer[0] == '{')
6295 {
6296 input_line_pointer++;
6297 return ia64_unrecognized_line ('{');
6298 }
6299
6300 demand_empty_rest_of_line ();
6301 return 1;
6302
f1bcba5b
JW
6303 case '[':
6304 {
6305 char *s;
6306 char c;
6307 symbolS *tag;
6308
6309 if (md.qp.X_op == O_register)
6310 {
6311 as_bad ("Tag must come before qualifying predicate.");
6312 return 0;
6313 }
6314 s = input_line_pointer;
6315 c = get_symbol_end ();
6316 if (c != ':')
6317 {
6318 /* Put ':' back for error messages' sake. */
6319 *input_line_pointer++ = ':';
6320 as_bad ("Expected ':'");
6321 return 0;
6322 }
6323 defining_tag = 1;
6324 tag = colon (s);
6325 defining_tag = 0;
6326 /* Put ':' back for error messages' sake. */
6327 *input_line_pointer++ = ':';
6328 if (*input_line_pointer++ != ']')
6329 {
6330 as_bad ("Expected ']'");
6331 return 0;
6332 }
6333 if (! tag)
6334 {
6335 as_bad ("Tag name expected");
6336 return 0;
6337 }
6338 return 1;
6339 }
6340
800eeca4
JW
6341 default:
6342 break;
6343 }
542d6675
KH
6344
6345 /* Not a valid line. */
6346 return 0;
800eeca4
JW
6347}
6348
6349void
6350ia64_frob_label (sym)
6351 struct symbol *sym;
6352{
6353 struct label_fix *fix;
6354
f1bcba5b
JW
6355 /* Tags need special handling since they are not bundle breaks like
6356 labels. */
6357 if (defining_tag)
6358 {
6359 fix = obstack_alloc (&notes, sizeof (*fix));
6360 fix->sym = sym;
6361 fix->next = CURR_SLOT.tag_fixups;
6362 CURR_SLOT.tag_fixups = fix;
6363
6364 return;
6365 }
6366
800eeca4
JW
6367 if (bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE)
6368 {
6369 md.last_text_seg = now_seg;
6370 fix = obstack_alloc (&notes, sizeof (*fix));
6371 fix->sym = sym;
6372 fix->next = CURR_SLOT.label_fixups;
6373 CURR_SLOT.label_fixups = fix;
6374
542d6675 6375 /* Keep track of how many code entry points we've seen. */
800eeca4 6376 if (md.path == md.maxpaths)
542d6675
KH
6377 {
6378 md.maxpaths += 20;
6379 md.entry_labels = (const char **)
6380 xrealloc ((void *) md.entry_labels,
6381 md.maxpaths * sizeof (char *));
6382 }
800eeca4
JW
6383 md.entry_labels[md.path++] = S_GET_NAME (sym);
6384 }
6385}
6386
6387void
6388ia64_flush_pending_output ()
6389{
6390 if (bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE)
6391 {
6392 /* ??? This causes many unnecessary stop bits to be emitted.
6393 Unfortunately, it isn't clear if it is safe to remove this. */
6394 insn_group_break (1, 0, 0);
6395 ia64_flush_insns ();
6396 }
6397}
6398
6399/* Do ia64-specific expression optimization. All that's done here is
6400 to transform index expressions that are either due to the indexing
6401 of rotating registers or due to the indexing of indirect register
6402 sets. */
6403int
6404ia64_optimize_expr (l, op, r)
6405 expressionS *l;
6406 operatorT op;
6407 expressionS *r;
6408{
6409 unsigned num_regs;
6410
6411 if (op == O_index)
6412 {
6413 if (l->X_op == O_register && r->X_op == O_constant)
6414 {
6415 num_regs = (l->X_add_number >> 16);
6416 if ((unsigned) r->X_add_number >= num_regs)
6417 {
6418 if (!num_regs)
6419 as_bad ("No current frame");
6420 else
6421 as_bad ("Index out of range 0..%u", num_regs - 1);
6422 r->X_add_number = 0;
6423 }
6424 l->X_add_number = (l->X_add_number & 0xffff) + r->X_add_number;
6425 return 1;
6426 }
6427 else if (l->X_op == O_register && r->X_op == O_register)
6428 {
6429 if (l->X_add_number < IND_CPUID || l->X_add_number > IND_RR
6430 || l->X_add_number == IND_MEM)
6431 {
6432 as_bad ("Indirect register set name expected");
6433 l->X_add_number = IND_CPUID;
6434 }
6435 l->X_op = O_index;
6436 l->X_op_symbol = md.regsym[l->X_add_number];
6437 l->X_add_number = r->X_add_number;
6438 return 1;
6439 }
6440 }
6441 return 0;
6442}
6443
6444int
6445ia64_parse_name (name, e)
6446 char *name;
6447 expressionS *e;
6448{
6449 struct const_desc *cdesc;
6450 struct dynreg *dr = 0;
6451 unsigned int regnum;
6452 struct symbol *sym;
6453 char *end;
6454
542d6675 6455 /* first see if NAME is a known register name: */
800eeca4
JW
6456 sym = hash_find (md.reg_hash, name);
6457 if (sym)
6458 {
6459 e->X_op = O_register;
6460 e->X_add_number = S_GET_VALUE (sym);
6461 return 1;
6462 }
6463
6464 cdesc = hash_find (md.const_hash, name);
6465 if (cdesc)
6466 {
6467 e->X_op = O_constant;
6468 e->X_add_number = cdesc->value;
6469 return 1;
6470 }
6471
542d6675 6472 /* check for inN, locN, or outN: */
800eeca4
JW
6473 switch (name[0])
6474 {
6475 case 'i':
6476 if (name[1] == 'n' && isdigit (name[2]))
6477 {
6478 dr = &md.in;
6479 name += 2;
6480 }
6481 break;
6482
6483 case 'l':
6484 if (name[1] == 'o' && name[2] == 'c' && isdigit (name[3]))
6485 {
6486 dr = &md.loc;
6487 name += 3;
6488 }
6489 break;
6490
6491 case 'o':
6492 if (name[1] == 'u' && name[2] == 't' && isdigit (name[3]))
6493 {
6494 dr = &md.out;
6495 name += 3;
6496 }
6497 break;
6498
6499 default:
6500 break;
6501 }
6502
6503 if (dr)
6504 {
542d6675 6505 /* The name is inN, locN, or outN; parse the register number. */
800eeca4
JW
6506 regnum = strtoul (name, &end, 10);
6507 if (end > name && *end == '\0')
6508 {
6509 if ((unsigned) regnum >= dr->num_regs)
6510 {
6511 if (!dr->num_regs)
6512 as_bad ("No current frame");
6513 else
542d6675
KH
6514 as_bad ("Register number out of range 0..%u",
6515 dr->num_regs - 1);
800eeca4
JW
6516 regnum = 0;
6517 }
6518 e->X_op = O_register;
6519 e->X_add_number = dr->base + regnum;
6520 return 1;
6521 }
6522 }
6523
6524 if ((dr = hash_find (md.dynreg_hash, name)))
6525 {
6526 /* We've got ourselves the name of a rotating register set.
542d6675
KH
6527 Store the base register number in the low 16 bits of
6528 X_add_number and the size of the register set in the top 16
6529 bits. */
800eeca4
JW
6530 e->X_op = O_register;
6531 e->X_add_number = dr->base | (dr->num_regs << 16);
6532 return 1;
6533 }
6534 return 0;
6535}
6536
6537/* Remove the '#' suffix that indicates a symbol as opposed to a register. */
6538
6539char *
6540ia64_canonicalize_symbol_name (name)
6541 char *name;
6542{
542d6675
KH
6543 size_t len = strlen (name);
6544 if (len > 1 && name[len - 1] == '#')
6545 name[len - 1] = '\0';
800eeca4
JW
6546 return name;
6547}
6548
6549static int
6550is_conditional_branch (idesc)
542d6675 6551 struct ia64_opcode *idesc;
800eeca4
JW
6552{
6553 return (strncmp (idesc->name, "br", 2) == 0
542d6675
KH
6554 && (strcmp (idesc->name, "br") == 0
6555 || strncmp (idesc->name, "br.cond", 7) == 0
6556 || strncmp (idesc->name, "br.call", 7) == 0
6557 || strncmp (idesc->name, "br.ret", 6) == 0
6558 || strcmp (idesc->name, "brl") == 0
6559 || strncmp (idesc->name, "brl.cond", 7) == 0
6560 || strncmp (idesc->name, "brl.call", 7) == 0
6561 || strncmp (idesc->name, "brl.ret", 6) == 0));
800eeca4
JW
6562}
6563
6564/* Return whether the given opcode is a taken branch. If there's any doubt,
542d6675
KH
6565 returns zero. */
6566
800eeca4
JW
6567static int
6568is_taken_branch (idesc)
542d6675 6569 struct ia64_opcode *idesc;
800eeca4
JW
6570{
6571 return ((is_conditional_branch (idesc) && CURR_SLOT.qp_regno == 0)
542d6675 6572 || strncmp (idesc->name, "br.ia", 5) == 0);
800eeca4
JW
6573}
6574
6575/* Return whether the given opcode is an interruption or rfi. If there's any
542d6675
KH
6576 doubt, returns zero. */
6577
800eeca4
JW
6578static int
6579is_interruption_or_rfi (idesc)
542d6675 6580 struct ia64_opcode *idesc;
800eeca4
JW
6581{
6582 if (strcmp (idesc->name, "rfi") == 0)
6583 return 1;
6584 return 0;
6585}
6586
6587/* Returns the index of the given dependency in the opcode's list of chks, or
6588 -1 if there is no dependency. */
542d6675 6589
800eeca4
JW
6590static int
6591depends_on (depind, idesc)
542d6675
KH
6592 int depind;
6593 struct ia64_opcode *idesc;
800eeca4
JW
6594{
6595 int i;
6596 const struct ia64_opcode_dependency *dep = idesc->dependencies;
542d6675 6597 for (i = 0; i < dep->nchks; i++)
800eeca4 6598 {
542d6675
KH
6599 if (depind == DEP (dep->chks[i]))
6600 return i;
800eeca4
JW
6601 }
6602 return -1;
6603}
6604
6605/* Determine a set of specific resources used for a particular resource
6606 class. Returns the number of specific resources identified For those
6607 cases which are not determinable statically, the resource returned is
197865e8 6608 marked nonspecific.
800eeca4
JW
6609
6610 Meanings of value in 'NOTE':
6611 1) only read/write when the register number is explicitly encoded in the
6612 insn.
6613 2) only read CFM when accessing a rotating GR, FR, or PR. mov pr only
197865e8 6614 accesses CFM when qualifying predicate is in the rotating region.
800eeca4
JW
6615 3) general register value is used to specify an indirect register; not
6616 determinable statically.
6617 4) only read the given resource when bits 7:0 of the indirect index
6618 register value does not match the register number of the resource; not
6619 determinable statically.
6620 5) all rules are implementation specific.
6621 6) only when both the index specified by the reader and the index specified
6622 by the writer have the same value in bits 63:61; not determinable
197865e8 6623 statically.
800eeca4 6624 7) only access the specified resource when the corresponding mask bit is
197865e8 6625 set
800eeca4
JW
6626 8) PSR.dfh is only read when these insns reference FR32-127. PSR.dfl is
6627 only read when these insns reference FR2-31
6628 9) PSR.mfl is only written when these insns write FR2-31. PSR.mfh is only
6629 written when these insns write FR32-127
6630 10) The PSR.bn bit is only accessed when one of GR16-31 is specified in the
6631 instruction
6632 11) The target predicates are written independently of PR[qp], but source
6633 registers are only read if PR[qp] is true. Since the state of PR[qp]
6634 cannot statically be determined, all source registers are marked used.
6635 12) This insn only reads the specified predicate register when that
6636 register is the PR[qp].
6637 13) This reference to ld-c only applies to teh GR whose value is loaded
197865e8 6638 with data returned from memory, not the post-incremented address register.
800eeca4
JW
6639 14) The RSE resource includes the implementation-specific RSE internal
6640 state resources. At least one (and possibly more) of these resources are
6641 read by each instruction listed in IC:rse-readers. At least one (and
6642 possibly more) of these resources are written by each insn listed in
197865e8 6643 IC:rse-writers.
800eeca4 6644 15+16) Represents reserved instructions, which the assembler does not
197865e8 6645 generate.
800eeca4
JW
6646
6647 Memory resources (i.e. locations in memory) are *not* marked or tracked by
6648 this code; there are no dependency violations based on memory access.
800eeca4
JW
6649*/
6650
6651#define MAX_SPECS 256
6652#define DV_CHK 1
6653#define DV_REG 0
6654
6655static int
6656specify_resource (dep, idesc, type, specs, note, path)
542d6675
KH
6657 const struct ia64_dependency *dep;
6658 struct ia64_opcode *idesc;
6659 int type; /* is this a DV chk or a DV reg? */
6660 struct rsrc specs[MAX_SPECS]; /* returned specific resources */
6661 int note; /* resource note for this insn's usage */
6662 int path; /* which execution path to examine */
800eeca4
JW
6663{
6664 int count = 0;
6665 int i;
6666 int rsrc_write = 0;
6667 struct rsrc tmpl;
197865e8 6668
800eeca4
JW
6669 if (dep->mode == IA64_DV_WAW
6670 || (dep->mode == IA64_DV_RAW && type == DV_REG)
6671 || (dep->mode == IA64_DV_WAR && type == DV_CHK))
6672 rsrc_write = 1;
6673
6674 /* template for any resources we identify */
6675 tmpl.dependency = dep;
6676 tmpl.note = note;
6677 tmpl.insn_srlz = tmpl.data_srlz = 0;
6678 tmpl.qp_regno = CURR_SLOT.qp_regno;
6679 tmpl.link_to_qp_branch = 1;
6680 tmpl.mem_offset.hint = 0;
6681 tmpl.specific = 1;
6682 tmpl.index = 0;
7484b8e6 6683 tmpl.cmp_type = CMP_NONE;
800eeca4
JW
6684
6685#define UNHANDLED \
6686as_warn (_("Unhandled dependency %s for %s (%s), note %d"), \
6687dep->name, idesc->name, (rsrc_write?"write":"read"), note)
6688#define KNOWN(REG) (gr_values[REG].known && gr_values[REG].path >= path)
6689
6690 /* we don't need to track these */
6691 if (dep->semantics == IA64_DVS_NONE)
6692 return 0;
6693
6694 switch (dep->specifier)
6695 {
6696 case IA64_RS_AR_K:
6697 if (note == 1)
542d6675
KH
6698 {
6699 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3)
6700 {
6701 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
6702 if (regno >= 0 && regno <= 7)
6703 {
6704 specs[count] = tmpl;
6705 specs[count++].index = regno;
6706 }
6707 }
6708 }
800eeca4 6709 else if (note == 0)
542d6675
KH
6710 {
6711 for (i = 0; i < 8; i++)
6712 {
6713 specs[count] = tmpl;
6714 specs[count++].index = i;
6715 }
6716 }
800eeca4 6717 else
542d6675
KH
6718 {
6719 UNHANDLED;
6720 }
800eeca4
JW
6721 break;
6722
6723 case IA64_RS_AR_UNAT:
6724 /* This is a mov =AR or mov AR= instruction. */
6725 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3)
6726 {
6727 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
6728 if (regno == AR_UNAT)
6729 {
6730 specs[count++] = tmpl;
6731 }
6732 }
6733 else
6734 {
6735 /* This is a spill/fill, or other instruction that modifies the
6736 unat register. */
6737
6738 /* Unless we can determine the specific bits used, mark the whole
6739 thing; bits 8:3 of the memory address indicate the bit used in
6740 UNAT. The .mem.offset hint may be used to eliminate a small
6741 subset of conflicts. */
6742 specs[count] = tmpl;
6743 if (md.mem_offset.hint)
6744 {
542d6675
KH
6745 if (md.debug_dv)
6746 fprintf (stderr, " Using hint for spill/fill\n");
6747 /* The index isn't actually used, just set it to something
6748 approximating the bit index. */
800eeca4
JW
6749 specs[count].index = (md.mem_offset.offset >> 3) & 0x3F;
6750 specs[count].mem_offset.hint = 1;
6751 specs[count].mem_offset.offset = md.mem_offset.offset;
6752 specs[count++].mem_offset.base = md.mem_offset.base;
6753 }
6754 else
6755 {
6756 specs[count++].specific = 0;
6757 }
6758 }
6759 break;
6760
6761 case IA64_RS_AR:
6762 if (note == 1)
542d6675
KH
6763 {
6764 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3)
6765 {
6766 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
6767 if ((regno >= 8 && regno <= 15)
6768 || (regno >= 20 && regno <= 23)
6769 || (regno >= 31 && regno <= 39)
6770 || (regno >= 41 && regno <= 47)
6771 || (regno >= 67 && regno <= 111))
6772 {
6773 specs[count] = tmpl;
6774 specs[count++].index = regno;
6775 }
6776 }
6777 }
800eeca4 6778 else
542d6675
KH
6779 {
6780 UNHANDLED;
6781 }
800eeca4
JW
6782 break;
6783
6784 case IA64_RS_ARb:
6785 if (note == 1)
542d6675
KH
6786 {
6787 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3)
6788 {
6789 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
6790 if ((regno >= 48 && regno <= 63)
6791 || (regno >= 112 && regno <= 127))
6792 {
6793 specs[count] = tmpl;
6794 specs[count++].index = regno;
6795 }
6796 }
6797 }
800eeca4 6798 else if (note == 0)
542d6675
KH
6799 {
6800 for (i = 48; i < 64; i++)
6801 {
6802 specs[count] = tmpl;
6803 specs[count++].index = i;
6804 }
6805 for (i = 112; i < 128; i++)
6806 {
6807 specs[count] = tmpl;
6808 specs[count++].index = i;
6809 }
6810 }
197865e8 6811 else
542d6675
KH
6812 {
6813 UNHANDLED;
6814 }
800eeca4
JW
6815 break;
6816
6817 case IA64_RS_BR:
6818 if (note != 1)
542d6675
KH
6819 {
6820 UNHANDLED;
6821 }
800eeca4 6822 else
542d6675
KH
6823 {
6824 if (rsrc_write)
6825 {
6826 for (i = 0; i < idesc->num_outputs; i++)
6827 if (idesc->operands[i] == IA64_OPND_B1
6828 || idesc->operands[i] == IA64_OPND_B2)
6829 {
6830 specs[count] = tmpl;
6831 specs[count++].index =
6832 CURR_SLOT.opnd[i].X_add_number - REG_BR;
6833 }
6834 }
6835 else
6836 {
6837 for (i = idesc->num_outputs;i < NELEMS (idesc->operands); i++)
6838 if (idesc->operands[i] == IA64_OPND_B1
6839 || idesc->operands[i] == IA64_OPND_B2)
6840 {
6841 specs[count] = tmpl;
6842 specs[count++].index =
6843 CURR_SLOT.opnd[i].X_add_number - REG_BR;
6844 }
6845 }
6846 }
800eeca4
JW
6847 break;
6848
6849 case IA64_RS_CPUID: /* four or more registers */
6850 if (note == 3)
542d6675
KH
6851 {
6852 if (idesc->operands[!rsrc_write] == IA64_OPND_CPUID_R3)
6853 {
6854 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
6855 if (regno >= 0 && regno < NELEMS (gr_values)
6856 && KNOWN (regno))
6857 {
6858 specs[count] = tmpl;
6859 specs[count++].index = gr_values[regno].value & 0xFF;
6860 }
6861 else
6862 {
6863 specs[count] = tmpl;
6864 specs[count++].specific = 0;
6865 }
6866 }
6867 }
800eeca4 6868 else
542d6675
KH
6869 {
6870 UNHANDLED;
6871 }
800eeca4
JW
6872 break;
6873
6874 case IA64_RS_DBR: /* four or more registers */
6875 if (note == 3)
542d6675
KH
6876 {
6877 if (idesc->operands[!rsrc_write] == IA64_OPND_DBR_R3)
6878 {
6879 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
6880 if (regno >= 0 && regno < NELEMS (gr_values)
6881 && KNOWN (regno))
6882 {
6883 specs[count] = tmpl;
6884 specs[count++].index = gr_values[regno].value & 0xFF;
6885 }
6886 else
6887 {
6888 specs[count] = tmpl;
6889 specs[count++].specific = 0;
6890 }
6891 }
6892 }
800eeca4 6893 else if (note == 0 && !rsrc_write)
542d6675
KH
6894 {
6895 specs[count] = tmpl;
6896 specs[count++].specific = 0;
6897 }
800eeca4 6898 else
542d6675
KH
6899 {
6900 UNHANDLED;
6901 }
800eeca4
JW
6902 break;
6903
6904 case IA64_RS_IBR: /* four or more registers */
6905 if (note == 3)
542d6675
KH
6906 {
6907 if (idesc->operands[!rsrc_write] == IA64_OPND_IBR_R3)
6908 {
6909 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
6910 if (regno >= 0 && regno < NELEMS (gr_values)
6911 && KNOWN (regno))
6912 {
6913 specs[count] = tmpl;
6914 specs[count++].index = gr_values[regno].value & 0xFF;
6915 }
6916 else
6917 {
6918 specs[count] = tmpl;
6919 specs[count++].specific = 0;
6920 }
6921 }
6922 }
800eeca4 6923 else
542d6675
KH
6924 {
6925 UNHANDLED;
6926 }
800eeca4
JW
6927 break;
6928
6929 case IA64_RS_MSR:
6930 if (note == 5)
6931 {
6932 /* These are implementation specific. Force all references to
6933 conflict with all other references. */
6934 specs[count] = tmpl;
6935 specs[count++].specific = 0;
6936 }
6937 else
6938 {
6939 UNHANDLED;
6940 }
6941 break;
6942
6943 case IA64_RS_PKR: /* 16 or more registers */
6944 if (note == 3 || note == 4)
542d6675
KH
6945 {
6946 if (idesc->operands[!rsrc_write] == IA64_OPND_PKR_R3)
6947 {
6948 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
6949 if (regno >= 0 && regno < NELEMS (gr_values)
6950 && KNOWN (regno))
6951 {
6952 if (note == 3)
6953 {
6954 specs[count] = tmpl;
6955 specs[count++].index = gr_values[regno].value & 0xFF;
6956 }
6957 else
6958 for (i = 0; i < NELEMS (gr_values); i++)
6959 {
6960 /* Uses all registers *except* the one in R3. */
2434f565 6961 if ((unsigned)i != (gr_values[regno].value & 0xFF))
542d6675
KH
6962 {
6963 specs[count] = tmpl;
6964 specs[count++].index = i;
6965 }
6966 }
6967 }
6968 else
6969 {
6970 specs[count] = tmpl;
6971 specs[count++].specific = 0;
6972 }
6973 }
6974 }
6975 else if (note == 0)
6976 {
6977 /* probe et al. */
6978 specs[count] = tmpl;
6979 specs[count++].specific = 0;
6980 }
6981 break;
6982
6983 case IA64_RS_PMC: /* four or more registers */
6984 if (note == 3)
6985 {
6986 if (idesc->operands[!rsrc_write] == IA64_OPND_PMC_R3
6987 || (!rsrc_write && idesc->operands[1] == IA64_OPND_PMD_R3))
6988
6989 {
6990 int index = ((idesc->operands[1] == IA64_OPND_R3 && !rsrc_write)
6991 ? 1 : !rsrc_write);
6992 int regno = CURR_SLOT.opnd[index].X_add_number - REG_GR;
6993 if (regno >= 0 && regno < NELEMS (gr_values)
6994 && KNOWN (regno))
6995 {
6996 specs[count] = tmpl;
6997 specs[count++].index = gr_values[regno].value & 0xFF;
6998 }
6999 else
7000 {
7001 specs[count] = tmpl;
7002 specs[count++].specific = 0;
7003 }
7004 }
7005 }
7006 else
7007 {
7008 UNHANDLED;
7009 }
800eeca4
JW
7010 break;
7011
7012 case IA64_RS_PMD: /* four or more registers */
7013 if (note == 3)
542d6675
KH
7014 {
7015 if (idesc->operands[!rsrc_write] == IA64_OPND_PMD_R3)
7016 {
7017 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
7018 if (regno >= 0 && regno < NELEMS (gr_values)
7019 && KNOWN (regno))
7020 {
7021 specs[count] = tmpl;
7022 specs[count++].index = gr_values[regno].value & 0xFF;
7023 }
7024 else
7025 {
7026 specs[count] = tmpl;
7027 specs[count++].specific = 0;
7028 }
7029 }
7030 }
800eeca4 7031 else
542d6675
KH
7032 {
7033 UNHANDLED;
7034 }
800eeca4
JW
7035 break;
7036
7037 case IA64_RS_RR: /* eight registers */
7038 if (note == 6)
542d6675
KH
7039 {
7040 if (idesc->operands[!rsrc_write] == IA64_OPND_RR_R3)
7041 {
7042 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_GR;
7043 if (regno >= 0 && regno < NELEMS (gr_values)
7044 && KNOWN (regno))
7045 {
7046 specs[count] = tmpl;
7047 specs[count++].index = (gr_values[regno].value >> 61) & 0x7;
7048 }
7049 else
7050 {
7051 specs[count] = tmpl;
7052 specs[count++].specific = 0;
7053 }
7054 }
7055 }
800eeca4 7056 else if (note == 0 && !rsrc_write)
542d6675
KH
7057 {
7058 specs[count] = tmpl;
7059 specs[count++].specific = 0;
7060 }
197865e8 7061 else
542d6675
KH
7062 {
7063 UNHANDLED;
7064 }
800eeca4
JW
7065 break;
7066
7067 case IA64_RS_CR_IRR:
197865e8 7068 if (note == 0)
542d6675
KH
7069 {
7070 /* handle mov-from-CR-IVR; it's a read that writes CR[IRR] */
7071 int regno = CURR_SLOT.opnd[1].X_add_number - REG_CR;
7072 if (rsrc_write
7073 && idesc->operands[1] == IA64_OPND_CR3
7074 && regno == CR_IVR)
7075 {
7076 for (i = 0; i < 4; i++)
7077 {
7078 specs[count] = tmpl;
7079 specs[count++].index = CR_IRR0 + i;
7080 }
7081 }
7082 }
800eeca4 7083 else if (note == 1)
542d6675
KH
7084 {
7085 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_CR;
7086 if (idesc->operands[!rsrc_write] == IA64_OPND_CR3
7087 && regno >= CR_IRR0
7088 && regno <= CR_IRR3)
7089 {
7090 specs[count] = tmpl;
7091 specs[count++].index = regno;
7092 }
7093 }
800eeca4 7094 else
542d6675
KH
7095 {
7096 UNHANDLED;
7097 }
800eeca4
JW
7098 break;
7099
7100 case IA64_RS_CR_LRR:
7101 if (note != 1)
542d6675
KH
7102 {
7103 UNHANDLED;
7104 }
197865e8 7105 else
542d6675
KH
7106 {
7107 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_CR;
7108 if (idesc->operands[!rsrc_write] == IA64_OPND_CR3
7109 && (regno == CR_LRR0 || regno == CR_LRR1))
7110 {
7111 specs[count] = tmpl;
7112 specs[count++].index = regno;
7113 }
7114 }
800eeca4
JW
7115 break;
7116
7117 case IA64_RS_CR:
7118 if (note == 1)
542d6675
KH
7119 {
7120 if (idesc->operands[!rsrc_write] == IA64_OPND_CR3)
7121 {
7122 specs[count] = tmpl;
7123 specs[count++].index =
7124 CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_CR;
7125 }
7126 }
800eeca4 7127 else
542d6675
KH
7128 {
7129 UNHANDLED;
7130 }
800eeca4
JW
7131 break;
7132
7133 case IA64_RS_FR:
7134 case IA64_RS_FRb:
7135 if (note != 1)
542d6675
KH
7136 {
7137 UNHANDLED;
7138 }
800eeca4 7139 else if (rsrc_write)
542d6675
KH
7140 {
7141 if (dep->specifier == IA64_RS_FRb
7142 && idesc->operands[0] == IA64_OPND_F1)
7143 {
7144 specs[count] = tmpl;
7145 specs[count++].index = CURR_SLOT.opnd[0].X_add_number - REG_FR;
7146 }
7147 }
800eeca4 7148 else
542d6675
KH
7149 {
7150 for (i = idesc->num_outputs; i < NELEMS (idesc->operands); i++)
7151 {
7152 if (idesc->operands[i] == IA64_OPND_F2
7153 || idesc->operands[i] == IA64_OPND_F3
7154 || idesc->operands[i] == IA64_OPND_F4)
7155 {
7156 specs[count] = tmpl;
7157 specs[count++].index =
7158 CURR_SLOT.opnd[i].X_add_number - REG_FR;
7159 }
7160 }
7161 }
800eeca4
JW
7162 break;
7163
7164 case IA64_RS_GR:
7165 if (note == 13)
542d6675
KH
7166 {
7167 /* This reference applies only to the GR whose value is loaded with
7168 data returned from memory. */
7169 specs[count] = tmpl;
7170 specs[count++].index = CURR_SLOT.opnd[0].X_add_number - REG_GR;
7171 }
800eeca4 7172 else if (note == 1)
542d6675
KH
7173 {
7174 if (rsrc_write)
7175 {
7176 for (i = 0; i < idesc->num_outputs; i++)
50b81f19
JW
7177 if (idesc->operands[i] == IA64_OPND_R1
7178 || idesc->operands[i] == IA64_OPND_R2
7179 || idesc->operands[i] == IA64_OPND_R3)
7180 {
7181 specs[count] = tmpl;
197865e8 7182 specs[count++].index =
50b81f19
JW
7183 CURR_SLOT.opnd[i].X_add_number - REG_GR;
7184 }
7185 if (idesc->flags & IA64_OPCODE_POSTINC)
7186 for (i = 0; i < NELEMS (idesc->operands); i++)
7187 if (idesc->operands[i] == IA64_OPND_MR3)
7188 {
7189 specs[count] = tmpl;
7190 specs[count++].index =
7191 CURR_SLOT.opnd[i].X_add_number - REG_GR;
7192 }
542d6675
KH
7193 }
7194 else
7195 {
7196 /* Look for anything that reads a GR. */
7197 for (i = 0; i < NELEMS (idesc->operands); i++)
7198 {
7199 if (idesc->operands[i] == IA64_OPND_MR3
7200 || idesc->operands[i] == IA64_OPND_CPUID_R3
7201 || idesc->operands[i] == IA64_OPND_DBR_R3
7202 || idesc->operands[i] == IA64_OPND_IBR_R3
800eeca4 7203 || idesc->operands[i] == IA64_OPND_MSR_R3
542d6675
KH
7204 || idesc->operands[i] == IA64_OPND_PKR_R3
7205 || idesc->operands[i] == IA64_OPND_PMC_R3
7206 || idesc->operands[i] == IA64_OPND_PMD_R3
7207 || idesc->operands[i] == IA64_OPND_RR_R3
7208 || ((i >= idesc->num_outputs)
7209 && (idesc->operands[i] == IA64_OPND_R1
7210 || idesc->operands[i] == IA64_OPND_R2
7211 || idesc->operands[i] == IA64_OPND_R3
50b81f19
JW
7212 /* addl source register. */
7213 || idesc->operands[i] == IA64_OPND_R3_2)))
542d6675
KH
7214 {
7215 specs[count] = tmpl;
7216 specs[count++].index =
7217 CURR_SLOT.opnd[i].X_add_number - REG_GR;
7218 }
7219 }
7220 }
7221 }
197865e8 7222 else
542d6675
KH
7223 {
7224 UNHANDLED;
7225 }
800eeca4
JW
7226 break;
7227
139368c9
JW
7228 /* This is the same as IA64_RS_PRr, except that the register range is
7229 from 1 - 15, and there are no rotating register reads/writes here. */
800eeca4
JW
7230 case IA64_RS_PR:
7231 if (note == 0)
542d6675 7232 {
139368c9 7233 for (i = 1; i < 16; i++)
542d6675 7234 {
139368c9
JW
7235 specs[count] = tmpl;
7236 specs[count++].index = i;
7237 }
7238 }
7239 else if (note == 7)
7240 {
7241 valueT mask = 0;
7242 /* Mark only those registers indicated by the mask. */
7243 if (rsrc_write)
7244 {
7245 mask = CURR_SLOT.opnd[2].X_add_number;
7246 for (i = 1; i < 16; i++)
7247 if (mask & ((valueT) 1 << i))
7248 {
7249 specs[count] = tmpl;
7250 specs[count++].index = i;
7251 }
7252 }
7253 else
7254 {
7255 UNHANDLED;
7256 }
7257 }
7258 else if (note == 11) /* note 11 implies note 1 as well */
7259 {
7260 if (rsrc_write)
7261 {
7262 for (i = 0; i < idesc->num_outputs; i++)
7263 {
7264 if (idesc->operands[i] == IA64_OPND_P1
7265 || idesc->operands[i] == IA64_OPND_P2)
7266 {
7267 int regno = CURR_SLOT.opnd[i].X_add_number - REG_P;
7268 if (regno >= 1 && regno < 16)
7269 {
7270 specs[count] = tmpl;
7271 specs[count++].index = regno;
7272 }
7273 }
7274 }
7275 }
7276 else
7277 {
7278 UNHANDLED;
7279 }
7280 }
7281 else if (note == 12)
7282 {
7283 if (CURR_SLOT.qp_regno >= 1 && CURR_SLOT.qp_regno < 16)
7284 {
7285 specs[count] = tmpl;
7286 specs[count++].index = CURR_SLOT.qp_regno;
7287 }
7288 }
7289 else if (note == 1)
7290 {
7291 if (rsrc_write)
7292 {
7293 int p1 = CURR_SLOT.opnd[0].X_add_number - REG_P;
7294 int p2 = CURR_SLOT.opnd[1].X_add_number - REG_P;
7295 int or_andcm = strstr(idesc->name, "or.andcm") != NULL;
7296 int and_orcm = strstr(idesc->name, "and.orcm") != NULL;
7297
7298 if ((idesc->operands[0] == IA64_OPND_P1
7299 || idesc->operands[0] == IA64_OPND_P2)
7300 && p1 >= 1 && p1 < 16)
542d6675
KH
7301 {
7302 specs[count] = tmpl;
139368c9
JW
7303 specs[count].cmp_type =
7304 (or_andcm ? CMP_OR : (and_orcm ? CMP_AND : CMP_NONE));
7305 specs[count++].index = p1;
7306 }
7307 if ((idesc->operands[1] == IA64_OPND_P1
7308 || idesc->operands[1] == IA64_OPND_P2)
7309 && p2 >= 1 && p2 < 16)
7310 {
7311 specs[count] = tmpl;
7312 specs[count].cmp_type =
7313 (or_andcm ? CMP_AND : (and_orcm ? CMP_OR : CMP_NONE));
7314 specs[count++].index = p2;
542d6675
KH
7315 }
7316 }
7317 else
7318 {
139368c9 7319 if (CURR_SLOT.qp_regno >= 1 && CURR_SLOT.qp_regno < 16)
542d6675
KH
7320 {
7321 specs[count] = tmpl;
139368c9
JW
7322 specs[count++].index = CURR_SLOT.qp_regno;
7323 }
7324 if (idesc->operands[1] == IA64_OPND_PR)
7325 {
7326 for (i = 1; i < 16; i++)
7327 {
7328 specs[count] = tmpl;
7329 specs[count++].index = i;
7330 }
542d6675
KH
7331 }
7332 }
7333 }
139368c9
JW
7334 else
7335 {
7336 UNHANDLED;
7337 }
7338 break;
7339
7340 /* This is the general case for PRs. IA64_RS_PR and IA64_RS_PR63 are
7341 simplified cases of this. */
7342 case IA64_RS_PRr:
7343 if (note == 0)
7344 {
7345 for (i = 16; i < 63; i++)
7346 {
7347 specs[count] = tmpl;
7348 specs[count++].index = i;
7349 }
7350 }
800eeca4 7351 else if (note == 7)
542d6675
KH
7352 {
7353 valueT mask = 0;
7354 /* Mark only those registers indicated by the mask. */
7355 if (rsrc_write
7356 && idesc->operands[0] == IA64_OPND_PR)
7357 {
7358 mask = CURR_SLOT.opnd[2].X_add_number;
139368c9
JW
7359 if (mask & ((valueT) 1<<16))
7360 for (i = 16; i < 63; i++)
7361 {
7362 specs[count] = tmpl;
7363 specs[count++].index = i;
7364 }
542d6675
KH
7365 }
7366 else if (rsrc_write
7367 && idesc->operands[0] == IA64_OPND_PR_ROT)
7368 {
7369 for (i = 16; i < 63; i++)
7370 {
7371 specs[count] = tmpl;
7372 specs[count++].index = i;
7373 }
7374 }
7375 else
7376 {
7377 UNHANDLED;
7378 }
7379 }
800eeca4 7380 else if (note == 11) /* note 11 implies note 1 as well */
542d6675
KH
7381 {
7382 if (rsrc_write)
7383 {
7384 for (i = 0; i < idesc->num_outputs; i++)
7385 {
7386 if (idesc->operands[i] == IA64_OPND_P1
7387 || idesc->operands[i] == IA64_OPND_P2)
7388 {
7389 int regno = CURR_SLOT.opnd[i].X_add_number - REG_P;
139368c9 7390 if (regno >= 16 && regno < 63)
542d6675
KH
7391 {
7392 specs[count] = tmpl;
7393 specs[count++].index = regno;
7394 }
7395 }
7396 }
7397 }
7398 else
7399 {
7400 UNHANDLED;
7401 }
7402 }
800eeca4 7403 else if (note == 12)
542d6675 7404 {
139368c9 7405 if (CURR_SLOT.qp_regno >= 16 && CURR_SLOT.qp_regno < 63)
542d6675
KH
7406 {
7407 specs[count] = tmpl;
7408 specs[count++].index = CURR_SLOT.qp_regno;
7409 }
7410 }
800eeca4 7411 else if (note == 1)
542d6675
KH
7412 {
7413 if (rsrc_write)
7414 {
7415 int p1 = CURR_SLOT.opnd[0].X_add_number - REG_P;
7416 int p2 = CURR_SLOT.opnd[1].X_add_number - REG_P;
7484b8e6
TW
7417 int or_andcm = strstr(idesc->name, "or.andcm") != NULL;
7418 int and_orcm = strstr(idesc->name, "and.orcm") != NULL;
7419
542d6675
KH
7420 if ((idesc->operands[0] == IA64_OPND_P1
7421 || idesc->operands[0] == IA64_OPND_P2)
139368c9 7422 && p1 >= 16 && p1 < 63)
542d6675
KH
7423 {
7424 specs[count] = tmpl;
4a4f25cf 7425 specs[count].cmp_type =
7484b8e6 7426 (or_andcm ? CMP_OR : (and_orcm ? CMP_AND : CMP_NONE));
542d6675
KH
7427 specs[count++].index = p1;
7428 }
7429 if ((idesc->operands[1] == IA64_OPND_P1
7430 || idesc->operands[1] == IA64_OPND_P2)
139368c9 7431 && p2 >= 16 && p2 < 63)
542d6675
KH
7432 {
7433 specs[count] = tmpl;
4a4f25cf 7434 specs[count].cmp_type =
7484b8e6 7435 (or_andcm ? CMP_AND : (and_orcm ? CMP_OR : CMP_NONE));
542d6675
KH
7436 specs[count++].index = p2;
7437 }
7438 }
7439 else
7440 {
139368c9 7441 if (CURR_SLOT.qp_regno >= 16 && CURR_SLOT.qp_regno < 63)
542d6675
KH
7442 {
7443 specs[count] = tmpl;
7444 specs[count++].index = CURR_SLOT.qp_regno;
7445 }
7446 if (idesc->operands[1] == IA64_OPND_PR)
7447 {
139368c9 7448 for (i = 16; i < 63; i++)
542d6675
KH
7449 {
7450 specs[count] = tmpl;
7451 specs[count++].index = i;
7452 }
7453 }
7454 }
7455 }
197865e8 7456 else
542d6675
KH
7457 {
7458 UNHANDLED;
7459 }
800eeca4
JW
7460 break;
7461
7462 case IA64_RS_PSR:
197865e8 7463 /* Verify that the instruction is using the PSR bit indicated in
542d6675 7464 dep->regindex. */
800eeca4 7465 if (note == 0)
542d6675
KH
7466 {
7467 if (idesc->operands[!rsrc_write] == IA64_OPND_PSR_UM)
7468 {
7469 if (dep->regindex < 6)
7470 {
7471 specs[count++] = tmpl;
7472 }
7473 }
7474 else if (idesc->operands[!rsrc_write] == IA64_OPND_PSR)
7475 {
7476 if (dep->regindex < 32
7477 || dep->regindex == 35
7478 || dep->regindex == 36
7479 || (!rsrc_write && dep->regindex == PSR_CPL))
7480 {
7481 specs[count++] = tmpl;
7482 }
7483 }
7484 else if (idesc->operands[!rsrc_write] == IA64_OPND_PSR_L)
7485 {
7486 if (dep->regindex < 32
7487 || dep->regindex == 35
7488 || dep->regindex == 36
7489 || (rsrc_write && dep->regindex == PSR_CPL))
7490 {
7491 specs[count++] = tmpl;
7492 }
7493 }
7494 else
7495 {
7496 /* Several PSR bits have very specific dependencies. */
7497 switch (dep->regindex)
7498 {
7499 default:
7500 specs[count++] = tmpl;
7501 break;
7502 case PSR_IC:
7503 if (rsrc_write)
7504 {
7505 specs[count++] = tmpl;
7506 }
7507 else
7508 {
7509 /* Only certain CR accesses use PSR.ic */
7510 if (idesc->operands[0] == IA64_OPND_CR3
7511 || idesc->operands[1] == IA64_OPND_CR3)
7512 {
7513 int index =
7514 ((idesc->operands[0] == IA64_OPND_CR3)
7515 ? 0 : 1);
7516 int regno =
7517 CURR_SLOT.opnd[index].X_add_number - REG_CR;
7518
7519 switch (regno)
7520 {
7521 default:
7522 break;
7523 case CR_ITIR:
7524 case CR_IFS:
7525 case CR_IIM:
7526 case CR_IIP:
7527 case CR_IPSR:
7528 case CR_ISR:
7529 case CR_IFA:
7530 case CR_IHA:
7531 case CR_IIPA:
7532 specs[count++] = tmpl;
7533 break;
7534 }
7535 }
7536 }
7537 break;
7538 case PSR_CPL:
7539 if (rsrc_write)
7540 {
7541 specs[count++] = tmpl;
7542 }
7543 else
7544 {
7545 /* Only some AR accesses use cpl */
7546 if (idesc->operands[0] == IA64_OPND_AR3
7547 || idesc->operands[1] == IA64_OPND_AR3)
7548 {
7549 int index =
7550 ((idesc->operands[0] == IA64_OPND_AR3)
7551 ? 0 : 1);
7552 int regno =
7553 CURR_SLOT.opnd[index].X_add_number - REG_AR;
7554
7555 if (regno == AR_ITC
7556 || (index == 0
7557 && (regno == AR_ITC
7558 || regno == AR_RSC
7559 || (regno >= AR_K0
7560 && regno <= AR_K7))))
7561 {
7562 specs[count++] = tmpl;
7563 }
7564 }
7565 else
7566 {
7567 specs[count++] = tmpl;
7568 }
7569 break;
7570 }
7571 }
7572 }
7573 }
800eeca4 7574 else if (note == 7)
542d6675
KH
7575 {
7576 valueT mask = 0;
7577 if (idesc->operands[0] == IA64_OPND_IMMU24)
7578 {
7579 mask = CURR_SLOT.opnd[0].X_add_number;
7580 }
7581 else
7582 {
7583 UNHANDLED;
7584 }
7585 if (mask & ((valueT) 1 << dep->regindex))
7586 {
7587 specs[count++] = tmpl;
7588 }
7589 }
800eeca4 7590 else if (note == 8)
542d6675
KH
7591 {
7592 int min = dep->regindex == PSR_DFL ? 2 : 32;
7593 int max = dep->regindex == PSR_DFL ? 31 : 127;
7594 /* dfh is read on FR32-127; dfl is read on FR2-31 */
7595 for (i = 0; i < NELEMS (idesc->operands); i++)
7596 {
7597 if (idesc->operands[i] == IA64_OPND_F1
7598 || idesc->operands[i] == IA64_OPND_F2
7599 || idesc->operands[i] == IA64_OPND_F3
7600 || idesc->operands[i] == IA64_OPND_F4)
7601 {
7602 int reg = CURR_SLOT.opnd[i].X_add_number - REG_FR;
7603 if (reg >= min && reg <= max)
7604 {
7605 specs[count++] = tmpl;
7606 }
7607 }
7608 }
7609 }
800eeca4 7610 else if (note == 9)
542d6675
KH
7611 {
7612 int min = dep->regindex == PSR_MFL ? 2 : 32;
7613 int max = dep->regindex == PSR_MFL ? 31 : 127;
7614 /* mfh is read on writes to FR32-127; mfl is read on writes to
7615 FR2-31 */
7616 for (i = 0; i < idesc->num_outputs; i++)
7617 {
7618 if (idesc->operands[i] == IA64_OPND_F1)
7619 {
7620 int reg = CURR_SLOT.opnd[i].X_add_number - REG_FR;
7621 if (reg >= min && reg <= max)
7622 {
7623 specs[count++] = tmpl;
7624 }
7625 }
7626 }
7627 }
800eeca4 7628 else if (note == 10)
542d6675
KH
7629 {
7630 for (i = 0; i < NELEMS (idesc->operands); i++)
7631 {
7632 if (idesc->operands[i] == IA64_OPND_R1
7633 || idesc->operands[i] == IA64_OPND_R2
7634 || idesc->operands[i] == IA64_OPND_R3)
7635 {
7636 int regno = CURR_SLOT.opnd[i].X_add_number - REG_GR;
7637 if (regno >= 16 && regno <= 31)
7638 {
7639 specs[count++] = tmpl;
7640 }
7641 }
7642 }
7643 }
800eeca4 7644 else
542d6675
KH
7645 {
7646 UNHANDLED;
7647 }
800eeca4
JW
7648 break;
7649
7650 case IA64_RS_AR_FPSR:
7651 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3)
542d6675
KH
7652 {
7653 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
7654 if (regno == AR_FPSR)
7655 {
7656 specs[count++] = tmpl;
7657 }
7658 }
800eeca4 7659 else
542d6675
KH
7660 {
7661 specs[count++] = tmpl;
7662 }
800eeca4
JW
7663 break;
7664
197865e8 7665 case IA64_RS_ARX:
800eeca4
JW
7666 /* Handle all AR[REG] resources */
7667 if (note == 0 || note == 1)
542d6675
KH
7668 {
7669 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_AR;
7670 if (idesc->operands[!rsrc_write] == IA64_OPND_AR3
7671 && regno == dep->regindex)
7672 {
7673 specs[count++] = tmpl;
7674 }
7675 /* other AR[REG] resources may be affected by AR accesses */
7676 else if (idesc->operands[0] == IA64_OPND_AR3)
7677 {
7678 /* AR[] writes */
7679 regno = CURR_SLOT.opnd[0].X_add_number - REG_AR;
7680 switch (dep->regindex)
7681 {
7682 default:
7683 break;
7684 case AR_BSP:
7685 case AR_RNAT:
7686 if (regno == AR_BSPSTORE)
7687 {
7688 specs[count++] = tmpl;
7689 }
7690 case AR_RSC:
7691 if (!rsrc_write &&
7692 (regno == AR_BSPSTORE
7693 || regno == AR_RNAT))
7694 {
7695 specs[count++] = tmpl;
7696 }
7697 break;
7698 }
7699 }
7700 else if (idesc->operands[1] == IA64_OPND_AR3)
7701 {
7702 /* AR[] reads */
7703 regno = CURR_SLOT.opnd[1].X_add_number - REG_AR;
7704 switch (dep->regindex)
7705 {
7706 default:
7707 break;
7708 case AR_RSC:
7709 if (regno == AR_BSPSTORE || regno == AR_RNAT)
7710 {
7711 specs[count++] = tmpl;
7712 }
7713 break;
7714 }
7715 }
7716 else
7717 {
7718 specs[count++] = tmpl;
7719 }
7720 }
800eeca4 7721 else
542d6675
KH
7722 {
7723 UNHANDLED;
7724 }
800eeca4
JW
7725 break;
7726
7727 case IA64_RS_CRX:
7728 /* Handle all CR[REG] resources */
7729 if (note == 0 || note == 1)
542d6675
KH
7730 {
7731 if (idesc->operands[!rsrc_write] == IA64_OPND_CR3)
7732 {
7733 int regno = CURR_SLOT.opnd[!rsrc_write].X_add_number - REG_CR;
7734 if (regno == dep->regindex)
7735 {
7736 specs[count++] = tmpl;
7737 }
7738 else if (!rsrc_write)
7739 {
7740 /* Reads from CR[IVR] affect other resources. */
7741 if (regno == CR_IVR)
7742 {
7743 if ((dep->regindex >= CR_IRR0
7744 && dep->regindex <= CR_IRR3)
7745 || dep->regindex == CR_TPR)
7746 {
7747 specs[count++] = tmpl;
7748 }
7749 }
7750 }
7751 }
7752 else
7753 {
7754 specs[count++] = tmpl;
7755 }
7756 }
800eeca4 7757 else
542d6675
KH
7758 {
7759 UNHANDLED;
7760 }
800eeca4
JW
7761 break;
7762
7763 case IA64_RS_INSERVICE:
7764 /* look for write of EOI (67) or read of IVR (65) */
7765 if ((idesc->operands[0] == IA64_OPND_CR3
542d6675
KH
7766 && CURR_SLOT.opnd[0].X_add_number - REG_CR == CR_EOI)
7767 || (idesc->operands[1] == IA64_OPND_CR3
7768 && CURR_SLOT.opnd[1].X_add_number - REG_CR == CR_IVR))
7769 {
7770 specs[count++] = tmpl;
7771 }
800eeca4
JW
7772 break;
7773
7774 case IA64_RS_GR0:
7775 if (note == 1)
542d6675
KH
7776 {
7777 specs[count++] = tmpl;
7778 }
800eeca4 7779 else
542d6675
KH
7780 {
7781 UNHANDLED;
7782 }
800eeca4
JW
7783 break;
7784
7785 case IA64_RS_CFM:
7786 if (note != 2)
542d6675
KH
7787 {
7788 specs[count++] = tmpl;
7789 }
800eeca4 7790 else
542d6675
KH
7791 {
7792 /* Check if any of the registers accessed are in the rotating region.
7793 mov to/from pr accesses CFM only when qp_regno is in the rotating
7794 region */
7795 for (i = 0; i < NELEMS (idesc->operands); i++)
7796 {
7797 if (idesc->operands[i] == IA64_OPND_R1
7798 || idesc->operands[i] == IA64_OPND_R2
7799 || idesc->operands[i] == IA64_OPND_R3)
7800 {
7801 int num = CURR_SLOT.opnd[i].X_add_number - REG_GR;
7802 /* Assumes that md.rot.num_regs is always valid */
7803 if (md.rot.num_regs > 0
7804 && num > 31
7805 && num < 31 + md.rot.num_regs)
7806 {
7807 specs[count] = tmpl;
7808 specs[count++].specific = 0;
7809 }
7810 }
7811 else if (idesc->operands[i] == IA64_OPND_F1
7812 || idesc->operands[i] == IA64_OPND_F2
7813 || idesc->operands[i] == IA64_OPND_F3
7814 || idesc->operands[i] == IA64_OPND_F4)
7815 {
7816 int num = CURR_SLOT.opnd[i].X_add_number - REG_FR;
7817 if (num > 31)
7818 {
7819 specs[count] = tmpl;
7820 specs[count++].specific = 0;
7821 }
7822 }
7823 else if (idesc->operands[i] == IA64_OPND_P1
7824 || idesc->operands[i] == IA64_OPND_P2)
7825 {
7826 int num = CURR_SLOT.opnd[i].X_add_number - REG_P;
7827 if (num > 15)
7828 {
7829 specs[count] = tmpl;
7830 specs[count++].specific = 0;
7831 }
7832 }
7833 }
7834 if (CURR_SLOT.qp_regno > 15)
7835 {
7836 specs[count] = tmpl;
7837 specs[count++].specific = 0;
7838 }
7839 }
800eeca4
JW
7840 break;
7841
139368c9
JW
7842 /* This is the same as IA64_RS_PRr, except simplified to account for
7843 the fact that there is only one register. */
800eeca4
JW
7844 case IA64_RS_PR63:
7845 if (note == 0)
542d6675
KH
7846 {
7847 specs[count++] = tmpl;
7848 }
139368c9
JW
7849 else if (note == 7)
7850 {
7851 valueT mask = 0;
7852 if (idesc->operands[2] == IA64_OPND_IMM17)
7853 mask = CURR_SLOT.opnd[2].X_add_number;
7854 if (mask & ((valueT) 1 << 63))
7855 specs[count++] = tmpl;
7856 }
800eeca4 7857 else if (note == 11)
542d6675
KH
7858 {
7859 if ((idesc->operands[0] == IA64_OPND_P1
7860 && CURR_SLOT.opnd[0].X_add_number - REG_P == 63)
7861 || (idesc->operands[1] == IA64_OPND_P2
7862 && CURR_SLOT.opnd[1].X_add_number - REG_P == 63))
7863 {
7864 specs[count++] = tmpl;
7865 }
7866 }
800eeca4 7867 else if (note == 12)
542d6675
KH
7868 {
7869 if (CURR_SLOT.qp_regno == 63)
7870 {
7871 specs[count++] = tmpl;
7872 }
7873 }
800eeca4 7874 else if (note == 1)
542d6675
KH
7875 {
7876 if (rsrc_write)
7877 {
7484b8e6
TW
7878 int p1 = CURR_SLOT.opnd[0].X_add_number - REG_P;
7879 int p2 = CURR_SLOT.opnd[1].X_add_number - REG_P;
7880 int or_andcm = strstr(idesc->name, "or.andcm") != NULL;
7881 int and_orcm = strstr(idesc->name, "and.orcm") != NULL;
7882
4a4f25cf 7883 if (p1 == 63
7484b8e6
TW
7884 && (idesc->operands[0] == IA64_OPND_P1
7885 || idesc->operands[0] == IA64_OPND_P2))
7886 {
7887 specs[count] = tmpl;
4a4f25cf 7888 specs[count++].cmp_type =
7484b8e6
TW
7889 (or_andcm ? CMP_OR : (and_orcm ? CMP_AND : CMP_NONE));
7890 }
7891 if (p2 == 63
7892 && (idesc->operands[1] == IA64_OPND_P1
7893 || idesc->operands[1] == IA64_OPND_P2))
7894 {
7895 specs[count] = tmpl;
4a4f25cf 7896 specs[count++].cmp_type =
7484b8e6
TW
7897 (or_andcm ? CMP_AND : (and_orcm ? CMP_OR : CMP_NONE));
7898 }
542d6675
KH
7899 }
7900 else
7901 {
7902 if (CURR_SLOT.qp_regno == 63)
7903 {
7904 specs[count++] = tmpl;
7905 }
7906 }
7907 }
800eeca4 7908 else
542d6675
KH
7909 {
7910 UNHANDLED;
7911 }
800eeca4
JW
7912 break;
7913
7914 case IA64_RS_RSE:
7915 /* FIXME we can identify some individual RSE written resources, but RSE
542d6675
KH
7916 read resources have not yet been completely identified, so for now
7917 treat RSE as a single resource */
800eeca4 7918 if (strncmp (idesc->name, "mov", 3) == 0)
542d6675
KH
7919 {
7920 if (rsrc_write)
7921 {
7922 if (idesc->operands[0] == IA64_OPND_AR3
7923 && CURR_SLOT.opnd[0].X_add_number - REG_AR == AR_BSPSTORE)
7924 {
7925 specs[count] = tmpl;
7926 specs[count++].index = 0; /* IA64_RSE_BSPLOAD/RNATBITINDEX */
7927 }
7928 }
7929 else
7930 {
7931 if (idesc->operands[0] == IA64_OPND_AR3)
7932 {
7933 if (CURR_SLOT.opnd[0].X_add_number - REG_AR == AR_BSPSTORE
7934 || CURR_SLOT.opnd[0].X_add_number - REG_AR == AR_RNAT)
7935 {
7936 specs[count++] = tmpl;
7937 }
7938 }
7939 else if (idesc->operands[1] == IA64_OPND_AR3)
7940 {
7941 if (CURR_SLOT.opnd[1].X_add_number - REG_AR == AR_BSP
7942 || CURR_SLOT.opnd[1].X_add_number - REG_AR == AR_BSPSTORE
7943 || CURR_SLOT.opnd[1].X_add_number - REG_AR == AR_RNAT)
7944 {
7945 specs[count++] = tmpl;
7946 }
7947 }
7948 }
7949 }
197865e8 7950 else
542d6675
KH
7951 {
7952 specs[count++] = tmpl;
7953 }
800eeca4
JW
7954 break;
7955
7956 case IA64_RS_ANY:
7957 /* FIXME -- do any of these need to be non-specific? */
7958 specs[count++] = tmpl;
7959 break;
7960
7961 default:
7962 as_bad (_("Unrecognized dependency specifier %d\n"), dep->specifier);
7963 break;
7964 }
7965
7966 return count;
7967}
7968
7969/* Clear branch flags on marked resources. This breaks the link between the
542d6675
KH
7970 QP of the marking instruction and a subsequent branch on the same QP. */
7971
800eeca4
JW
7972static void
7973clear_qp_branch_flag (mask)
542d6675 7974 valueT mask;
800eeca4
JW
7975{
7976 int i;
542d6675 7977 for (i = 0; i < regdepslen; i++)
800eeca4 7978 {
197865e8 7979 valueT bit = ((valueT) 1 << regdeps[i].qp_regno);
800eeca4 7980 if ((bit & mask) != 0)
542d6675
KH
7981 {
7982 regdeps[i].link_to_qp_branch = 0;
7983 }
800eeca4
JW
7984 }
7985}
7986
197865e8 7987/* Remove any mutexes which contain any of the PRs indicated in the mask.
800eeca4 7988
542d6675
KH
7989 Any changes to a PR clears the mutex relations which include that PR. */
7990
800eeca4
JW
7991static void
7992clear_qp_mutex (mask)
542d6675 7993 valueT mask;
800eeca4
JW
7994{
7995 int i;
7996
7997 i = 0;
7998 while (i < qp_mutexeslen)
7999 {
8000 if ((qp_mutexes[i].prmask & mask) != 0)
542d6675
KH
8001 {
8002 if (md.debug_dv)
8003 {
8004 fprintf (stderr, " Clearing mutex relation");
8005 print_prmask (qp_mutexes[i].prmask);
8006 fprintf (stderr, "\n");
8007 }
8008 qp_mutexes[i] = qp_mutexes[--qp_mutexeslen];
8009 }
800eeca4 8010 else
542d6675 8011 ++i;
800eeca4
JW
8012 }
8013}
8014
8015/* Clear implies relations which contain PRs in the given masks.
8016 P1_MASK indicates the source of the implies relation, while P2_MASK
542d6675
KH
8017 indicates the implied PR. */
8018
800eeca4
JW
8019static void
8020clear_qp_implies (p1_mask, p2_mask)
542d6675
KH
8021 valueT p1_mask;
8022 valueT p2_mask;
800eeca4
JW
8023{
8024 int i;
8025
8026 i = 0;
8027 while (i < qp_implieslen)
8028 {
197865e8 8029 if ((((valueT) 1 << qp_implies[i].p1) & p1_mask) != 0
542d6675
KH
8030 || (((valueT) 1 << qp_implies[i].p2) & p2_mask) != 0)
8031 {
8032 if (md.debug_dv)
8033 fprintf (stderr, "Clearing implied relation PR%d->PR%d\n",
8034 qp_implies[i].p1, qp_implies[i].p2);
8035 qp_implies[i] = qp_implies[--qp_implieslen];
8036 }
197865e8 8037 else
542d6675 8038 ++i;
800eeca4
JW
8039 }
8040}
8041
542d6675
KH
8042/* Add the PRs specified to the list of implied relations. */
8043
800eeca4
JW
8044static void
8045add_qp_imply (p1, p2)
542d6675 8046 int p1, p2;
800eeca4
JW
8047{
8048 valueT mask;
8049 valueT bit;
8050 int i;
8051
542d6675 8052 /* p0 is not meaningful here. */
800eeca4
JW
8053 if (p1 == 0 || p2 == 0)
8054 abort ();
8055
8056 if (p1 == p2)
8057 return;
8058
542d6675
KH
8059 /* If it exists already, ignore it. */
8060 for (i = 0; i < qp_implieslen; i++)
800eeca4 8061 {
197865e8 8062 if (qp_implies[i].p1 == p1
542d6675
KH
8063 && qp_implies[i].p2 == p2
8064 && qp_implies[i].path == md.path
8065 && !qp_implies[i].p2_branched)
8066 return;
800eeca4
JW
8067 }
8068
8069 if (qp_implieslen == qp_impliestotlen)
8070 {
8071 qp_impliestotlen += 20;
8072 qp_implies = (struct qp_imply *)
542d6675
KH
8073 xrealloc ((void *) qp_implies,
8074 qp_impliestotlen * sizeof (struct qp_imply));
800eeca4
JW
8075 }
8076 if (md.debug_dv)
8077 fprintf (stderr, " Registering PR%d implies PR%d\n", p1, p2);
8078 qp_implies[qp_implieslen].p1 = p1;
8079 qp_implies[qp_implieslen].p2 = p2;
8080 qp_implies[qp_implieslen].path = md.path;
8081 qp_implies[qp_implieslen++].p2_branched = 0;
8082
8083 /* Add in the implied transitive relations; for everything that p2 implies,
8084 make p1 imply that, too; for everything that implies p1, make it imply p2
197865e8 8085 as well. */
542d6675 8086 for (i = 0; i < qp_implieslen; i++)
800eeca4
JW
8087 {
8088 if (qp_implies[i].p1 == p2)
542d6675 8089 add_qp_imply (p1, qp_implies[i].p2);
800eeca4 8090 if (qp_implies[i].p2 == p1)
542d6675 8091 add_qp_imply (qp_implies[i].p1, p2);
800eeca4
JW
8092 }
8093 /* Add in mutex relations implied by this implies relation; for each mutex
197865e8
KH
8094 relation containing p2, duplicate it and replace p2 with p1. */
8095 bit = (valueT) 1 << p1;
8096 mask = (valueT) 1 << p2;
542d6675 8097 for (i = 0; i < qp_mutexeslen; i++)
800eeca4
JW
8098 {
8099 if (qp_mutexes[i].prmask & mask)
542d6675 8100 add_qp_mutex ((qp_mutexes[i].prmask & ~mask) | bit);
800eeca4
JW
8101 }
8102}
8103
800eeca4
JW
8104/* Add the PRs specified in the mask to the mutex list; this means that only
8105 one of the PRs can be true at any time. PR0 should never be included in
8106 the mask. */
542d6675 8107
800eeca4
JW
8108static void
8109add_qp_mutex (mask)
542d6675 8110 valueT mask;
800eeca4
JW
8111{
8112 if (mask & 0x1)
8113 abort ();
8114
8115 if (qp_mutexeslen == qp_mutexestotlen)
8116 {
8117 qp_mutexestotlen += 20;
8118 qp_mutexes = (struct qpmutex *)
542d6675
KH
8119 xrealloc ((void *) qp_mutexes,
8120 qp_mutexestotlen * sizeof (struct qpmutex));
800eeca4
JW
8121 }
8122 if (md.debug_dv)
8123 {
8124 fprintf (stderr, " Registering mutex on");
8125 print_prmask (mask);
8126 fprintf (stderr, "\n");
8127 }
8128 qp_mutexes[qp_mutexeslen].path = md.path;
8129 qp_mutexes[qp_mutexeslen++].prmask = mask;
8130}
8131
8132static void
8133clear_register_values ()
8134{
8135 int i;
8136 if (md.debug_dv)
8137 fprintf (stderr, " Clearing register values\n");
542d6675 8138 for (i = 1; i < NELEMS (gr_values); i++)
800eeca4
JW
8139 gr_values[i].known = 0;
8140}
8141
8142/* Keep track of register values/changes which affect DV tracking.
8143
8144 optimization note: should add a flag to classes of insns where otherwise we
542d6675 8145 have to examine a group of strings to identify them. */
800eeca4 8146
800eeca4
JW
8147static void
8148note_register_values (idesc)
542d6675 8149 struct ia64_opcode *idesc;
800eeca4
JW
8150{
8151 valueT qp_changemask = 0;
8152 int i;
8153
542d6675
KH
8154 /* Invalidate values for registers being written to. */
8155 for (i = 0; i < idesc->num_outputs; i++)
800eeca4 8156 {
197865e8 8157 if (idesc->operands[i] == IA64_OPND_R1
542d6675
KH
8158 || idesc->operands[i] == IA64_OPND_R2
8159 || idesc->operands[i] == IA64_OPND_R3)
8160 {
8161 int regno = CURR_SLOT.opnd[i].X_add_number - REG_GR;
8162 if (regno > 0 && regno < NELEMS (gr_values))
8163 gr_values[regno].known = 0;
8164 }
50b81f19
JW
8165 else if (idesc->operands[i] == IA64_OPND_R3_2)
8166 {
8167 int regno = CURR_SLOT.opnd[i].X_add_number - REG_GR;
8168 if (regno > 0 && regno < 4)
8169 gr_values[regno].known = 0;
8170 }
197865e8 8171 else if (idesc->operands[i] == IA64_OPND_P1
542d6675
KH
8172 || idesc->operands[i] == IA64_OPND_P2)
8173 {
8174 int regno = CURR_SLOT.opnd[i].X_add_number - REG_P;
8175 qp_changemask |= (valueT) 1 << regno;
8176 }
800eeca4 8177 else if (idesc->operands[i] == IA64_OPND_PR)
542d6675
KH
8178 {
8179 if (idesc->operands[2] & (valueT) 0x10000)
8180 qp_changemask = ~(valueT) 0x1FFFF | idesc->operands[2];
8181 else
8182 qp_changemask = idesc->operands[2];
8183 break;
8184 }
800eeca4 8185 else if (idesc->operands[i] == IA64_OPND_PR_ROT)
542d6675
KH
8186 {
8187 if (idesc->operands[1] & ((valueT) 1 << 43))
8188 qp_changemask = ~(valueT) 0xFFFFFFFFFFF | idesc->operands[1];
8189 else
8190 qp_changemask = idesc->operands[1];
8191 qp_changemask &= ~(valueT) 0xFFFF;
8192 break;
8193 }
8194 }
8195
8196 /* Always clear qp branch flags on any PR change. */
8197 /* FIXME there may be exceptions for certain compares. */
800eeca4
JW
8198 clear_qp_branch_flag (qp_changemask);
8199
542d6675 8200 /* Invalidate rotating registers on insns which affect RRBs in CFM. */
800eeca4
JW
8201 if (idesc->flags & IA64_OPCODE_MOD_RRBS)
8202 {
197865e8 8203 qp_changemask |= ~(valueT) 0xFFFF;
800eeca4 8204 if (strcmp (idesc->name, "clrrrb.pr") != 0)
542d6675
KH
8205 {
8206 for (i = 32; i < 32 + md.rot.num_regs; i++)
8207 gr_values[i].known = 0;
8208 }
800eeca4
JW
8209 clear_qp_mutex (qp_changemask);
8210 clear_qp_implies (qp_changemask, qp_changemask);
8211 }
542d6675
KH
8212 /* After a call, all register values are undefined, except those marked
8213 as "safe". */
800eeca4 8214 else if (strncmp (idesc->name, "br.call", 6) == 0
542d6675 8215 || strncmp (idesc->name, "brl.call", 7) == 0)
800eeca4 8216 {
56d27c17 8217 /* FIXME keep GR values which are marked as "safe_across_calls" */
800eeca4
JW
8218 clear_register_values ();
8219 clear_qp_mutex (~qp_safe_across_calls);
8220 clear_qp_implies (~qp_safe_across_calls, ~qp_safe_across_calls);
8221 clear_qp_branch_flag (~qp_safe_across_calls);
8222 }
e9718fe1 8223 else if (is_interruption_or_rfi (idesc)
542d6675 8224 || is_taken_branch (idesc))
e9718fe1
TW
8225 {
8226 clear_register_values ();
197865e8
KH
8227 clear_qp_mutex (~(valueT) 0);
8228 clear_qp_implies (~(valueT) 0, ~(valueT) 0);
e9718fe1 8229 }
542d6675 8230 /* Look for mutex and implies relations. */
197865e8 8231 else if ((idesc->operands[0] == IA64_OPND_P1
542d6675
KH
8232 || idesc->operands[0] == IA64_OPND_P2)
8233 && (idesc->operands[1] == IA64_OPND_P1
8234 || idesc->operands[1] == IA64_OPND_P2))
800eeca4
JW
8235 {
8236 int p1 = CURR_SLOT.opnd[0].X_add_number - REG_P;
197865e8
KH
8237 int p2 = CURR_SLOT.opnd[1].X_add_number - REG_P;
8238 valueT p1mask = (valueT) 1 << p1;
8239 valueT p2mask = (valueT) 1 << p2;
800eeca4 8240
542d6675 8241 /* If one of the PRs is PR0, we can't really do anything. */
800eeca4 8242 if (p1 == 0 || p2 == 0)
542d6675
KH
8243 {
8244 if (md.debug_dv)
8245 fprintf (stderr, " Ignoring PRs due to inclusion of p0\n");
8246 }
800eeca4 8247 /* In general, clear mutexes and implies which include P1 or P2,
542d6675 8248 with the following exceptions. */
800eeca4 8249 else if (strstr (idesc->name, ".or.andcm") != NULL)
542d6675
KH
8250 {
8251 add_qp_mutex (p1mask | p2mask);
8252 clear_qp_implies (p2mask, p1mask);
8253 }
800eeca4 8254 else if (strstr (idesc->name, ".and.orcm") != NULL)
542d6675
KH
8255 {
8256 add_qp_mutex (p1mask | p2mask);
8257 clear_qp_implies (p1mask, p2mask);
8258 }
800eeca4 8259 else if (strstr (idesc->name, ".and") != NULL)
542d6675
KH
8260 {
8261 clear_qp_implies (0, p1mask | p2mask);
8262 }
800eeca4 8263 else if (strstr (idesc->name, ".or") != NULL)
542d6675
KH
8264 {
8265 clear_qp_mutex (p1mask | p2mask);
8266 clear_qp_implies (p1mask | p2mask, 0);
8267 }
800eeca4 8268 else
542d6675
KH
8269 {
8270 clear_qp_implies (p1mask | p2mask, p1mask | p2mask);
8271 if (strstr (idesc->name, ".unc") != NULL)
8272 {
8273 add_qp_mutex (p1mask | p2mask);
8274 if (CURR_SLOT.qp_regno != 0)
8275 {
8276 add_qp_imply (CURR_SLOT.opnd[0].X_add_number - REG_P,
8277 CURR_SLOT.qp_regno);
8278 add_qp_imply (CURR_SLOT.opnd[1].X_add_number - REG_P,
8279 CURR_SLOT.qp_regno);
8280 }
8281 }
8282 else if (CURR_SLOT.qp_regno == 0)
8283 {
8284 add_qp_mutex (p1mask | p2mask);
8285 }
8286 else
8287 {
8288 clear_qp_mutex (p1mask | p2mask);
8289 }
8290 }
8291 }
8292 /* Look for mov imm insns into GRs. */
800eeca4 8293 else if (idesc->operands[0] == IA64_OPND_R1
542d6675
KH
8294 && (idesc->operands[1] == IA64_OPND_IMM22
8295 || idesc->operands[1] == IA64_OPND_IMMU64)
8296 && (strcmp (idesc->name, "mov") == 0
8297 || strcmp (idesc->name, "movl") == 0))
800eeca4
JW
8298 {
8299 int regno = CURR_SLOT.opnd[0].X_add_number - REG_GR;
542d6675
KH
8300 if (regno > 0 && regno < NELEMS (gr_values))
8301 {
8302 gr_values[regno].known = 1;
8303 gr_values[regno].value = CURR_SLOT.opnd[1].X_add_number;
8304 gr_values[regno].path = md.path;
8305 if (md.debug_dv)
2434f565
JW
8306 {
8307 fprintf (stderr, " Know gr%d = ", regno);
8308 fprintf_vma (stderr, gr_values[regno].value);
8309 fputs ("\n", stderr);
8310 }
542d6675 8311 }
800eeca4 8312 }
197865e8 8313 else
800eeca4
JW
8314 {
8315 clear_qp_mutex (qp_changemask);
8316 clear_qp_implies (qp_changemask, qp_changemask);
8317 }
8318}
8319
542d6675
KH
8320/* Return whether the given predicate registers are currently mutex. */
8321
800eeca4
JW
8322static int
8323qp_mutex (p1, p2, path)
542d6675
KH
8324 int p1;
8325 int p2;
8326 int path;
800eeca4
JW
8327{
8328 int i;
8329 valueT mask;
8330
8331 if (p1 != p2)
8332 {
542d6675
KH
8333 mask = ((valueT) 1 << p1) | (valueT) 1 << p2;
8334 for (i = 0; i < qp_mutexeslen; i++)
8335 {
8336 if (qp_mutexes[i].path >= path
8337 && (qp_mutexes[i].prmask & mask) == mask)
8338 return 1;
8339 }
800eeca4
JW
8340 }
8341 return 0;
8342}
8343
8344/* Return whether the given resource is in the given insn's list of chks
8345 Return 1 if the conflict is absolutely determined, 2 if it's a potential
542d6675
KH
8346 conflict. */
8347
800eeca4
JW
8348static int
8349resources_match (rs, idesc, note, qp_regno, path)
542d6675
KH
8350 struct rsrc *rs;
8351 struct ia64_opcode *idesc;
8352 int note;
8353 int qp_regno;
8354 int path;
800eeca4
JW
8355{
8356 struct rsrc specs[MAX_SPECS];
8357 int count;
8358
8359 /* If the marked resource's qp_regno and the given qp_regno are mutex,
8360 we don't need to check. One exception is note 11, which indicates that
8361 target predicates are written regardless of PR[qp]. */
197865e8 8362 if (qp_mutex (rs->qp_regno, qp_regno, path)
800eeca4
JW
8363 && note != 11)
8364 return 0;
8365
8366 count = specify_resource (rs->dependency, idesc, DV_CHK, specs, note, path);
8367 while (count-- > 0)
8368 {
8369 /* UNAT checking is a bit more specific than other resources */
8370 if (rs->dependency->specifier == IA64_RS_AR_UNAT
542d6675
KH
8371 && specs[count].mem_offset.hint
8372 && rs->mem_offset.hint)
8373 {
8374 if (rs->mem_offset.base == specs[count].mem_offset.base)
8375 {
8376 if (((rs->mem_offset.offset >> 3) & 0x3F) ==
8377 ((specs[count].mem_offset.offset >> 3) & 0x3F))
8378 return 1;
8379 else
8380 continue;
8381 }
8382 }
800eeca4 8383
7484b8e6 8384 /* Skip apparent PR write conflicts where both writes are an AND or both
4a4f25cf 8385 writes are an OR. */
7484b8e6 8386 if (rs->dependency->specifier == IA64_RS_PR
afa680f8 8387 || rs->dependency->specifier == IA64_RS_PRr
7484b8e6
TW
8388 || rs->dependency->specifier == IA64_RS_PR63)
8389 {
8390 if (specs[count].cmp_type != CMP_NONE
8391 && specs[count].cmp_type == rs->cmp_type)
8392 {
8393 if (md.debug_dv)
8394 fprintf (stderr, " %s on parallel compare allowed (PR%d)\n",
8395 dv_mode[rs->dependency->mode],
afa680f8 8396 rs->dependency->specifier != IA64_RS_PR63 ?
7484b8e6
TW
8397 specs[count].index : 63);
8398 continue;
8399 }
8400 if (md.debug_dv)
4a4f25cf 8401 fprintf (stderr,
7484b8e6
TW
8402 " %s on parallel compare conflict %s vs %s on PR%d\n",
8403 dv_mode[rs->dependency->mode],
4a4f25cf 8404 dv_cmp_type[rs->cmp_type],
7484b8e6 8405 dv_cmp_type[specs[count].cmp_type],
afa680f8 8406 rs->dependency->specifier != IA64_RS_PR63 ?
7484b8e6 8407 specs[count].index : 63);
4a4f25cf 8408
7484b8e6
TW
8409 }
8410
800eeca4 8411 /* If either resource is not specific, conservatively assume a conflict
197865e8 8412 */
800eeca4 8413 if (!specs[count].specific || !rs->specific)
542d6675 8414 return 2;
800eeca4 8415 else if (specs[count].index == rs->index)
542d6675 8416 return 1;
800eeca4
JW
8417 }
8418#if 0
8419 if (md.debug_dv)
8420 fprintf (stderr, " No %s conflicts\n", rs->dependency->name);
8421#endif
8422
8423 return 0;
8424}
8425
8426/* Indicate an instruction group break; if INSERT_STOP is non-zero, then
8427 insert a stop to create the break. Update all resource dependencies
8428 appropriately. If QP_REGNO is non-zero, only apply the break to resources
8429 which use the same QP_REGNO and have the link_to_qp_branch flag set.
8430 If SAVE_CURRENT is non-zero, don't affect resources marked by the current
542d6675 8431 instruction. */
800eeca4
JW
8432
8433static void
8434insn_group_break (insert_stop, qp_regno, save_current)
542d6675
KH
8435 int insert_stop;
8436 int qp_regno;
8437 int save_current;
800eeca4
JW
8438{
8439 int i;
8440
8441 if (insert_stop && md.num_slots_in_use > 0)
8442 PREV_SLOT.end_of_insn_group = 1;
8443
8444 if (md.debug_dv)
8445 {
197865e8 8446 fprintf (stderr, " Insn group break%s",
542d6675 8447 (insert_stop ? " (w/stop)" : ""));
800eeca4 8448 if (qp_regno != 0)
542d6675 8449 fprintf (stderr, " effective for QP=%d", qp_regno);
800eeca4
JW
8450 fprintf (stderr, "\n");
8451 }
8452
8453 i = 0;
8454 while (i < regdepslen)
8455 {
8456 const struct ia64_dependency *dep = regdeps[i].dependency;
8457
8458 if (qp_regno != 0
542d6675
KH
8459 && regdeps[i].qp_regno != qp_regno)
8460 {
8461 ++i;
8462 continue;
8463 }
800eeca4
JW
8464
8465 if (save_current
542d6675
KH
8466 && CURR_SLOT.src_file == regdeps[i].file
8467 && CURR_SLOT.src_line == regdeps[i].line)
8468 {
8469 ++i;
8470 continue;
8471 }
800eeca4
JW
8472
8473 /* clear dependencies which are automatically cleared by a stop, or
542d6675 8474 those that have reached the appropriate state of insn serialization */
800eeca4 8475 if (dep->semantics == IA64_DVS_IMPLIED
542d6675
KH
8476 || dep->semantics == IA64_DVS_IMPLIEDF
8477 || regdeps[i].insn_srlz == STATE_SRLZ)
8478 {
8479 print_dependency ("Removing", i);
8480 regdeps[i] = regdeps[--regdepslen];
8481 }
800eeca4 8482 else
542d6675
KH
8483 {
8484 if (dep->semantics == IA64_DVS_DATA
8485 || dep->semantics == IA64_DVS_INSTR
800eeca4 8486 || dep->semantics == IA64_DVS_SPECIFIC)
542d6675
KH
8487 {
8488 if (regdeps[i].insn_srlz == STATE_NONE)
8489 regdeps[i].insn_srlz = STATE_STOP;
8490 if (regdeps[i].data_srlz == STATE_NONE)
8491 regdeps[i].data_srlz = STATE_STOP;
8492 }
8493 ++i;
8494 }
800eeca4
JW
8495 }
8496}
8497
542d6675
KH
8498/* Add the given resource usage spec to the list of active dependencies. */
8499
197865e8 8500static void
800eeca4 8501mark_resource (idesc, dep, spec, depind, path)
2434f565
JW
8502 struct ia64_opcode *idesc ATTRIBUTE_UNUSED;
8503 const struct ia64_dependency *dep ATTRIBUTE_UNUSED;
542d6675
KH
8504 struct rsrc *spec;
8505 int depind;
8506 int path;
800eeca4
JW
8507{
8508 if (regdepslen == regdepstotlen)
8509 {
8510 regdepstotlen += 20;
8511 regdeps = (struct rsrc *)
542d6675 8512 xrealloc ((void *) regdeps,
bc805888 8513 regdepstotlen * sizeof (struct rsrc));
800eeca4
JW
8514 }
8515
8516 regdeps[regdepslen] = *spec;
8517 regdeps[regdepslen].depind = depind;
8518 regdeps[regdepslen].path = path;
8519 regdeps[regdepslen].file = CURR_SLOT.src_file;
8520 regdeps[regdepslen].line = CURR_SLOT.src_line;
8521
8522 print_dependency ("Adding", regdepslen);
8523
8524 ++regdepslen;
8525}
8526
8527static void
8528print_dependency (action, depind)
542d6675
KH
8529 const char *action;
8530 int depind;
800eeca4
JW
8531{
8532 if (md.debug_dv)
8533 {
197865e8 8534 fprintf (stderr, " %s %s '%s'",
542d6675
KH
8535 action, dv_mode[(regdeps[depind].dependency)->mode],
8536 (regdeps[depind].dependency)->name);
800eeca4 8537 if (regdeps[depind].specific && regdeps[depind].index != 0)
542d6675 8538 fprintf (stderr, " (%d)", regdeps[depind].index);
800eeca4 8539 if (regdeps[depind].mem_offset.hint)
2434f565
JW
8540 {
8541 fputs (" ", stderr);
8542 fprintf_vma (stderr, regdeps[depind].mem_offset.base);
8543 fputs ("+", stderr);
8544 fprintf_vma (stderr, regdeps[depind].mem_offset.offset);
8545 }
800eeca4
JW
8546 fprintf (stderr, "\n");
8547 }
8548}
8549
8550static void
8551instruction_serialization ()
8552{
8553 int i;
8554 if (md.debug_dv)
8555 fprintf (stderr, " Instruction serialization\n");
542d6675 8556 for (i = 0; i < regdepslen; i++)
800eeca4
JW
8557 if (regdeps[i].insn_srlz == STATE_STOP)
8558 regdeps[i].insn_srlz = STATE_SRLZ;
8559}
8560
8561static void
8562data_serialization ()
8563{
8564 int i = 0;
8565 if (md.debug_dv)
8566 fprintf (stderr, " Data serialization\n");
8567 while (i < regdepslen)
8568 {
8569 if (regdeps[i].data_srlz == STATE_STOP
542d6675
KH
8570 /* Note: as of 991210, all "other" dependencies are cleared by a
8571 data serialization. This might change with new tables */
8572 || (regdeps[i].dependency)->semantics == IA64_DVS_OTHER)
8573 {
8574 print_dependency ("Removing", i);
8575 regdeps[i] = regdeps[--regdepslen];
8576 }
800eeca4 8577 else
542d6675 8578 ++i;
800eeca4
JW
8579 }
8580}
8581
542d6675
KH
8582/* Insert stops and serializations as needed to avoid DVs. */
8583
800eeca4
JW
8584static void
8585remove_marked_resource (rs)
542d6675 8586 struct rsrc *rs;
800eeca4
JW
8587{
8588 switch (rs->dependency->semantics)
8589 {
8590 case IA64_DVS_SPECIFIC:
8591 if (md.debug_dv)
8592 fprintf (stderr, "Implementation-specific, assume worst case...\n");
197865e8 8593 /* ...fall through... */
800eeca4
JW
8594 case IA64_DVS_INSTR:
8595 if (md.debug_dv)
542d6675 8596 fprintf (stderr, "Inserting instr serialization\n");
800eeca4 8597 if (rs->insn_srlz < STATE_STOP)
542d6675 8598 insn_group_break (1, 0, 0);
800eeca4 8599 if (rs->insn_srlz < STATE_SRLZ)
542d6675
KH
8600 {
8601 int oldqp = CURR_SLOT.qp_regno;
8602 struct ia64_opcode *oldidesc = CURR_SLOT.idesc;
8603 /* Manually jam a srlz.i insn into the stream */
8604 CURR_SLOT.qp_regno = 0;
8605 CURR_SLOT.idesc = ia64_find_opcode ("srlz.i");
8606 instruction_serialization ();
8607 md.curr_slot = (md.curr_slot + 1) % NUM_SLOTS;
8608 if (++md.num_slots_in_use >= NUM_SLOTS)
8609 emit_one_bundle ();
8610 CURR_SLOT.qp_regno = oldqp;
8611 CURR_SLOT.idesc = oldidesc;
8612 }
800eeca4
JW
8613 insn_group_break (1, 0, 0);
8614 break;
8615 case IA64_DVS_OTHER: /* as of rev2 (991220) of the DV tables, all
542d6675
KH
8616 "other" types of DV are eliminated
8617 by a data serialization */
800eeca4
JW
8618 case IA64_DVS_DATA:
8619 if (md.debug_dv)
542d6675 8620 fprintf (stderr, "Inserting data serialization\n");
800eeca4 8621 if (rs->data_srlz < STATE_STOP)
542d6675 8622 insn_group_break (1, 0, 0);
800eeca4 8623 {
542d6675
KH
8624 int oldqp = CURR_SLOT.qp_regno;
8625 struct ia64_opcode *oldidesc = CURR_SLOT.idesc;
8626 /* Manually jam a srlz.d insn into the stream */
8627 CURR_SLOT.qp_regno = 0;
8628 CURR_SLOT.idesc = ia64_find_opcode ("srlz.d");
8629 data_serialization ();
8630 md.curr_slot = (md.curr_slot + 1) % NUM_SLOTS;
8631 if (++md.num_slots_in_use >= NUM_SLOTS)
8632 emit_one_bundle ();
8633 CURR_SLOT.qp_regno = oldqp;
8634 CURR_SLOT.idesc = oldidesc;
800eeca4
JW
8635 }
8636 break;
8637 case IA64_DVS_IMPLIED:
8638 case IA64_DVS_IMPLIEDF:
8639 if (md.debug_dv)
542d6675 8640 fprintf (stderr, "Inserting stop\n");
800eeca4
JW
8641 insn_group_break (1, 0, 0);
8642 break;
8643 default:
8644 break;
8645 }
8646}
8647
8648/* Check the resources used by the given opcode against the current dependency
197865e8 8649 list.
800eeca4
JW
8650
8651 The check is run once for each execution path encountered. In this case,
8652 a unique execution path is the sequence of instructions following a code
8653 entry point, e.g. the following has three execution paths, one starting
8654 at L0, one at L1, and one at L2.
197865e8 8655
800eeca4
JW
8656 L0: nop
8657 L1: add
8658 L2: add
197865e8 8659 br.ret
800eeca4 8660*/
542d6675 8661
800eeca4
JW
8662static void
8663check_dependencies (idesc)
542d6675 8664 struct ia64_opcode *idesc;
800eeca4
JW
8665{
8666 const struct ia64_opcode_dependency *opdeps = idesc->dependencies;
8667 int path;
8668 int i;
8669
8670 /* Note that the number of marked resources may change within the
197865e8 8671 loop if in auto mode. */
800eeca4
JW
8672 i = 0;
8673 while (i < regdepslen)
8674 {
8675 struct rsrc *rs = &regdeps[i];
8676 const struct ia64_dependency *dep = rs->dependency;
8677 int chkind;
8678 int note;
8679 int start_over = 0;
8680
8681 if (dep->semantics == IA64_DVS_NONE
542d6675
KH
8682 || (chkind = depends_on (rs->depind, idesc)) == -1)
8683 {
8684 ++i;
8685 continue;
8686 }
8687
8688 note = NOTE (opdeps->chks[chkind]);
8689
8690 /* Check this resource against each execution path seen thus far. */
8691 for (path = 0; path <= md.path; path++)
8692 {
8693 int matchtype;
8694
8695 /* If the dependency wasn't on the path being checked, ignore it. */
8696 if (rs->path < path)
8697 continue;
8698
8699 /* If the QP for this insn implies a QP which has branched, don't
8700 bother checking. Ed. NOTE: I don't think this check is terribly
8701 useful; what's the point of generating code which will only be
8702 reached if its QP is zero?
8703 This code was specifically inserted to handle the following code,
8704 based on notes from Intel's DV checking code, where p1 implies p2.
8705
8706 mov r4 = 2
8707 (p2) br.cond L
8708 (p1) mov r4 = 7
8709 */
8710 if (CURR_SLOT.qp_regno != 0)
8711 {
8712 int skip = 0;
8713 int implies;
8714 for (implies = 0; implies < qp_implieslen; implies++)
8715 {
8716 if (qp_implies[implies].path >= path
8717 && qp_implies[implies].p1 == CURR_SLOT.qp_regno
8718 && qp_implies[implies].p2_branched)
8719 {
8720 skip = 1;
8721 break;
8722 }
8723 }
8724 if (skip)
8725 continue;
8726 }
8727
8728 if ((matchtype = resources_match (rs, idesc, note,
8729 CURR_SLOT.qp_regno, path)) != 0)
8730 {
8731 char msg[1024];
8732 char pathmsg[256] = "";
8733 char indexmsg[256] = "";
8734 int certain = (matchtype == 1 && CURR_SLOT.qp_regno == 0);
8735
8736 if (path != 0)
8737 sprintf (pathmsg, " when entry is at label '%s'",
8738 md.entry_labels[path - 1]);
8739 if (rs->specific && rs->index != 0)
8740 sprintf (indexmsg, ", specific resource number is %d",
8741 rs->index);
8742 sprintf (msg, "Use of '%s' %s %s dependency '%s' (%s)%s%s",
8743 idesc->name,
8744 (certain ? "violates" : "may violate"),
8745 dv_mode[dep->mode], dep->name,
8746 dv_sem[dep->semantics],
8747 pathmsg, indexmsg);
8748
8749 if (md.explicit_mode)
8750 {
8751 as_warn ("%s", msg);
8752 if (path < md.path)
8753 as_warn (_("Only the first path encountering the conflict "
8754 "is reported"));
8755 as_warn_where (rs->file, rs->line,
8756 _("This is the location of the "
8757 "conflicting usage"));
8758 /* Don't bother checking other paths, to avoid duplicating
8759 the same warning */
8760 break;
8761 }
8762 else
8763 {
8764 if (md.debug_dv)
8765 fprintf (stderr, "%s @ %s:%d\n", msg, rs->file, rs->line);
8766
8767 remove_marked_resource (rs);
8768
8769 /* since the set of dependencies has changed, start over */
8770 /* FIXME -- since we're removing dvs as we go, we
8771 probably don't really need to start over... */
8772 start_over = 1;
8773 break;
8774 }
8775 }
8776 }
800eeca4 8777 if (start_over)
542d6675 8778 i = 0;
800eeca4 8779 else
542d6675 8780 ++i;
800eeca4
JW
8781 }
8782}
8783
542d6675
KH
8784/* Register new dependencies based on the given opcode. */
8785
800eeca4
JW
8786static void
8787mark_resources (idesc)
542d6675 8788 struct ia64_opcode *idesc;
800eeca4
JW
8789{
8790 int i;
8791 const struct ia64_opcode_dependency *opdeps = idesc->dependencies;
8792 int add_only_qp_reads = 0;
8793
8794 /* A conditional branch only uses its resources if it is taken; if it is
8795 taken, we stop following that path. The other branch types effectively
8796 *always* write their resources. If it's not taken, register only QP
197865e8 8797 reads. */
800eeca4
JW
8798 if (is_conditional_branch (idesc) || is_interruption_or_rfi (idesc))
8799 {
8800 add_only_qp_reads = 1;
8801 }
8802
8803 if (md.debug_dv)
8804 fprintf (stderr, "Registering '%s' resource usage\n", idesc->name);
8805
542d6675 8806 for (i = 0; i < opdeps->nregs; i++)
800eeca4
JW
8807 {
8808 const struct ia64_dependency *dep;
8809 struct rsrc specs[MAX_SPECS];
8810 int note;
8811 int path;
8812 int count;
197865e8 8813
800eeca4 8814 dep = ia64_find_dependency (opdeps->regs[i]);
542d6675 8815 note = NOTE (opdeps->regs[i]);
800eeca4
JW
8816
8817 if (add_only_qp_reads
542d6675
KH
8818 && !(dep->mode == IA64_DV_WAR
8819 && (dep->specifier == IA64_RS_PR
139368c9 8820 || dep->specifier == IA64_RS_PRr
542d6675
KH
8821 || dep->specifier == IA64_RS_PR63)))
8822 continue;
800eeca4
JW
8823
8824 count = specify_resource (dep, idesc, DV_REG, specs, note, md.path);
8825
8826#if 0
8827 if (md.debug_dv && !count)
542d6675
KH
8828 fprintf (stderr, " No %s %s usage found (path %d)\n",
8829 dv_mode[dep->mode], dep->name, md.path);
800eeca4 8830#endif
197865e8 8831
800eeca4 8832 while (count-- > 0)
542d6675
KH
8833 {
8834 mark_resource (idesc, dep, &specs[count],
8835 DEP (opdeps->regs[i]), md.path);
8836 }
800eeca4
JW
8837
8838 /* The execution path may affect register values, which may in turn
542d6675 8839 affect which indirect-access resources are accessed. */
800eeca4 8840 switch (dep->specifier)
542d6675
KH
8841 {
8842 default:
8843 break;
8844 case IA64_RS_CPUID:
8845 case IA64_RS_DBR:
8846 case IA64_RS_IBR:
800eeca4 8847 case IA64_RS_MSR:
542d6675
KH
8848 case IA64_RS_PKR:
8849 case IA64_RS_PMC:
8850 case IA64_RS_PMD:
8851 case IA64_RS_RR:
8852 for (path = 0; path < md.path; path++)
8853 {
8854 count = specify_resource (dep, idesc, DV_REG, specs, note, path);
8855 while (count-- > 0)
8856 mark_resource (idesc, dep, &specs[count],
8857 DEP (opdeps->regs[i]), path);
8858 }
8859 break;
8860 }
8861 }
8862}
8863
8864/* Remove dependencies when they no longer apply. */
8865
800eeca4
JW
8866static void
8867update_dependencies (idesc)
542d6675 8868 struct ia64_opcode *idesc;
800eeca4
JW
8869{
8870 int i;
8871
8872 if (strcmp (idesc->name, "srlz.i") == 0)
8873 {
8874 instruction_serialization ();
8875 }
8876 else if (strcmp (idesc->name, "srlz.d") == 0)
8877 {
8878 data_serialization ();
8879 }
8880 else if (is_interruption_or_rfi (idesc)
542d6675 8881 || is_taken_branch (idesc))
800eeca4 8882 {
542d6675
KH
8883 /* Although technically the taken branch doesn't clear dependencies
8884 which require a srlz.[id], we don't follow the branch; the next
8885 instruction is assumed to start with a clean slate. */
800eeca4 8886 regdepslen = 0;
800eeca4
JW
8887 md.path = 0;
8888 }
8889 else if (is_conditional_branch (idesc)
542d6675 8890 && CURR_SLOT.qp_regno != 0)
800eeca4
JW
8891 {
8892 int is_call = strstr (idesc->name, ".call") != NULL;
8893
542d6675
KH
8894 for (i = 0; i < qp_implieslen; i++)
8895 {
8896 /* If the conditional branch's predicate is implied by the predicate
8897 in an existing dependency, remove that dependency. */
8898 if (qp_implies[i].p2 == CURR_SLOT.qp_regno)
8899 {
8900 int depind = 0;
8901 /* Note that this implied predicate takes a branch so that if
8902 a later insn generates a DV but its predicate implies this
8903 one, we can avoid the false DV warning. */
8904 qp_implies[i].p2_branched = 1;
8905 while (depind < regdepslen)
8906 {
8907 if (regdeps[depind].qp_regno == qp_implies[i].p1)
8908 {
8909 print_dependency ("Removing", depind);
8910 regdeps[depind] = regdeps[--regdepslen];
8911 }
8912 else
8913 ++depind;
8914 }
8915 }
8916 }
800eeca4 8917 /* Any marked resources which have this same predicate should be
542d6675
KH
8918 cleared, provided that the QP hasn't been modified between the
8919 marking instruction and the branch. */
800eeca4 8920 if (is_call)
542d6675
KH
8921 {
8922 insn_group_break (0, CURR_SLOT.qp_regno, 1);
8923 }
800eeca4 8924 else
542d6675
KH
8925 {
8926 i = 0;
8927 while (i < regdepslen)
8928 {
8929 if (regdeps[i].qp_regno == CURR_SLOT.qp_regno
8930 && regdeps[i].link_to_qp_branch
8931 && (regdeps[i].file != CURR_SLOT.src_file
8932 || regdeps[i].line != CURR_SLOT.src_line))
8933 {
8934 /* Treat like a taken branch */
8935 print_dependency ("Removing", i);
8936 regdeps[i] = regdeps[--regdepslen];
8937 }
8938 else
8939 ++i;
8940 }
8941 }
800eeca4
JW
8942 }
8943}
8944
8945/* Examine the current instruction for dependency violations. */
542d6675 8946
800eeca4
JW
8947static int
8948check_dv (idesc)
542d6675 8949 struct ia64_opcode *idesc;
800eeca4
JW
8950{
8951 if (md.debug_dv)
8952 {
197865e8 8953 fprintf (stderr, "Checking %s for violations (line %d, %d/%d)\n",
542d6675
KH
8954 idesc->name, CURR_SLOT.src_line,
8955 idesc->dependencies->nchks,
8956 idesc->dependencies->nregs);
800eeca4
JW
8957 }
8958
197865e8 8959 /* Look through the list of currently marked resources; if the current
800eeca4 8960 instruction has the dependency in its chks list which uses that resource,
542d6675 8961 check against the specific resources used. */
800eeca4
JW
8962 check_dependencies (idesc);
8963
542d6675
KH
8964 /* Look up the instruction's regdeps (RAW writes, WAW writes, and WAR reads),
8965 then add them to the list of marked resources. */
800eeca4
JW
8966 mark_resources (idesc);
8967
8968 /* There are several types of dependency semantics, and each has its own
197865e8
KH
8969 requirements for being cleared
8970
800eeca4
JW
8971 Instruction serialization (insns separated by interruption, rfi, or
8972 writer + srlz.i + reader, all in separate groups) clears DVS_INSTR.
8973
8974 Data serialization (instruction serialization, or writer + srlz.d +
8975 reader, where writer and srlz.d are in separate groups) clears
8976 DVS_DATA. (This also clears DVS_OTHER, but that is not guaranteed to
8977 always be the case).
8978
8979 Instruction group break (groups separated by stop, taken branch,
8980 interruption or rfi) clears DVS_IMPLIED and DVS_IMPLIEDF.
8981 */
8982 update_dependencies (idesc);
8983
8984 /* Sometimes, knowing a register value allows us to avoid giving a false DV
197865e8 8985 warning. Keep track of as many as possible that are useful. */
800eeca4
JW
8986 note_register_values (idesc);
8987
197865e8 8988 /* We don't need or want this anymore. */
800eeca4
JW
8989 md.mem_offset.hint = 0;
8990
8991 return 0;
8992}
8993
8994/* Translate one line of assembly. Pseudo ops and labels do not show
8995 here. */
8996void
8997md_assemble (str)
8998 char *str;
8999{
9000 char *saved_input_line_pointer, *mnemonic;
9001 const struct pseudo_opcode *pdesc;
9002 struct ia64_opcode *idesc;
9003 unsigned char qp_regno;
9004 unsigned int flags;
9005 int ch;
9006
9007 saved_input_line_pointer = input_line_pointer;
9008 input_line_pointer = str;
9009
542d6675 9010 /* extract the opcode (mnemonic): */
800eeca4
JW
9011
9012 mnemonic = input_line_pointer;
9013 ch = get_symbol_end ();
9014 pdesc = (struct pseudo_opcode *) hash_find (md.pseudo_hash, mnemonic);
9015 if (pdesc)
9016 {
9017 *input_line_pointer = ch;
9018 (*pdesc->handler) (pdesc->arg);
9019 goto done;
9020 }
9021
542d6675 9022 /* Find the instruction descriptor matching the arguments. */
800eeca4
JW
9023
9024 idesc = ia64_find_opcode (mnemonic);
9025 *input_line_pointer = ch;
9026 if (!idesc)
9027 {
9028 as_bad ("Unknown opcode `%s'", mnemonic);
9029 goto done;
9030 }
9031
9032 idesc = parse_operands (idesc);
9033 if (!idesc)
9034 goto done;
9035
542d6675 9036 /* Handle the dynamic ops we can handle now: */
800eeca4
JW
9037 if (idesc->type == IA64_TYPE_DYN)
9038 {
9039 if (strcmp (idesc->name, "add") == 0)
9040 {
9041 if (CURR_SLOT.opnd[2].X_op == O_register
9042 && CURR_SLOT.opnd[2].X_add_number < 4)
9043 mnemonic = "addl";
9044 else
9045 mnemonic = "adds";
3d56ab85 9046 ia64_free_opcode (idesc);
800eeca4
JW
9047 idesc = ia64_find_opcode (mnemonic);
9048#if 0
9049 know (!idesc->next);
9050#endif
9051 }
9052 else if (strcmp (idesc->name, "mov") == 0)
9053 {
9054 enum ia64_opnd opnd1, opnd2;
9055 int rop;
9056
9057 opnd1 = idesc->operands[0];
9058 opnd2 = idesc->operands[1];
9059 if (opnd1 == IA64_OPND_AR3)
9060 rop = 0;
9061 else if (opnd2 == IA64_OPND_AR3)
9062 rop = 1;
9063 else
9064 abort ();
9065 if (CURR_SLOT.opnd[rop].X_op == O_register
9066 && ar_is_in_integer_unit (CURR_SLOT.opnd[rop].X_add_number))
9067 mnemonic = "mov.i";
9068 else
9069 mnemonic = "mov.m";
3d56ab85 9070 ia64_free_opcode (idesc);
800eeca4
JW
9071 idesc = ia64_find_opcode (mnemonic);
9072 while (idesc != NULL
9073 && (idesc->operands[0] != opnd1
9074 || idesc->operands[1] != opnd2))
9075 idesc = get_next_opcode (idesc);
9076 }
9077 }
9078
9079 qp_regno = 0;
9080 if (md.qp.X_op == O_register)
f1bcba5b
JW
9081 {
9082 qp_regno = md.qp.X_add_number - REG_P;
9083 md.qp.X_op = O_absent;
9084 }
800eeca4
JW
9085
9086 flags = idesc->flags;
9087
9088 if ((flags & IA64_OPCODE_FIRST) != 0)
9089 insn_group_break (1, 0, 0);
9090
9091 if ((flags & IA64_OPCODE_NO_PRED) != 0 && qp_regno != 0)
9092 {
9093 as_bad ("`%s' cannot be predicated", idesc->name);
9094 goto done;
9095 }
9096
542d6675 9097 /* Build the instruction. */
800eeca4
JW
9098 CURR_SLOT.qp_regno = qp_regno;
9099 CURR_SLOT.idesc = idesc;
9100 as_where (&CURR_SLOT.src_file, &CURR_SLOT.src_line);
4dc7ead9 9101 dwarf2_where (&CURR_SLOT.debug_line);
800eeca4
JW
9102
9103 /* Add unwind entry, if there is one. */
e0c9811a 9104 if (unwind.current_entry)
800eeca4 9105 {
e0c9811a
JW
9106 CURR_SLOT.unwind_record = unwind.current_entry;
9107 unwind.current_entry = NULL;
800eeca4
JW
9108 }
9109
542d6675 9110 /* Check for dependency violations. */
800eeca4 9111 if (md.detect_dv)
542d6675 9112 check_dv (idesc);
800eeca4
JW
9113
9114 md.curr_slot = (md.curr_slot + 1) % NUM_SLOTS;
9115 if (++md.num_slots_in_use >= NUM_SLOTS)
9116 emit_one_bundle ();
9117
9118 if ((flags & IA64_OPCODE_LAST) != 0)
9119 insn_group_break (1, 0, 0);
9120
9121 md.last_text_seg = now_seg;
9122
9123 done:
9124 input_line_pointer = saved_input_line_pointer;
9125}
9126
9127/* Called when symbol NAME cannot be found in the symbol table.
9128 Should be used for dynamic valued symbols only. */
542d6675
KH
9129
9130symbolS *
800eeca4 9131md_undefined_symbol (name)
2434f565 9132 char *name ATTRIBUTE_UNUSED;
800eeca4
JW
9133{
9134 return 0;
9135}
9136
9137/* Called for any expression that can not be recognized. When the
9138 function is called, `input_line_pointer' will point to the start of
9139 the expression. */
542d6675 9140
800eeca4
JW
9141void
9142md_operand (e)
9143 expressionS *e;
9144{
9145 enum pseudo_type pseudo_type;
e0c9811a 9146 const char *name;
800eeca4
JW
9147 size_t len;
9148 int ch, i;
9149
9150 switch (*input_line_pointer)
9151 {
9152 case '@':
542d6675 9153 /* Find what relocation pseudo-function we're dealing with. */
800eeca4
JW
9154 pseudo_type = 0;
9155 ch = *++input_line_pointer;
9156 for (i = 0; i < NELEMS (pseudo_func); ++i)
9157 if (pseudo_func[i].name && pseudo_func[i].name[0] == ch)
9158 {
9159 len = strlen (pseudo_func[i].name);
9160 if (strncmp (pseudo_func[i].name + 1,
9161 input_line_pointer + 1, len - 1) == 0
9162 && !is_part_of_name (input_line_pointer[len]))
9163 {
9164 input_line_pointer += len;
9165 pseudo_type = pseudo_func[i].type;
9166 break;
9167 }
9168 }
9169 switch (pseudo_type)
9170 {
9171 case PSEUDO_FUNC_RELOC:
9172 SKIP_WHITESPACE ();
9173 if (*input_line_pointer != '(')
9174 {
9175 as_bad ("Expected '('");
9176 goto err;
9177 }
542d6675
KH
9178 /* Skip '('. */
9179 ++input_line_pointer;
800eeca4
JW
9180 expression (e);
9181 if (*input_line_pointer++ != ')')
9182 {
9183 as_bad ("Missing ')'");
9184 goto err;
9185 }
9186 if (e->X_op != O_symbol)
9187 {
9188 if (e->X_op != O_pseudo_fixup)
9189 {
9190 as_bad ("Not a symbolic expression");
9191 goto err;
9192 }
9193 if (S_GET_VALUE (e->X_op_symbol) == FUNC_FPTR_RELATIVE
9194 && i == FUNC_LT_RELATIVE)
9195 i = FUNC_LT_FPTR_RELATIVE;
9196 else
9197 {
9198 as_bad ("Illegal combination of relocation functions");
9199 goto err;
9200 }
9201 }
542d6675
KH
9202 /* Make sure gas doesn't get rid of local symbols that are used
9203 in relocs. */
800eeca4
JW
9204 e->X_op = O_pseudo_fixup;
9205 e->X_op_symbol = pseudo_func[i].u.sym;
9206 break;
9207
9208 case PSEUDO_FUNC_CONST:
9209 e->X_op = O_constant;
9210 e->X_add_number = pseudo_func[i].u.ival;
9211 break;
9212
e0c9811a
JW
9213 case PSEUDO_FUNC_REG:
9214 e->X_op = O_register;
9215 e->X_add_number = pseudo_func[i].u.ival;
9216 break;
9217
800eeca4 9218 default:
e0c9811a
JW
9219 name = input_line_pointer - 1;
9220 get_symbol_end ();
9221 as_bad ("Unknown pseudo function `%s'", name);
800eeca4
JW
9222 goto err;
9223 }
9224 break;
9225
9226 case '[':
9227 ++input_line_pointer;
9228 expression (e);
9229 if (*input_line_pointer != ']')
9230 {
9231 as_bad ("Closing bracket misssing");
9232 goto err;
9233 }
9234 else
9235 {
9236 if (e->X_op != O_register)
9237 as_bad ("Register expected as index");
9238
9239 ++input_line_pointer;
9240 e->X_op = O_index;
9241 }
9242 break;
9243
9244 default:
9245 break;
9246 }
9247 return;
9248
9249 err:
9250 ignore_rest_of_line ();
9251}
9252
9253/* Return 1 if it's OK to adjust a reloc by replacing the symbol with
9254 a section symbol plus some offset. For relocs involving @fptr(),
9255 directives we don't want such adjustments since we need to have the
9256 original symbol's name in the reloc. */
9257int
9258ia64_fix_adjustable (fix)
9259 fixS *fix;
9260{
9261 /* Prevent all adjustments to global symbols */
9262 if (S_IS_EXTERN (fix->fx_addsy) || S_IS_WEAK (fix->fx_addsy))
9263 return 0;
9264
9265 switch (fix->fx_r_type)
9266 {
9267 case BFD_RELOC_IA64_FPTR64I:
9268 case BFD_RELOC_IA64_FPTR32MSB:
9269 case BFD_RELOC_IA64_FPTR32LSB:
9270 case BFD_RELOC_IA64_FPTR64MSB:
9271 case BFD_RELOC_IA64_FPTR64LSB:
9272 case BFD_RELOC_IA64_LTOFF_FPTR22:
9273 case BFD_RELOC_IA64_LTOFF_FPTR64I:
9274 return 0;
9275 default:
9276 break;
9277 }
9278
9279 return 1;
9280}
9281
9282int
9283ia64_force_relocation (fix)
9284 fixS *fix;
9285{
9286 switch (fix->fx_r_type)
9287 {
9288 case BFD_RELOC_IA64_FPTR64I:
9289 case BFD_RELOC_IA64_FPTR32MSB:
9290 case BFD_RELOC_IA64_FPTR32LSB:
9291 case BFD_RELOC_IA64_FPTR64MSB:
9292 case BFD_RELOC_IA64_FPTR64LSB:
9293
9294 case BFD_RELOC_IA64_LTOFF22:
9295 case BFD_RELOC_IA64_LTOFF64I:
9296 case BFD_RELOC_IA64_LTOFF_FPTR22:
9297 case BFD_RELOC_IA64_LTOFF_FPTR64I:
9298 case BFD_RELOC_IA64_PLTOFF22:
9299 case BFD_RELOC_IA64_PLTOFF64I:
9300 case BFD_RELOC_IA64_PLTOFF64MSB:
9301 case BFD_RELOC_IA64_PLTOFF64LSB:
9302 return 1;
9303
9304 default:
9305 return 0;
9306 }
9307 return 0;
9308}
9309
9310/* Decide from what point a pc-relative relocation is relative to,
9311 relative to the pc-relative fixup. Er, relatively speaking. */
9312long
9313ia64_pcrel_from_section (fix, sec)
9314 fixS *fix;
9315 segT sec;
9316{
9317 unsigned long off = fix->fx_frag->fr_address + fix->fx_where;
197865e8 9318
800eeca4
JW
9319 if (bfd_get_section_flags (stdoutput, sec) & SEC_CODE)
9320 off &= ~0xfUL;
9321
9322 return off;
9323}
9324
9325/* This is called whenever some data item (not an instruction) needs a
9326 fixup. We pick the right reloc code depending on the byteorder
9327 currently in effect. */
9328void
9329ia64_cons_fix_new (f, where, nbytes, exp)
9330 fragS *f;
9331 int where;
9332 int nbytes;
9333 expressionS *exp;
9334{
9335 bfd_reloc_code_real_type code;
9336 fixS *fix;
9337
9338 switch (nbytes)
9339 {
9340 /* There are no reloc for 8 and 16 bit quantities, but we allow
9341 them here since they will work fine as long as the expression
9342 is fully defined at the end of the pass over the source file. */
9343 case 1: code = BFD_RELOC_8; break;
9344 case 2: code = BFD_RELOC_16; break;
9345 case 4:
9346 if (target_big_endian)
9347 code = BFD_RELOC_IA64_DIR32MSB;
9348 else
9349 code = BFD_RELOC_IA64_DIR32LSB;
9350 break;
9351
9352 case 8:
9353 if (target_big_endian)
9354 code = BFD_RELOC_IA64_DIR64MSB;
9355 else
9356 code = BFD_RELOC_IA64_DIR64LSB;
9357 break;
9358
9359 default:
9360 as_bad ("Unsupported fixup size %d", nbytes);
9361 ignore_rest_of_line ();
9362 return;
9363 }
9364 if (exp->X_op == O_pseudo_fixup)
9365 {
9366 /* ??? */
9367 exp->X_op = O_symbol;
9368 code = ia64_gen_real_reloc_type (exp->X_op_symbol, code);
9369 }
9370 fix = fix_new_exp (f, where, nbytes, exp, 0, code);
9371 /* We need to store the byte order in effect in case we're going
9372 to fix an 8 or 16 bit relocation (for which there no real
9373 relocs available). See md_apply_fix(). */
9374 fix->tc_fix_data.bigendian = target_big_endian;
9375}
9376
9377/* Return the actual relocation we wish to associate with the pseudo
9378 reloc described by SYM and R_TYPE. SYM should be one of the
197865e8 9379 symbols in the pseudo_func array, or NULL. */
800eeca4
JW
9380
9381static bfd_reloc_code_real_type
9382ia64_gen_real_reloc_type (sym, r_type)
9383 struct symbol *sym;
9384 bfd_reloc_code_real_type r_type;
9385{
9386 bfd_reloc_code_real_type new = 0;
9387
9388 if (sym == NULL)
9389 {
9390 return r_type;
9391 }
9392
9393 switch (S_GET_VALUE (sym))
9394 {
9395 case FUNC_FPTR_RELATIVE:
9396 switch (r_type)
9397 {
9398 case BFD_RELOC_IA64_IMM64: new = BFD_RELOC_IA64_FPTR64I; break;
9399 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_FPTR32MSB; break;
9400 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_FPTR32LSB; break;
9401 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_FPTR64MSB; break;
9402 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_FPTR64LSB; break;
9403 default: break;
9404 }
9405 break;
9406
9407 case FUNC_GP_RELATIVE:
9408 switch (r_type)
9409 {
9410 case BFD_RELOC_IA64_IMM22: new = BFD_RELOC_IA64_GPREL22; break;
9411 case BFD_RELOC_IA64_IMM64: new = BFD_RELOC_IA64_GPREL64I; break;
9412 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_GPREL32MSB; break;
9413 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_GPREL32LSB; break;
9414 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_GPREL64MSB; break;
9415 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_GPREL64LSB; break;
9416 default: break;
9417 }
9418 break;
9419
9420 case FUNC_LT_RELATIVE:
9421 switch (r_type)
9422 {
9423 case BFD_RELOC_IA64_IMM22: new = BFD_RELOC_IA64_LTOFF22; break;
9424 case BFD_RELOC_IA64_IMM64: new = BFD_RELOC_IA64_LTOFF64I; break;
9425 default: break;
9426 }
9427 break;
9428
c67e42c9
RH
9429 case FUNC_PC_RELATIVE:
9430 switch (r_type)
9431 {
9432 case BFD_RELOC_IA64_IMM22: new = BFD_RELOC_IA64_PCREL22; break;
9433 case BFD_RELOC_IA64_IMM64: new = BFD_RELOC_IA64_PCREL64I; break;
9434 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_PCREL32MSB; break;
9435 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_PCREL32LSB; break;
9436 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_PCREL64MSB; break;
9437 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_PCREL64LSB; break;
9438 default: break;
9439 }
9440 break;
9441
800eeca4
JW
9442 case FUNC_PLT_RELATIVE:
9443 switch (r_type)
9444 {
9445 case BFD_RELOC_IA64_IMM22: new = BFD_RELOC_IA64_PLTOFF22; break;
9446 case BFD_RELOC_IA64_IMM64: new = BFD_RELOC_IA64_PLTOFF64I; break;
9447 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_PLTOFF64MSB;break;
9448 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_PLTOFF64LSB;break;
9449 default: break;
9450 }
9451 break;
9452
9453 case FUNC_SEC_RELATIVE:
9454 switch (r_type)
9455 {
9456 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_SECREL32MSB;break;
9457 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_SECREL32LSB;break;
9458 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_SECREL64MSB;break;
9459 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_SECREL64LSB;break;
9460 default: break;
9461 }
9462 break;
9463
9464 case FUNC_SEG_RELATIVE:
9465 switch (r_type)
9466 {
9467 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_SEGREL32MSB;break;
9468 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_SEGREL32LSB;break;
9469 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_SEGREL64MSB;break;
9470 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_SEGREL64LSB;break;
9471 default: break;
9472 }
9473 break;
9474
9475 case FUNC_LTV_RELATIVE:
9476 switch (r_type)
9477 {
9478 case BFD_RELOC_IA64_DIR32MSB: new = BFD_RELOC_IA64_LTV32MSB; break;
9479 case BFD_RELOC_IA64_DIR32LSB: new = BFD_RELOC_IA64_LTV32LSB; break;
9480 case BFD_RELOC_IA64_DIR64MSB: new = BFD_RELOC_IA64_LTV64MSB; break;
9481 case BFD_RELOC_IA64_DIR64LSB: new = BFD_RELOC_IA64_LTV64LSB; break;
9482 default: break;
9483 }
9484 break;
9485
9486 case FUNC_LT_FPTR_RELATIVE:
9487 switch (r_type)
9488 {
9489 case BFD_RELOC_IA64_IMM22:
9490 new = BFD_RELOC_IA64_LTOFF_FPTR22; break;
9491 case BFD_RELOC_IA64_IMM64:
9492 new = BFD_RELOC_IA64_LTOFF_FPTR64I; break;
9493 default:
9494 break;
9495 }
9496 break;
9497 default:
9498 abort ();
9499 }
9500 /* Hmmmm. Should this ever occur? */
9501 if (new)
9502 return new;
9503 else
9504 return r_type;
9505}
9506
9507/* Here is where generate the appropriate reloc for pseudo relocation
9508 functions. */
9509void
9510ia64_validate_fix (fix)
9511 fixS *fix;
9512{
9513 switch (fix->fx_r_type)
9514 {
9515 case BFD_RELOC_IA64_FPTR64I:
9516 case BFD_RELOC_IA64_FPTR32MSB:
9517 case BFD_RELOC_IA64_FPTR64LSB:
9518 case BFD_RELOC_IA64_LTOFF_FPTR22:
9519 case BFD_RELOC_IA64_LTOFF_FPTR64I:
9520 if (fix->fx_offset != 0)
9521 as_bad_where (fix->fx_file, fix->fx_line,
9522 "No addend allowed in @fptr() relocation");
9523 break;
9524 default:
9525 break;
9526 }
9527
9528 return;
9529}
9530
9531static void
9532fix_insn (fix, odesc, value)
9533 fixS *fix;
9534 const struct ia64_operand *odesc;
9535 valueT value;
9536{
9537 bfd_vma insn[3], t0, t1, control_bits;
9538 const char *err;
9539 char *fixpos;
9540 long slot;
9541
9542 slot = fix->fx_where & 0x3;
9543 fixpos = fix->fx_frag->fr_literal + (fix->fx_where - slot);
9544
c67e42c9 9545 /* Bundles are always in little-endian byte order */
800eeca4
JW
9546 t0 = bfd_getl64 (fixpos);
9547 t1 = bfd_getl64 (fixpos + 8);
9548 control_bits = t0 & 0x1f;
9549 insn[0] = (t0 >> 5) & 0x1ffffffffffLL;
9550 insn[1] = ((t0 >> 46) & 0x3ffff) | ((t1 & 0x7fffff) << 18);
9551 insn[2] = (t1 >> 23) & 0x1ffffffffffLL;
9552
c67e42c9
RH
9553 err = NULL;
9554 if (odesc - elf64_ia64_operands == IA64_OPND_IMMU64)
800eeca4 9555 {
c67e42c9
RH
9556 insn[1] = (value >> 22) & 0x1ffffffffffLL;
9557 insn[2] |= (((value & 0x7f) << 13)
9558 | (((value >> 7) & 0x1ff) << 27)
9559 | (((value >> 16) & 0x1f) << 22)
9560 | (((value >> 21) & 0x1) << 21)
9561 | (((value >> 63) & 0x1) << 36));
800eeca4 9562 }
c67e42c9
RH
9563 else if (odesc - elf64_ia64_operands == IA64_OPND_IMMU62)
9564 {
9565 if (value & ~0x3fffffffffffffffULL)
9566 err = "integer operand out of range";
9567 insn[1] = (value >> 21) & 0x1ffffffffffLL;
9568 insn[2] |= (((value & 0xfffff) << 6) | (((value >> 20) & 0x1) << 36));
9569 }
9570 else if (odesc - elf64_ia64_operands == IA64_OPND_TGT64)
9571 {
9572 value >>= 4;
9573 insn[1] = ((value >> 20) & 0x7fffffffffLL) << 2;
9574 insn[2] |= ((((value >> 59) & 0x1) << 36)
9575 | (((value >> 0) & 0xfffff) << 13));
9576 }
9577 else
9578 err = (*odesc->insert) (odesc, value, insn + slot);
9579
9580 if (err)
9581 as_bad_where (fix->fx_file, fix->fx_line, err);
800eeca4
JW
9582
9583 t0 = control_bits | (insn[0] << 5) | (insn[1] << 46);
9584 t1 = ((insn[1] >> 18) & 0x7fffff) | (insn[2] << 23);
44f5c83a
JW
9585 number_to_chars_littleendian (fixpos + 0, t0, 8);
9586 number_to_chars_littleendian (fixpos + 8, t1, 8);
800eeca4
JW
9587}
9588
9589/* Attempt to simplify or even eliminate a fixup. The return value is
9590 ignored; perhaps it was once meaningful, but now it is historical.
9591 To indicate that a fixup has been eliminated, set FIXP->FX_DONE.
9592
9593 If fixp->fx_addsy is non-NULL, we'll have to generate a reloc entry
197865e8 9594 (if possible). */
800eeca4
JW
9595int
9596md_apply_fix3 (fix, valuep, seg)
9597 fixS *fix;
9598 valueT *valuep;
2434f565 9599 segT seg ATTRIBUTE_UNUSED;
800eeca4
JW
9600{
9601 char *fixpos;
9602 valueT value = *valuep;
9603 int adjust = 0;
9604
9605 fixpos = fix->fx_frag->fr_literal + fix->fx_where;
9606
9607 if (fix->fx_pcrel)
9608 {
9609 switch (fix->fx_r_type)
9610 {
9611 case BFD_RELOC_IA64_DIR32MSB:
9612 fix->fx_r_type = BFD_RELOC_IA64_PCREL32MSB;
9613 adjust = 1;
9614 break;
9615
9616 case BFD_RELOC_IA64_DIR32LSB:
9617 fix->fx_r_type = BFD_RELOC_IA64_PCREL32LSB;
9618 adjust = 1;
9619 break;
9620
9621 case BFD_RELOC_IA64_DIR64MSB:
9622 fix->fx_r_type = BFD_RELOC_IA64_PCREL64MSB;
9623 adjust = 1;
9624 break;
9625
9626 case BFD_RELOC_IA64_DIR64LSB:
9627 fix->fx_r_type = BFD_RELOC_IA64_PCREL64LSB;
9628 adjust = 1;
9629 break;
9630
9631 default:
9632 break;
9633 }
9634 }
9635 if (fix->fx_addsy)
9636 {
9637 switch (fix->fx_r_type)
9638 {
9639 case 0:
9640 as_bad_where (fix->fx_file, fix->fx_line,
9641 "%s must have a constant value",
9642 elf64_ia64_operands[fix->tc_fix_data.opnd].desc);
9643 break;
9644
9645 default:
9646 break;
9647 }
9648
9649 /* ??? This is a hack copied from tc-i386.c to make PCREL relocs
542d6675 9650 work. There should be a better way to handle this. */
800eeca4
JW
9651 if (adjust)
9652 fix->fx_offset += fix->fx_where + fix->fx_frag->fr_address;
9653 }
9654 else if (fix->tc_fix_data.opnd == IA64_OPND_NIL)
9655 {
9656 if (fix->tc_fix_data.bigendian)
9657 number_to_chars_bigendian (fixpos, value, fix->fx_size);
9658 else
9659 number_to_chars_littleendian (fixpos, value, fix->fx_size);
9660 fix->fx_done = 1;
9661 return 1;
9662 }
9663 else
9664 {
9665 fix_insn (fix, elf64_ia64_operands + fix->tc_fix_data.opnd, value);
9666 fix->fx_done = 1;
9667 return 1;
9668 }
9669 return 1;
9670}
9671
9672/* Generate the BFD reloc to be stuck in the object file from the
9673 fixup used internally in the assembler. */
542d6675
KH
9674
9675arelent *
800eeca4 9676tc_gen_reloc (sec, fixp)
2434f565 9677 asection *sec ATTRIBUTE_UNUSED;
800eeca4
JW
9678 fixS *fixp;
9679{
9680 arelent *reloc;
9681
9682 reloc = xmalloc (sizeof (*reloc));
9683 reloc->sym_ptr_ptr = (asymbol **) xmalloc (sizeof (asymbol *));
9684 *reloc->sym_ptr_ptr = symbol_get_bfdsym (fixp->fx_addsy);
9685 reloc->address = fixp->fx_frag->fr_address + fixp->fx_where;
9686 reloc->addend = fixp->fx_offset;
9687 reloc->howto = bfd_reloc_type_lookup (stdoutput, fixp->fx_r_type);
9688
9689 if (!reloc->howto)
9690 {
9691 as_bad_where (fixp->fx_file, fixp->fx_line,
9692 "Cannot represent %s relocation in object file",
9693 bfd_get_reloc_code_name (fixp->fx_r_type));
9694 }
9695 return reloc;
9696}
9697
9698/* Turn a string in input_line_pointer into a floating point constant
bc0d738a
NC
9699 of type TYPE, and store the appropriate bytes in *LIT. The number
9700 of LITTLENUMS emitted is stored in *SIZE. An error message is
800eeca4
JW
9701 returned, or NULL on OK. */
9702
9703#define MAX_LITTLENUMS 5
9704
542d6675 9705char *
800eeca4
JW
9706md_atof (type, lit, size)
9707 int type;
9708 char *lit;
9709 int *size;
9710{
9711 LITTLENUM_TYPE words[MAX_LITTLENUMS];
9712 LITTLENUM_TYPE *word;
9713 char *t;
9714 int prec;
9715
9716 switch (type)
9717 {
9718 /* IEEE floats */
9719 case 'f':
9720 case 'F':
9721 case 's':
9722 case 'S':
9723 prec = 2;
9724 break;
9725
9726 case 'd':
9727 case 'D':
9728 case 'r':
9729 case 'R':
9730 prec = 4;
9731 break;
9732
9733 case 'x':
9734 case 'X':
9735 case 'p':
9736 case 'P':
9737 prec = 5;
9738 break;
9739
9740 default:
9741 *size = 0;
9742 return "Bad call to MD_ATOF()";
9743 }
9744 t = atof_ieee (input_line_pointer, type, words);
9745 if (t)
9746 input_line_pointer = t;
9747 *size = prec * sizeof (LITTLENUM_TYPE);
9748
9749 for (word = words + prec - 1; prec--;)
9750 {
9751 md_number_to_chars (lit, (long) (*word--), sizeof (LITTLENUM_TYPE));
9752 lit += sizeof (LITTLENUM_TYPE);
9753 }
9754 return 0;
9755}
9756
9757/* Round up a section's size to the appropriate boundary. */
9758valueT
9759md_section_align (seg, size)
9760 segT seg;
9761 valueT size;
9762{
9763 int align = bfd_get_section_alignment (stdoutput, seg);
197865e8 9764 valueT mask = ((valueT) 1 << align) - 1;
800eeca4
JW
9765
9766 return (size + mask) & ~mask;
9767}
9768
9769/* Handle ia64 specific semantics of the align directive. */
9770
0a9ef439 9771void
800eeca4
JW
9772ia64_md_do_align (n, fill, len, max)
9773 int n;
9774 const char *fill;
2434f565 9775 int len ATTRIBUTE_UNUSED;
800eeca4
JW
9776 int max;
9777{
0a9ef439 9778 if (subseg_text_p (now_seg))
800eeca4 9779 ia64_flush_insns ();
0a9ef439 9780}
800eeca4 9781
0a9ef439
RH
9782/* This is called from HANDLE_ALIGN in write.c. Fill in the contents
9783 of an rs_align_code fragment. */
800eeca4 9784
0a9ef439
RH
9785void
9786ia64_handle_align (fragp)
9787 fragS *fragp;
9788{
9789 /* Use mfi bundle of nops with no stop bits. */
9790 static const unsigned char be_nop[]
9791 = { 0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x02, 0x00,
9792 0x00, 0x00, 0x00, 0x01, 0x00, 0x00, 0x00, 0x0c};
9793 static const unsigned char le_nop[]
9794 = { 0x0c, 0x00, 0x00, 0x00, 0x01, 0x00, 0x00, 0x00,
9795 0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x04, 0x00};
9796
9797 int bytes;
9798 char *p;
9799
9800 if (fragp->fr_type != rs_align_code)
9801 return;
9802
9803 bytes = fragp->fr_next->fr_address - fragp->fr_address - fragp->fr_fix;
9804 p = fragp->fr_literal + fragp->fr_fix;
9805
9806 /* Make sure we are on a 16-byte boundary, in case someone has been
9807 putting data into a text section. */
9808 if (bytes & 15)
9809 {
9810 int fix = bytes & 15;
9811 memset (p, 0, fix);
9812 p += fix;
9813 bytes -= fix;
9814 fragp->fr_fix += fix;
800eeca4
JW
9815 }
9816
0a9ef439
RH
9817 memcpy (p, (target_big_endian ? be_nop : le_nop), 16);
9818 fragp->fr_var = 16;
800eeca4 9819}
This page took 0.613518 seconds and 4 git commands to generate.