conformance_test/positive_tests added
[deliverable/titan.core.git] / conformance_test / positive_tests / 06_types_and_values / 0602_structured_types_and_values / 060207_arrays / Sem_060207_arrays_021.ttcn
1 /******************************************************************************
2 * Copyright (c) 2000-2016 Ericsson Telecom AB
3 * All rights reserved. This program and the accompanying materials
4 * are made available under the terms of the Eclipse Public License v1.0
5 * which accompanies this distribution, and is available at
6 * http://www.eclipse.org/legal/epl-v10.html
7 *
8 * Contributors:
9 * Adrien Kirjak – initial implementation
10 *
11 ** @version 0.0.1
12 ** @purpose 1:6.2.7, using custom array index on the left hand side of assignments
13 ** @verdict pass accept, ttcn3verdict:pass
14 ***************************************************/
15
16 // The following requirement is tested:
17 // Indexed value notation can be used on both the right-hand side and left-hand
18 // side of assignments. The index of the first element shall be zero or the lower
19 // bound if an index range has been given.
20
21 module Sem_060207_arrays_021 {
22
23 type component GeneralComp {
24 }
25
26 testcase TC_Sem_060207_arrays_021() runs on GeneralComp {
27 var integer v_arr[2..5] := { 2, 3, 4, 5 };
28 v_arr[2] := 200;
29 if (v_arr == { 200, 3, 4, 5 }) { setverdict(pass); }
30 else { setverdict(fail); }
31 }
32
33 control {
34 execute(TC_Sem_060207_arrays_021());
35 }
36
37 }
This page took 0.030772 seconds and 5 git commands to generate.