conformance_test/positive_tests added
[deliverable/titan.core.git] / conformance_test / positive_tests / 06_types_and_values / 0602_structured_types_and_values / 060207_arrays / Syn_060207_arrays_007.ttcn
1 /******************************************************************************
2 * Copyright (c) 2000-2016 Ericsson Telecom AB
3 * All rights reserved. This program and the accompanying materials
4 * are made available under the terms of the Eclipse Public License v1.0
5 * which accompanies this distribution, and is available at
6 * http://www.eclipse.org/legal/epl-v10.html
7 *
8 * Contributors:
9 * Adrien Kirjak – initial implementation
10 *
11 ** @version 0.0.1
12 ** @purpose 1:6.2.7, multiple array variable dimensions specified as a range
13 ** @verdict pass accept, noexecution
14 ***************************************************/
15
16 // The following requirement is tested:
17 // Array dimensions may also be specified using ranges (with inclusive
18 // boundaries only). In such cases, the lower and upper values of the range
19 // define the lower and upper index values. Such an array is corresponding to
20 // a record of with a fixed length restriction computed as the difference
21 // between upper and lower index bound plus 1 and indexing starting from the
22 // lower bound of the array definition.
23
24 module Syn_060207_arrays_007 {
25
26 type component GeneralComp {
27 }
28
29 testcase TC_Syn_060207_arrays_007() runs on GeneralComp {
30 setverdict(pass);
31 }
32
33 control {
34 var integer v_arr[1..3][2][6 - 4 .. 2 * 3];
35 execute(TC_Syn_060207_arrays_007());
36 }
37 }
This page took 0.041413 seconds and 5 git commands to generate.