16dddc57b4ea94f812c2358ab71c2e4b44bfd30a
[deliverable/binutils-gdb.git] / opcodes / aarch64-dis-2.c
1 /* This file is automatically generated by aarch64-gen. Do not edit! */
2 /* Copyright (C) 2012-2016 Free Software Foundation, Inc.
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21 #include "sysdep.h"
22 #include "aarch64-dis.h"
23
24 /* Called by aarch64_opcode_lookup. */
25
26 static int
27 aarch64_opcode_lookup_1 (uint32_t word)
28 {
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
41 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx0
42 adr. */
43 return 1134;
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
49 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx1
50 adrp. */
51 return 1135;
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
62 xxxxxxxxxxxxxxxxxxxxxxxx1000x00x
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
70 xxxxxxxxxxxxxxxxxxxxxxxx1000x01x
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
81 xxxxxxxxxxxxxxxxxxxxxxxx1000x10x
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
89 xxxxxxxxxxxxxxxxxxxxxxxx1000x11x
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
116 xxxxxxxxxxxxxxx0xxxxx000x0010000
117 stxrb. */
118 return 892;
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
124 xxxxxxxxxxxxxxx0xxxxx000x0010010
125 stxrh. */
126 return 898;
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
133 xxxxxxxxxxxxxxx0xxxxx000x00100x1
134 stxr. */
135 return 904;
136 }
137 }
138 else
139 {
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
144 xxxxxxxxxxxxxxx0xxxxx100x00100x0
145 casp. */
146 return 969;
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
152 xxxxxxxxxxxxxxx0xxxxx100x00100x1
153 stxp. */
154 return 906;
155 }
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
168 xxxxxxxxxxxxxxx1xxxxx000x0010000
169 stlxrb. */
170 return 893;
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
176 xxxxxxxxxxxxxxx1xxxxx000x0010010
177 stlxrh. */
178 return 899;
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
185 xxxxxxxxxxxxxxx1xxxxx000x00100x1
186 stlxr. */
187 return 905;
188 }
189 }
190 else
191 {
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
196 xxxxxxxxxxxxxxx1xxxxx100x00100x0
197 caspl. */
198 return 971;
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
204 xxxxxxxxxxxxxxx1xxxxx100x00100x1
205 stlxp. */
206 return 907;
207 }
208 }
209 }
210 }
211 else
212 {
213 /* 33222222222211111111110000000000
214 10987654321098765432109876543210
215 xxxxxxxxxxxxxxxxxxxxxx00x00101xx
216 stnp. */
217 return 920;
218 }
219 }
220 else
221 {
222 if (((word >> 29) & 0x1) == 0)
223 {
224 if (((word >> 15) & 0x1) == 0)
225 {
226 if (((word >> 21) & 0x1) == 0)
227 {
228 if (((word >> 31) & 0x1) == 0)
229 {
230 /* 33222222222211111111110000000000
231 10987654321098765432109876543210
232 xxxxxxxxxxxxxxx0xxxxx001x00100x0
233 stllrb. */
234 return 918;
235 }
236 else
237 {
238 /* 33222222222211111111110000000000
239 10987654321098765432109876543210
240 xxxxxxxxxxxxxxx0xxxxx001x00100x1
241 stllr. */
242 return 917;
243 }
244 }
245 else
246 {
247 if (((word >> 31) & 0x1) == 0)
248 {
249 if (((word >> 30) & 0x1) == 0)
250 {
251 /* 33222222222211111111110000000000
252 10987654321098765432109876543210
253 xxxxxxxxxxxxxxx0xxxxx101x0010000
254 casb. */
255 return 957;
256 }
257 else
258 {
259 /* 33222222222211111111110000000000
260 10987654321098765432109876543210
261 xxxxxxxxxxxxxxx0xxxxx101x0010010
262 cash. */
263 return 958;
264 }
265 }
266 else
267 {
268 /* 33222222222211111111110000000000
269 10987654321098765432109876543210
270 xxxxxxxxxxxxxxx0xxxxx101x00100x1
271 cas. */
272 return 959;
273 }
274 }
275 }
276 else
277 {
278 if (((word >> 21) & 0x1) == 0)
279 {
280 if (((word >> 31) & 0x1) == 0)
281 {
282 if (((word >> 30) & 0x1) == 0)
283 {
284 /* 33222222222211111111110000000000
285 10987654321098765432109876543210
286 xxxxxxxxxxxxxxx1xxxxx001x0010000
287 stlrb. */
288 return 896;
289 }
290 else
291 {
292 /* 33222222222211111111110000000000
293 10987654321098765432109876543210
294 xxxxxxxxxxxxxxx1xxxxx001x0010010
295 stlrh. */
296 return 902;
297 }
298 }
299 else
300 {
301 /* 33222222222211111111110000000000
302 10987654321098765432109876543210
303 xxxxxxxxxxxxxxx1xxxxx001x00100x1
304 stlr. */
305 return 912;
306 }
307 }
308 else
309 {
310 if (((word >> 31) & 0x1) == 0)
311 {
312 if (((word >> 30) & 0x1) == 0)
313 {
314 /* 33222222222211111111110000000000
315 10987654321098765432109876543210
316 xxxxxxxxxxxxxxx1xxxxx101x0010000
317 caslb. */
318 return 961;
319 }
320 else
321 {
322 /* 33222222222211111111110000000000
323 10987654321098765432109876543210
324 xxxxxxxxxxxxxxx1xxxxx101x0010010
325 caslh. */
326 return 964;
327 }
328 }
329 else
330 {
331 /* 33222222222211111111110000000000
332 10987654321098765432109876543210
333 xxxxxxxxxxxxxxx1xxxxx101x00100x1
334 casl. */
335 return 967;
336 }
337 }
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
344 xxxxxxxxxxxxxxxxxxxxxx01x00101xx
345 stp. */
346 return 929;
347 }
348 }
349 }
350 else
351 {
352 if (((word >> 23) & 0x1) == 0)
353 {
354 if (((word >> 29) & 0x1) == 0)
355 {
356 if (((word >> 15) & 0x1) == 0)
357 {
358 if (((word >> 21) & 0x1) == 0)
359 {
360 if (((word >> 31) & 0x1) == 0)
361 {
362 if (((word >> 30) & 0x1) == 0)
363 {
364 /* 33222222222211111111110000000000
365 10987654321098765432109876543210
366 xxxxxxxxxxxxxxx0xxxxx010x0010000
367 ldxrb. */
368 return 894;
369 }
370 else
371 {
372 /* 33222222222211111111110000000000
373 10987654321098765432109876543210
374 xxxxxxxxxxxxxxx0xxxxx010x0010010
375 ldxrh. */
376 return 900;
377 }
378 }
379 else
380 {
381 /* 33222222222211111111110000000000
382 10987654321098765432109876543210
383 xxxxxxxxxxxxxxx0xxxxx010x00100x1
384 ldxr. */
385 return 908;
386 }
387 }
388 else
389 {
390 if (((word >> 31) & 0x1) == 0)
391 {
392 /* 33222222222211111111110000000000
393 10987654321098765432109876543210
394 xxxxxxxxxxxxxxx0xxxxx110x00100x0
395 caspa. */
396 return 970;
397 }
398 else
399 {
400 /* 33222222222211111111110000000000
401 10987654321098765432109876543210
402 xxxxxxxxxxxxxxx0xxxxx110x00100x1
403 ldxp. */
404 return 910;
405 }
406 }
407 }
408 else
409 {
410 if (((word >> 21) & 0x1) == 0)
411 {
412 if (((word >> 31) & 0x1) == 0)
413 {
414 if (((word >> 30) & 0x1) == 0)
415 {
416 /* 33222222222211111111110000000000
417 10987654321098765432109876543210
418 xxxxxxxxxxxxxxx1xxxxx010x0010000
419 ldaxrb. */
420 return 895;
421 }
422 else
423 {
424 /* 33222222222211111111110000000000
425 10987654321098765432109876543210
426 xxxxxxxxxxxxxxx1xxxxx010x0010010
427 ldaxrh. */
428 return 901;
429 }
430 }
431 else
432 {
433 /* 33222222222211111111110000000000
434 10987654321098765432109876543210
435 xxxxxxxxxxxxxxx1xxxxx010x00100x1
436 ldaxr. */
437 return 909;
438 }
439 }
440 else
441 {
442 if (((word >> 31) & 0x1) == 0)
443 {
444 /* 33222222222211111111110000000000
445 10987654321098765432109876543210
446 xxxxxxxxxxxxxxx1xxxxx110x00100x0
447 caspal. */
448 return 972;
449 }
450 else
451 {
452 /* 33222222222211111111110000000000
453 10987654321098765432109876543210
454 xxxxxxxxxxxxxxx1xxxxx110x00100x1
455 ldaxp. */
456 return 911;
457 }
458 }
459 }
460 }
461 else
462 {
463 if (((word >> 30) & 0x1) == 0)
464 {
465 /* 33222222222211111111110000000000
466 10987654321098765432109876543210
467 xxxxxxxxxxxxxxxxxxxxxx10x001010x
468 ldnp. */
469 return 921;
470 }
471 else
472 {
473 /* 33222222222211111111110000000000
474 10987654321098765432109876543210
475 xxxxxxxxxxxxxxxxxxxxxx10x001011x
476 ldpsw. */
477 return 928;
478 }
479 }
480 }
481 else
482 {
483 if (((word >> 29) & 0x1) == 0)
484 {
485 if (((word >> 15) & 0x1) == 0)
486 {
487 if (((word >> 21) & 0x1) == 0)
488 {
489 if (((word >> 31) & 0x1) == 0)
490 {
491 if (((word >> 30) & 0x1) == 0)
492 {
493 /* 33222222222211111111110000000000
494 10987654321098765432109876543210
495 xxxxxxxxxxxxxxx0xxxxx011x0010000
496 ldlarb. */
497 return 915;
498 }
499 else
500 {
501 /* 33222222222211111111110000000000
502 10987654321098765432109876543210
503 xxxxxxxxxxxxxxx0xxxxx011x0010010
504 ldlarh. */
505 return 916;
506 }
507 }
508 else
509 {
510 /* 33222222222211111111110000000000
511 10987654321098765432109876543210
512 xxxxxxxxxxxxxxx0xxxxx011x00100x1
513 ldlar. */
514 return 914;
515 }
516 }
517 else
518 {
519 if (((word >> 31) & 0x1) == 0)
520 {
521 if (((word >> 30) & 0x1) == 0)
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
525 xxxxxxxxxxxxxxx0xxxxx111x0010000
526 casab. */
527 return 960;
528 }
529 else
530 {
531 /* 33222222222211111111110000000000
532 10987654321098765432109876543210
533 xxxxxxxxxxxxxxx0xxxxx111x0010010
534 casah. */
535 return 963;
536 }
537 }
538 else
539 {
540 /* 33222222222211111111110000000000
541 10987654321098765432109876543210
542 xxxxxxxxxxxxxxx0xxxxx111x00100x1
543 casa. */
544 return 966;
545 }
546 }
547 }
548 else
549 {
550 if (((word >> 21) & 0x1) == 0)
551 {
552 if (((word >> 31) & 0x1) == 0)
553 {
554 if (((word >> 30) & 0x1) == 0)
555 {
556 /* 33222222222211111111110000000000
557 10987654321098765432109876543210
558 xxxxxxxxxxxxxxx1xxxxx011x0010000
559 ldarb. */
560 return 897;
561 }
562 else
563 {
564 /* 33222222222211111111110000000000
565 10987654321098765432109876543210
566 xxxxxxxxxxxxxxx1xxxxx011x0010010
567 ldarh. */
568 return 903;
569 }
570 }
571 else
572 {
573 /* 33222222222211111111110000000000
574 10987654321098765432109876543210
575 xxxxxxxxxxxxxxx1xxxxx011x00100x1
576 ldar. */
577 return 913;
578 }
579 }
580 else
581 {
582 if (((word >> 31) & 0x1) == 0)
583 {
584 if (((word >> 30) & 0x1) == 0)
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
588 xxxxxxxxxxxxxxx1xxxxx111x0010000
589 casalb. */
590 return 962;
591 }
592 else
593 {
594 /* 33222222222211111111110000000000
595 10987654321098765432109876543210
596 xxxxxxxxxxxxxxx1xxxxx111x0010010
597 casalh. */
598 return 965;
599 }
600 }
601 else
602 {
603 /* 33222222222211111111110000000000
604 10987654321098765432109876543210
605 xxxxxxxxxxxxxxx1xxxxx111x00100x1
606 casal. */
607 return 968;
608 }
609 }
610 }
611 }
612 else
613 {
614 if (((word >> 30) & 0x1) == 0)
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
618 xxxxxxxxxxxxxxxxxxxxxx11x001010x
619 ldp. */
620 return 930;
621 }
622 else
623 {
624 /* 33222222222211111111110000000000
625 10987654321098765432109876543210
626 xxxxxxxxxxxxxxxxxxxxxx11x001011x
627 ldpsw. */
628 return 933;
629 }
630 }
631 }
632 }
633 }
634 else
635 {
636 if (((word >> 24) & 0x1) == 0)
637 {
638 if (((word >> 29) & 0x1) == 0)
639 {
640 if (((word >> 31) & 0x1) == 0)
641 {
642 /* 33222222222211111111110000000000
643 10987654321098765432109876543210
644 xxxxxxxxxxxxxxxxxxxxxxxx000110x0
645 ldr. */
646 return 934;
647 }
648 else
649 {
650 if (((word >> 30) & 0x1) == 0)
651 {
652 /* 33222222222211111111110000000000
653 10987654321098765432109876543210
654 xxxxxxxxxxxxxxxxxxxxxxxx00011001
655 ldrsw. */
656 return 936;
657 }
658 else
659 {
660 /* 33222222222211111111110000000000
661 10987654321098765432109876543210
662 xxxxxxxxxxxxxxxxxxxxxxxx00011011
663 prfm. */
664 return 937;
665 }
666 }
667 }
668 else
669 {
670 if (((word >> 10) & 0x1) == 0)
671 {
672 if (((word >> 11) & 0x1) == 0)
673 {
674 if (((word >> 21) & 0x1) == 0)
675 {
676 if (((word >> 23) & 0x1) == 0)
677 {
678 if (((word >> 22) & 0x1) == 0)
679 {
680 if (((word >> 31) & 0x1) == 0)
681 {
682 if (((word >> 30) & 0x1) == 0)
683 {
684 /* 33222222222211111111110000000000
685 10987654321098765432109876543210
686 xxxxxxxxxx00xxxxxxxxx00000011100
687 sturb. */
688 return 880;
689 }
690 else
691 {
692 /* 33222222222211111111110000000000
693 10987654321098765432109876543210
694 xxxxxxxxxx00xxxxxxxxx00000011110
695 sturh. */
696 return 885;
697 }
698 }
699 else
700 {
701 /* 33222222222211111111110000000000
702 10987654321098765432109876543210
703 xxxxxxxxxx00xxxxxxxxx000000111x1
704 stur. */
705 return 888;
706 }
707 }
708 else
709 {
710 if (((word >> 31) & 0x1) == 0)
711 {
712 if (((word >> 30) & 0x1) == 0)
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
716 xxxxxxxxxx00xxxxxxxxx01000011100
717 ldurb. */
718 return 881;
719 }
720 else
721 {
722 /* 33222222222211111111110000000000
723 10987654321098765432109876543210
724 xxxxxxxxxx00xxxxxxxxx01000011110
725 ldurh. */
726 return 886;
727 }
728 }
729 else
730 {
731 /* 33222222222211111111110000000000
732 10987654321098765432109876543210
733 xxxxxxxxxx00xxxxxxxxx010000111x1
734 ldur. */
735 return 889;
736 }
737 }
738 }
739 else
740 {
741 if (((word >> 30) & 0x1) == 0)
742 {
743 if (((word >> 31) & 0x1) == 0)
744 {
745 /* 33222222222211111111110000000000
746 10987654321098765432109876543210
747 xxxxxxxxxx00xxxxxxxxx0x100011100
748 ldursb. */
749 return 882;
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
755 xxxxxxxxxx00xxxxxxxxx0x100011101
756 ldursw. */
757 return 890;
758 }
759 }
760 else
761 {
762 if (((word >> 31) & 0x1) == 0)
763 {
764 /* 33222222222211111111110000000000
765 10987654321098765432109876543210
766 xxxxxxxxxx00xxxxxxxxx0x100011110
767 ldursh. */
768 return 887;
769 }
770 else
771 {
772 /* 33222222222211111111110000000000
773 10987654321098765432109876543210
774 xxxxxxxxxx00xxxxxxxxx0x100011111
775 prfum. */
776 return 891;
777 }
778 }
779 }
780 }
781 else
782 {
783 if (((word >> 12) & 0x1) == 0)
784 {
785 if (((word >> 13) & 0x1) == 0)
786 {
787 if (((word >> 14) & 0x1) == 0)
788 {
789 if (((word >> 15) & 0x1) == 0)
790 {
791 if (((word >> 22) & 0x1) == 0)
792 {
793 if (((word >> 23) & 0x1) == 0)
794 {
795 if (((word >> 31) & 0x1) == 0)
796 {
797 if (((word >> 30) & 0x1) == 0)
798 {
799 /* 33222222222211111111110000000000
800 10987654321098765432109876543210
801 xxxxxxxxxx000000xxxxx10000011100
802 ldaddb. */
803 return 985;
804 }
805 else
806 {
807 /* 33222222222211111111110000000000
808 10987654321098765432109876543210
809 xxxxxxxxxx000000xxxxx10000011110
810 ldaddh. */
811 return 986;
812 }
813 }
814 else
815 {
816 /* 33222222222211111111110000000000
817 10987654321098765432109876543210
818 xxxxxxxxxx000000xxxxx100000111x1
819 ldadd. */
820 return 987;
821 }
822 }
823 else
824 {
825 if (((word >> 31) & 0x1) == 0)
826 {
827 if (((word >> 30) & 0x1) == 0)
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
831 xxxxxxxxxx000000xxxxx10100011100
832 ldaddab. */
833 return 988;
834 }
835 else
836 {
837 /* 33222222222211111111110000000000
838 10987654321098765432109876543210
839 xxxxxxxxxx000000xxxxx10100011110
840 ldaddah. */
841 return 991;
842 }
843 }
844 else
845 {
846 /* 33222222222211111111110000000000
847 10987654321098765432109876543210
848 xxxxxxxxxx000000xxxxx101000111x1
849 ldadda. */
850 return 994;
851 }
852 }
853 }
854 else
855 {
856 if (((word >> 23) & 0x1) == 0)
857 {
858 if (((word >> 31) & 0x1) == 0)
859 {
860 if (((word >> 30) & 0x1) == 0)
861 {
862 /* 33222222222211111111110000000000
863 10987654321098765432109876543210
864 xxxxxxxxxx000000xxxxx11000011100
865 ldaddlb. */
866 return 989;
867 }
868 else
869 {
870 /* 33222222222211111111110000000000
871 10987654321098765432109876543210
872 xxxxxxxxxx000000xxxxx11000011110
873 ldaddlh. */
874 return 992;
875 }
876 }
877 else
878 {
879 /* 33222222222211111111110000000000
880 10987654321098765432109876543210
881 xxxxxxxxxx000000xxxxx110000111x1
882 ldaddl. */
883 return 995;
884 }
885 }
886 else
887 {
888 if (((word >> 31) & 0x1) == 0)
889 {
890 if (((word >> 30) & 0x1) == 0)
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
894 xxxxxxxxxx000000xxxxx11100011100
895 ldaddalb. */
896 return 990;
897 }
898 else
899 {
900 /* 33222222222211111111110000000000
901 10987654321098765432109876543210
902 xxxxxxxxxx000000xxxxx11100011110
903 ldaddalh. */
904 return 993;
905 }
906 }
907 else
908 {
909 /* 33222222222211111111110000000000
910 10987654321098765432109876543210
911 xxxxxxxxxx000000xxxxx111000111x1
912 ldaddal. */
913 return 996;
914 }
915 }
916 }
917 }
918 else
919 {
920 if (((word >> 22) & 0x1) == 0)
921 {
922 if (((word >> 23) & 0x1) == 0)
923 {
924 if (((word >> 31) & 0x1) == 0)
925 {
926 if (((word >> 30) & 0x1) == 0)
927 {
928 /* 33222222222211111111110000000000
929 10987654321098765432109876543210
930 xxxxxxxxxx000001xxxxx10000011100
931 swpb. */
932 return 973;
933 }
934 else
935 {
936 /* 33222222222211111111110000000000
937 10987654321098765432109876543210
938 xxxxxxxxxx000001xxxxx10000011110
939 swph. */
940 return 974;
941 }
942 }
943 else
944 {
945 /* 33222222222211111111110000000000
946 10987654321098765432109876543210
947 xxxxxxxxxx000001xxxxx100000111x1
948 swp. */
949 return 975;
950 }
951 }
952 else
953 {
954 if (((word >> 31) & 0x1) == 0)
955 {
956 if (((word >> 30) & 0x1) == 0)
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
960 xxxxxxxxxx000001xxxxx10100011100
961 swpab. */
962 return 976;
963 }
964 else
965 {
966 /* 33222222222211111111110000000000
967 10987654321098765432109876543210
968 xxxxxxxxxx000001xxxxx10100011110
969 swpah. */
970 return 979;
971 }
972 }
973 else
974 {
975 /* 33222222222211111111110000000000
976 10987654321098765432109876543210
977 xxxxxxxxxx000001xxxxx101000111x1
978 swpa. */
979 return 982;
980 }
981 }
982 }
983 else
984 {
985 if (((word >> 23) & 0x1) == 0)
986 {
987 if (((word >> 31) & 0x1) == 0)
988 {
989 if (((word >> 30) & 0x1) == 0)
990 {
991 /* 33222222222211111111110000000000
992 10987654321098765432109876543210
993 xxxxxxxxxx000001xxxxx11000011100
994 swplb. */
995 return 977;
996 }
997 else
998 {
999 /* 33222222222211111111110000000000
1000 10987654321098765432109876543210
1001 xxxxxxxxxx000001xxxxx11000011110
1002 swplh. */
1003 return 980;
1004 }
1005 }
1006 else
1007 {
1008 /* 33222222222211111111110000000000
1009 10987654321098765432109876543210
1010 xxxxxxxxxx000001xxxxx110000111x1
1011 swpl. */
1012 return 983;
1013 }
1014 }
1015 else
1016 {
1017 if (((word >> 31) & 0x1) == 0)
1018 {
1019 if (((word >> 30) & 0x1) == 0)
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
1023 xxxxxxxxxx000001xxxxx11100011100
1024 swpalb. */
1025 return 978;
1026 }
1027 else
1028 {
1029 /* 33222222222211111111110000000000
1030 10987654321098765432109876543210
1031 xxxxxxxxxx000001xxxxx11100011110
1032 swpalh. */
1033 return 981;
1034 }
1035 }
1036 else
1037 {
1038 /* 33222222222211111111110000000000
1039 10987654321098765432109876543210
1040 xxxxxxxxxx000001xxxxx111000111x1
1041 swpal. */
1042 return 984;
1043 }
1044 }
1045 }
1046 }
1047 }
1048 else
1049 {
1050 if (((word >> 22) & 0x1) == 0)
1051 {
1052 if (((word >> 23) & 0x1) == 0)
1053 {
1054 if (((word >> 31) & 0x1) == 0)
1055 {
1056 if (((word >> 30) & 0x1) == 0)
1057 {
1058 /* 33222222222211111111110000000000
1059 10987654321098765432109876543210
1060 xxxxxxxxxx00001xxxxxx10000011100
1061 ldsmaxb. */
1062 return 1033;
1063 }
1064 else
1065 {
1066 /* 33222222222211111111110000000000
1067 10987654321098765432109876543210
1068 xxxxxxxxxx00001xxxxxx10000011110
1069 ldsmaxh. */
1070 return 1034;
1071 }
1072 }
1073 else
1074 {
1075 /* 33222222222211111111110000000000
1076 10987654321098765432109876543210
1077 xxxxxxxxxx00001xxxxxx100000111x1
1078 ldsmax. */
1079 return 1035;
1080 }
1081 }
1082 else
1083 {
1084 if (((word >> 31) & 0x1) == 0)
1085 {
1086 if (((word >> 30) & 0x1) == 0)
1087 {
1088 /* 33222222222211111111110000000000
1089 10987654321098765432109876543210
1090 xxxxxxxxxx00001xxxxxx10100011100
1091 ldsmaxab. */
1092 return 1036;
1093 }
1094 else
1095 {
1096 /* 33222222222211111111110000000000
1097 10987654321098765432109876543210
1098 xxxxxxxxxx00001xxxxxx10100011110
1099 ldsmaxah. */
1100 return 1039;
1101 }
1102 }
1103 else
1104 {
1105 /* 33222222222211111111110000000000
1106 10987654321098765432109876543210
1107 xxxxxxxxxx00001xxxxxx101000111x1
1108 ldsmaxa. */
1109 return 1042;
1110 }
1111 }
1112 }
1113 else
1114 {
1115 if (((word >> 23) & 0x1) == 0)
1116 {
1117 if (((word >> 31) & 0x1) == 0)
1118 {
1119 if (((word >> 30) & 0x1) == 0)
1120 {
1121 /* 33222222222211111111110000000000
1122 10987654321098765432109876543210
1123 xxxxxxxxxx00001xxxxxx11000011100
1124 ldsmaxlb. */
1125 return 1037;
1126 }
1127 else
1128 {
1129 /* 33222222222211111111110000000000
1130 10987654321098765432109876543210
1131 xxxxxxxxxx00001xxxxxx11000011110
1132 ldsmaxlh. */
1133 return 1040;
1134 }
1135 }
1136 else
1137 {
1138 /* 33222222222211111111110000000000
1139 10987654321098765432109876543210
1140 xxxxxxxxxx00001xxxxxx110000111x1
1141 ldsmaxl. */
1142 return 1043;
1143 }
1144 }
1145 else
1146 {
1147 if (((word >> 31) & 0x1) == 0)
1148 {
1149 if (((word >> 30) & 0x1) == 0)
1150 {
1151 /* 33222222222211111111110000000000
1152 10987654321098765432109876543210
1153 xxxxxxxxxx00001xxxxxx11100011100
1154 ldsmaxalb. */
1155 return 1038;
1156 }
1157 else
1158 {
1159 /* 33222222222211111111110000000000
1160 10987654321098765432109876543210
1161 xxxxxxxxxx00001xxxxxx11100011110
1162 ldsmaxalh. */
1163 return 1041;
1164 }
1165 }
1166 else
1167 {
1168 /* 33222222222211111111110000000000
1169 10987654321098765432109876543210
1170 xxxxxxxxxx00001xxxxxx111000111x1
1171 ldsmaxal. */
1172 return 1044;
1173 }
1174 }
1175 }
1176 }
1177 }
1178 else
1179 {
1180 if (((word >> 14) & 0x1) == 0)
1181 {
1182 if (((word >> 22) & 0x1) == 0)
1183 {
1184 if (((word >> 23) & 0x1) == 0)
1185 {
1186 if (((word >> 31) & 0x1) == 0)
1187 {
1188 if (((word >> 30) & 0x1) == 0)
1189 {
1190 /* 33222222222211111111110000000000
1191 10987654321098765432109876543210
1192 xxxxxxxxxx00010xxxxxx10000011100
1193 ldeorb. */
1194 return 1009;
1195 }
1196 else
1197 {
1198 /* 33222222222211111111110000000000
1199 10987654321098765432109876543210
1200 xxxxxxxxxx00010xxxxxx10000011110
1201 ldeorh. */
1202 return 1010;
1203 }
1204 }
1205 else
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
1209 xxxxxxxxxx00010xxxxxx100000111x1
1210 ldeor. */
1211 return 1011;
1212 }
1213 }
1214 else
1215 {
1216 if (((word >> 31) & 0x1) == 0)
1217 {
1218 if (((word >> 30) & 0x1) == 0)
1219 {
1220 /* 33222222222211111111110000000000
1221 10987654321098765432109876543210
1222 xxxxxxxxxx00010xxxxxx10100011100
1223 ldeorab. */
1224 return 1012;
1225 }
1226 else
1227 {
1228 /* 33222222222211111111110000000000
1229 10987654321098765432109876543210
1230 xxxxxxxxxx00010xxxxxx10100011110
1231 ldeorah. */
1232 return 1015;
1233 }
1234 }
1235 else
1236 {
1237 /* 33222222222211111111110000000000
1238 10987654321098765432109876543210
1239 xxxxxxxxxx00010xxxxxx101000111x1
1240 ldeora. */
1241 return 1018;
1242 }
1243 }
1244 }
1245 else
1246 {
1247 if (((word >> 23) & 0x1) == 0)
1248 {
1249 if (((word >> 31) & 0x1) == 0)
1250 {
1251 if (((word >> 30) & 0x1) == 0)
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
1255 xxxxxxxxxx00010xxxxxx11000011100
1256 ldeorlb. */
1257 return 1013;
1258 }
1259 else
1260 {
1261 /* 33222222222211111111110000000000
1262 10987654321098765432109876543210
1263 xxxxxxxxxx00010xxxxxx11000011110
1264 ldeorlh. */
1265 return 1016;
1266 }
1267 }
1268 else
1269 {
1270 /* 33222222222211111111110000000000
1271 10987654321098765432109876543210
1272 xxxxxxxxxx00010xxxxxx110000111x1
1273 ldeorl. */
1274 return 1019;
1275 }
1276 }
1277 else
1278 {
1279 if (((word >> 31) & 0x1) == 0)
1280 {
1281 if (((word >> 30) & 0x1) == 0)
1282 {
1283 /* 33222222222211111111110000000000
1284 10987654321098765432109876543210
1285 xxxxxxxxxx00010xxxxxx11100011100
1286 ldeoralb. */
1287 return 1014;
1288 }
1289 else
1290 {
1291 /* 33222222222211111111110000000000
1292 10987654321098765432109876543210
1293 xxxxxxxxxx00010xxxxxx11100011110
1294 ldeoralh. */
1295 return 1017;
1296 }
1297 }
1298 else
1299 {
1300 /* 33222222222211111111110000000000
1301 10987654321098765432109876543210
1302 xxxxxxxxxx00010xxxxxx111000111x1
1303 ldeoral. */
1304 return 1020;
1305 }
1306 }
1307 }
1308 }
1309 else
1310 {
1311 if (((word >> 22) & 0x1) == 0)
1312 {
1313 if (((word >> 23) & 0x1) == 0)
1314 {
1315 if (((word >> 31) & 0x1) == 0)
1316 {
1317 if (((word >> 30) & 0x1) == 0)
1318 {
1319 /* 33222222222211111111110000000000
1320 10987654321098765432109876543210
1321 xxxxxxxxxx00011xxxxxx10000011100
1322 ldumaxb. */
1323 return 1057;
1324 }
1325 else
1326 {
1327 /* 33222222222211111111110000000000
1328 10987654321098765432109876543210
1329 xxxxxxxxxx00011xxxxxx10000011110
1330 ldumaxh. */
1331 return 1058;
1332 }
1333 }
1334 else
1335 {
1336 /* 33222222222211111111110000000000
1337 10987654321098765432109876543210
1338 xxxxxxxxxx00011xxxxxx100000111x1
1339 ldumax. */
1340 return 1059;
1341 }
1342 }
1343 else
1344 {
1345 if (((word >> 31) & 0x1) == 0)
1346 {
1347 if (((word >> 30) & 0x1) == 0)
1348 {
1349 /* 33222222222211111111110000000000
1350 10987654321098765432109876543210
1351 xxxxxxxxxx00011xxxxxx10100011100
1352 ldumaxab. */
1353 return 1060;
1354 }
1355 else
1356 {
1357 /* 33222222222211111111110000000000
1358 10987654321098765432109876543210
1359 xxxxxxxxxx00011xxxxxx10100011110
1360 ldumaxah. */
1361 return 1063;
1362 }
1363 }
1364 else
1365 {
1366 /* 33222222222211111111110000000000
1367 10987654321098765432109876543210
1368 xxxxxxxxxx00011xxxxxx101000111x1
1369 ldumaxa. */
1370 return 1066;
1371 }
1372 }
1373 }
1374 else
1375 {
1376 if (((word >> 23) & 0x1) == 0)
1377 {
1378 if (((word >> 31) & 0x1) == 0)
1379 {
1380 if (((word >> 30) & 0x1) == 0)
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
1384 xxxxxxxxxx00011xxxxxx11000011100
1385 ldumaxlb. */
1386 return 1061;
1387 }
1388 else
1389 {
1390 /* 33222222222211111111110000000000
1391 10987654321098765432109876543210
1392 xxxxxxxxxx00011xxxxxx11000011110
1393 ldumaxlh. */
1394 return 1064;
1395 }
1396 }
1397 else
1398 {
1399 /* 33222222222211111111110000000000
1400 10987654321098765432109876543210
1401 xxxxxxxxxx00011xxxxxx110000111x1
1402 ldumaxl. */
1403 return 1067;
1404 }
1405 }
1406 else
1407 {
1408 if (((word >> 31) & 0x1) == 0)
1409 {
1410 if (((word >> 30) & 0x1) == 0)
1411 {
1412 /* 33222222222211111111110000000000
1413 10987654321098765432109876543210
1414 xxxxxxxxxx00011xxxxxx11100011100
1415 ldumaxalb. */
1416 return 1062;
1417 }
1418 else
1419 {
1420 /* 33222222222211111111110000000000
1421 10987654321098765432109876543210
1422 xxxxxxxxxx00011xxxxxx11100011110
1423 ldumaxalh. */
1424 return 1065;
1425 }
1426 }
1427 else
1428 {
1429 /* 33222222222211111111110000000000
1430 10987654321098765432109876543210
1431 xxxxxxxxxx00011xxxxxx111000111x1
1432 ldumaxal. */
1433 return 1068;
1434 }
1435 }
1436 }
1437 }
1438 }
1439 }
1440 else
1441 {
1442 if (((word >> 13) & 0x1) == 0)
1443 {
1444 if (((word >> 14) & 0x1) == 0)
1445 {
1446 if (((word >> 22) & 0x1) == 0)
1447 {
1448 if (((word >> 23) & 0x1) == 0)
1449 {
1450 if (((word >> 31) & 0x1) == 0)
1451 {
1452 if (((word >> 30) & 0x1) == 0)
1453 {
1454 /* 33222222222211111111110000000000
1455 10987654321098765432109876543210
1456 xxxxxxxxxx00100xxxxxx10000011100
1457 ldclrb. */
1458 return 997;
1459 }
1460 else
1461 {
1462 /* 33222222222211111111110000000000
1463 10987654321098765432109876543210
1464 xxxxxxxxxx00100xxxxxx10000011110
1465 ldclrh. */
1466 return 998;
1467 }
1468 }
1469 else
1470 {
1471 /* 33222222222211111111110000000000
1472 10987654321098765432109876543210
1473 xxxxxxxxxx00100xxxxxx100000111x1
1474 ldclr. */
1475 return 999;
1476 }
1477 }
1478 else
1479 {
1480 if (((word >> 31) & 0x1) == 0)
1481 {
1482 if (((word >> 30) & 0x1) == 0)
1483 {
1484 /* 33222222222211111111110000000000
1485 10987654321098765432109876543210
1486 xxxxxxxxxx00100xxxxxx10100011100
1487 ldclrab. */
1488 return 1000;
1489 }
1490 else
1491 {
1492 /* 33222222222211111111110000000000
1493 10987654321098765432109876543210
1494 xxxxxxxxxx00100xxxxxx10100011110
1495 ldclrah. */
1496 return 1003;
1497 }
1498 }
1499 else
1500 {
1501 /* 33222222222211111111110000000000
1502 10987654321098765432109876543210
1503 xxxxxxxxxx00100xxxxxx101000111x1
1504 ldclra. */
1505 return 1006;
1506 }
1507 }
1508 }
1509 else
1510 {
1511 if (((word >> 23) & 0x1) == 0)
1512 {
1513 if (((word >> 31) & 0x1) == 0)
1514 {
1515 if (((word >> 30) & 0x1) == 0)
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
1519 xxxxxxxxxx00100xxxxxx11000011100
1520 ldclrlb. */
1521 return 1001;
1522 }
1523 else
1524 {
1525 /* 33222222222211111111110000000000
1526 10987654321098765432109876543210
1527 xxxxxxxxxx00100xxxxxx11000011110
1528 ldclrlh. */
1529 return 1004;
1530 }
1531 }
1532 else
1533 {
1534 /* 33222222222211111111110000000000
1535 10987654321098765432109876543210
1536 xxxxxxxxxx00100xxxxxx110000111x1
1537 ldclrl. */
1538 return 1007;
1539 }
1540 }
1541 else
1542 {
1543 if (((word >> 31) & 0x1) == 0)
1544 {
1545 if (((word >> 30) & 0x1) == 0)
1546 {
1547 /* 33222222222211111111110000000000
1548 10987654321098765432109876543210
1549 xxxxxxxxxx00100xxxxxx11100011100
1550 ldclralb. */
1551 return 1002;
1552 }
1553 else
1554 {
1555 /* 33222222222211111111110000000000
1556 10987654321098765432109876543210
1557 xxxxxxxxxx00100xxxxxx11100011110
1558 ldclralh. */
1559 return 1005;
1560 }
1561 }
1562 else
1563 {
1564 /* 33222222222211111111110000000000
1565 10987654321098765432109876543210
1566 xxxxxxxxxx00100xxxxxx111000111x1
1567 ldclral. */
1568 return 1008;
1569 }
1570 }
1571 }
1572 }
1573 else
1574 {
1575 if (((word >> 22) & 0x1) == 0)
1576 {
1577 if (((word >> 23) & 0x1) == 0)
1578 {
1579 if (((word >> 31) & 0x1) == 0)
1580 {
1581 if (((word >> 30) & 0x1) == 0)
1582 {
1583 /* 33222222222211111111110000000000
1584 10987654321098765432109876543210
1585 xxxxxxxxxx00101xxxxxx10000011100
1586 ldsminb. */
1587 return 1045;
1588 }
1589 else
1590 {
1591 /* 33222222222211111111110000000000
1592 10987654321098765432109876543210
1593 xxxxxxxxxx00101xxxxxx10000011110
1594 ldsminh. */
1595 return 1046;
1596 }
1597 }
1598 else
1599 {
1600 /* 33222222222211111111110000000000
1601 10987654321098765432109876543210
1602 xxxxxxxxxx00101xxxxxx100000111x1
1603 ldsmin. */
1604 return 1047;
1605 }
1606 }
1607 else
1608 {
1609 if (((word >> 31) & 0x1) == 0)
1610 {
1611 if (((word >> 30) & 0x1) == 0)
1612 {
1613 /* 33222222222211111111110000000000
1614 10987654321098765432109876543210
1615 xxxxxxxxxx00101xxxxxx10100011100
1616 ldsminab. */
1617 return 1048;
1618 }
1619 else
1620 {
1621 /* 33222222222211111111110000000000
1622 10987654321098765432109876543210
1623 xxxxxxxxxx00101xxxxxx10100011110
1624 ldsminah. */
1625 return 1051;
1626 }
1627 }
1628 else
1629 {
1630 /* 33222222222211111111110000000000
1631 10987654321098765432109876543210
1632 xxxxxxxxxx00101xxxxxx101000111x1
1633 ldsmina. */
1634 return 1054;
1635 }
1636 }
1637 }
1638 else
1639 {
1640 if (((word >> 23) & 0x1) == 0)
1641 {
1642 if (((word >> 31) & 0x1) == 0)
1643 {
1644 if (((word >> 30) & 0x1) == 0)
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
1648 xxxxxxxxxx00101xxxxxx11000011100
1649 ldsminlb. */
1650 return 1049;
1651 }
1652 else
1653 {
1654 /* 33222222222211111111110000000000
1655 10987654321098765432109876543210
1656 xxxxxxxxxx00101xxxxxx11000011110
1657 ldsminlh. */
1658 return 1052;
1659 }
1660 }
1661 else
1662 {
1663 /* 33222222222211111111110000000000
1664 10987654321098765432109876543210
1665 xxxxxxxxxx00101xxxxxx110000111x1
1666 ldsminl. */
1667 return 1055;
1668 }
1669 }
1670 else
1671 {
1672 if (((word >> 31) & 0x1) == 0)
1673 {
1674 if (((word >> 30) & 0x1) == 0)
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
1678 xxxxxxxxxx00101xxxxxx11100011100
1679 ldsminalb. */
1680 return 1050;
1681 }
1682 else
1683 {
1684 /* 33222222222211111111110000000000
1685 10987654321098765432109876543210
1686 xxxxxxxxxx00101xxxxxx11100011110
1687 ldsminalh. */
1688 return 1053;
1689 }
1690 }
1691 else
1692 {
1693 /* 33222222222211111111110000000000
1694 10987654321098765432109876543210
1695 xxxxxxxxxx00101xxxxxx111000111x1
1696 ldsminal. */
1697 return 1056;
1698 }
1699 }
1700 }
1701 }
1702 }
1703 else
1704 {
1705 if (((word >> 14) & 0x1) == 0)
1706 {
1707 if (((word >> 22) & 0x1) == 0)
1708 {
1709 if (((word >> 23) & 0x1) == 0)
1710 {
1711 if (((word >> 31) & 0x1) == 0)
1712 {
1713 if (((word >> 30) & 0x1) == 0)
1714 {
1715 /* 33222222222211111111110000000000
1716 10987654321098765432109876543210
1717 xxxxxxxxxx00110xxxxxx10000011100
1718 ldsetb. */
1719 return 1021;
1720 }
1721 else
1722 {
1723 /* 33222222222211111111110000000000
1724 10987654321098765432109876543210
1725 xxxxxxxxxx00110xxxxxx10000011110
1726 ldseth. */
1727 return 1022;
1728 }
1729 }
1730 else
1731 {
1732 /* 33222222222211111111110000000000
1733 10987654321098765432109876543210
1734 xxxxxxxxxx00110xxxxxx100000111x1
1735 ldset. */
1736 return 1023;
1737 }
1738 }
1739 else
1740 {
1741 if (((word >> 31) & 0x1) == 0)
1742 {
1743 if (((word >> 30) & 0x1) == 0)
1744 {
1745 /* 33222222222211111111110000000000
1746 10987654321098765432109876543210
1747 xxxxxxxxxx00110xxxxxx10100011100
1748 ldsetab. */
1749 return 1024;
1750 }
1751 else
1752 {
1753 /* 33222222222211111111110000000000
1754 10987654321098765432109876543210
1755 xxxxxxxxxx00110xxxxxx10100011110
1756 ldsetah. */
1757 return 1027;
1758 }
1759 }
1760 else
1761 {
1762 /* 33222222222211111111110000000000
1763 10987654321098765432109876543210
1764 xxxxxxxxxx00110xxxxxx101000111x1
1765 ldseta. */
1766 return 1030;
1767 }
1768 }
1769 }
1770 else
1771 {
1772 if (((word >> 23) & 0x1) == 0)
1773 {
1774 if (((word >> 31) & 0x1) == 0)
1775 {
1776 if (((word >> 30) & 0x1) == 0)
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
1780 xxxxxxxxxx00110xxxxxx11000011100
1781 ldsetlb. */
1782 return 1025;
1783 }
1784 else
1785 {
1786 /* 33222222222211111111110000000000
1787 10987654321098765432109876543210
1788 xxxxxxxxxx00110xxxxxx11000011110
1789 ldsetlh. */
1790 return 1028;
1791 }
1792 }
1793 else
1794 {
1795 /* 33222222222211111111110000000000
1796 10987654321098765432109876543210
1797 xxxxxxxxxx00110xxxxxx110000111x1
1798 ldsetl. */
1799 return 1031;
1800 }
1801 }
1802 else
1803 {
1804 if (((word >> 31) & 0x1) == 0)
1805 {
1806 if (((word >> 30) & 0x1) == 0)
1807 {
1808 /* 33222222222211111111110000000000
1809 10987654321098765432109876543210
1810 xxxxxxxxxx00110xxxxxx11100011100
1811 ldsetalb. */
1812 return 1026;
1813 }
1814 else
1815 {
1816 /* 33222222222211111111110000000000
1817 10987654321098765432109876543210
1818 xxxxxxxxxx00110xxxxxx11100011110
1819 ldsetalh. */
1820 return 1029;
1821 }
1822 }
1823 else
1824 {
1825 /* 33222222222211111111110000000000
1826 10987654321098765432109876543210
1827 xxxxxxxxxx00110xxxxxx111000111x1
1828 ldsetal. */
1829 return 1032;
1830 }
1831 }
1832 }
1833 }
1834 else
1835 {
1836 if (((word >> 22) & 0x1) == 0)
1837 {
1838 if (((word >> 23) & 0x1) == 0)
1839 {
1840 if (((word >> 31) & 0x1) == 0)
1841 {
1842 if (((word >> 30) & 0x1) == 0)
1843 {
1844 /* 33222222222211111111110000000000
1845 10987654321098765432109876543210
1846 xxxxxxxxxx00111xxxxxx10000011100
1847 lduminb. */
1848 return 1069;
1849 }
1850 else
1851 {
1852 /* 33222222222211111111110000000000
1853 10987654321098765432109876543210
1854 xxxxxxxxxx00111xxxxxx10000011110
1855 lduminh. */
1856 return 1070;
1857 }
1858 }
1859 else
1860 {
1861 /* 33222222222211111111110000000000
1862 10987654321098765432109876543210
1863 xxxxxxxxxx00111xxxxxx100000111x1
1864 ldumin. */
1865 return 1071;
1866 }
1867 }
1868 else
1869 {
1870 if (((word >> 31) & 0x1) == 0)
1871 {
1872 if (((word >> 30) & 0x1) == 0)
1873 {
1874 /* 33222222222211111111110000000000
1875 10987654321098765432109876543210
1876 xxxxxxxxxx00111xxxxxx10100011100
1877 lduminab. */
1878 return 1072;
1879 }
1880 else
1881 {
1882 /* 33222222222211111111110000000000
1883 10987654321098765432109876543210
1884 xxxxxxxxxx00111xxxxxx10100011110
1885 lduminah. */
1886 return 1075;
1887 }
1888 }
1889 else
1890 {
1891 /* 33222222222211111111110000000000
1892 10987654321098765432109876543210
1893 xxxxxxxxxx00111xxxxxx101000111x1
1894 ldumina. */
1895 return 1078;
1896 }
1897 }
1898 }
1899 else
1900 {
1901 if (((word >> 23) & 0x1) == 0)
1902 {
1903 if (((word >> 31) & 0x1) == 0)
1904 {
1905 if (((word >> 30) & 0x1) == 0)
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
1909 xxxxxxxxxx00111xxxxxx11000011100
1910 lduminlb. */
1911 return 1073;
1912 }
1913 else
1914 {
1915 /* 33222222222211111111110000000000
1916 10987654321098765432109876543210
1917 xxxxxxxxxx00111xxxxxx11000011110
1918 lduminlh. */
1919 return 1076;
1920 }
1921 }
1922 else
1923 {
1924 /* 33222222222211111111110000000000
1925 10987654321098765432109876543210
1926 xxxxxxxxxx00111xxxxxx110000111x1
1927 lduminl. */
1928 return 1079;
1929 }
1930 }
1931 else
1932 {
1933 if (((word >> 31) & 0x1) == 0)
1934 {
1935 if (((word >> 30) & 0x1) == 0)
1936 {
1937 /* 33222222222211111111110000000000
1938 10987654321098765432109876543210
1939 xxxxxxxxxx00111xxxxxx11100011100
1940 lduminalb. */
1941 return 1074;
1942 }
1943 else
1944 {
1945 /* 33222222222211111111110000000000
1946 10987654321098765432109876543210
1947 xxxxxxxxxx00111xxxxxx11100011110
1948 lduminalh. */
1949 return 1077;
1950 }
1951 }
1952 else
1953 {
1954 /* 33222222222211111111110000000000
1955 10987654321098765432109876543210
1956 xxxxxxxxxx00111xxxxxx111000111x1
1957 lduminal. */
1958 return 1080;
1959 }
1960 }
1961 }
1962 }
1963 }
1964 }
1965 }
1966 }
1967 else
1968 {
1969 if (((word >> 21) & 0x1) == 0)
1970 {
1971 if (((word >> 23) & 0x1) == 0)
1972 {
1973 if (((word >> 22) & 0x1) == 0)
1974 {
1975 if (((word >> 31) & 0x1) == 0)
1976 {
1977 if (((word >> 30) & 0x1) == 0)
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
1981 xxxxxxxxxx01xxxxxxxxx00000011100
1982 sttrb. */
1983 return 871;
1984 }
1985 else
1986 {
1987 /* 33222222222211111111110000000000
1988 10987654321098765432109876543210
1989 xxxxxxxxxx01xxxxxxxxx00000011110
1990 sttrh. */
1991 return 874;
1992 }
1993 }
1994 else
1995 {
1996 /* 33222222222211111111110000000000
1997 10987654321098765432109876543210
1998 xxxxxxxxxx01xxxxxxxxx000000111x1
1999 sttr. */
2000 return 877;
2001 }
2002 }
2003 else
2004 {
2005 if (((word >> 31) & 0x1) == 0)
2006 {
2007 if (((word >> 30) & 0x1) == 0)
2008 {
2009 /* 33222222222211111111110000000000
2010 10987654321098765432109876543210
2011 xxxxxxxxxx01xxxxxxxxx01000011100
2012 ldtrb. */
2013 return 872;
2014 }
2015 else
2016 {
2017 /* 33222222222211111111110000000000
2018 10987654321098765432109876543210
2019 xxxxxxxxxx01xxxxxxxxx01000011110
2020 ldtrh. */
2021 return 875;
2022 }
2023 }
2024 else
2025 {
2026 /* 33222222222211111111110000000000
2027 10987654321098765432109876543210
2028 xxxxxxxxxx01xxxxxxxxx010000111x1
2029 ldtr. */
2030 return 878;
2031 }
2032 }
2033 }
2034 else
2035 {
2036 if (((word >> 30) & 0x1) == 0)
2037 {
2038 if (((word >> 31) & 0x1) == 0)
2039 {
2040 /* 33222222222211111111110000000000
2041 10987654321098765432109876543210
2042 xxxxxxxxxx01xxxxxxxxx0x100011100
2043 ldtrsb. */
2044 return 873;
2045 }
2046 else
2047 {
2048 /* 33222222222211111111110000000000
2049 10987654321098765432109876543210
2050 xxxxxxxxxx01xxxxxxxxx0x100011101
2051 ldtrsw. */
2052 return 879;
2053 }
2054 }
2055 else
2056 {
2057 /* 33222222222211111111110000000000
2058 10987654321098765432109876543210
2059 xxxxxxxxxx01xxxxxxxxx0x10001111x
2060 ldtrsh. */
2061 return 876;
2062 }
2063 }
2064 }
2065 else
2066 {
2067 if (((word >> 23) & 0x1) == 0)
2068 {
2069 if (((word >> 22) & 0x1) == 0)
2070 {
2071 if (((word >> 31) & 0x1) == 0)
2072 {
2073 if (((word >> 30) & 0x1) == 0)
2074 {
2075 /* 33222222222211111111110000000000
2076 10987654321098765432109876543210
2077 xxxxxxxxxx01xxxxxxxxx10000011100
2078 strb. */
2079 return 859;
2080 }
2081 else
2082 {
2083 /* 33222222222211111111110000000000
2084 10987654321098765432109876543210
2085 xxxxxxxxxx01xxxxxxxxx10000011110
2086 strh. */
2087 return 864;
2088 }
2089 }
2090 else
2091 {
2092 /* 33222222222211111111110000000000
2093 10987654321098765432109876543210
2094 xxxxxxxxxx01xxxxxxxxx100000111x1
2095 str. */
2096 return 867;
2097 }
2098 }
2099 else
2100 {
2101 if (((word >> 31) & 0x1) == 0)
2102 {
2103 if (((word >> 30) & 0x1) == 0)
2104 {
2105 /* 33222222222211111111110000000000
2106 10987654321098765432109876543210
2107 xxxxxxxxxx01xxxxxxxxx11000011100
2108 ldrb. */
2109 return 860;
2110 }
2111 else
2112 {
2113 /* 33222222222211111111110000000000
2114 10987654321098765432109876543210
2115 xxxxxxxxxx01xxxxxxxxx11000011110
2116 ldrh. */
2117 return 865;
2118 }
2119 }
2120 else
2121 {
2122 /* 33222222222211111111110000000000
2123 10987654321098765432109876543210
2124 xxxxxxxxxx01xxxxxxxxx110000111x1
2125 ldr. */
2126 return 868;
2127 }
2128 }
2129 }
2130 else
2131 {
2132 if (((word >> 30) & 0x1) == 0)
2133 {
2134 if (((word >> 31) & 0x1) == 0)
2135 {
2136 /* 33222222222211111111110000000000
2137 10987654321098765432109876543210
2138 xxxxxxxxxx01xxxxxxxxx1x100011100
2139 ldrsb. */
2140 return 861;
2141 }
2142 else
2143 {
2144 /* 33222222222211111111110000000000
2145 10987654321098765432109876543210
2146 xxxxxxxxxx01xxxxxxxxx1x100011101
2147 ldrsw. */
2148 return 869;
2149 }
2150 }
2151 else
2152 {
2153 if (((word >> 31) & 0x1) == 0)
2154 {
2155 /* 33222222222211111111110000000000
2156 10987654321098765432109876543210
2157 xxxxxxxxxx01xxxxxxxxx1x100011110
2158 ldrsh. */
2159 return 866;
2160 }
2161 else
2162 {
2163 /* 33222222222211111111110000000000
2164 10987654321098765432109876543210
2165 xxxxxxxxxx01xxxxxxxxx1x100011111
2166 prfm. */
2167 return 870;
2168 }
2169 }
2170 }
2171 }
2172 }
2173 }
2174 else
2175 {
2176 if (((word >> 23) & 0x1) == 0)
2177 {
2178 if (((word >> 22) & 0x1) == 0)
2179 {
2180 if (((word >> 31) & 0x1) == 0)
2181 {
2182 if (((word >> 30) & 0x1) == 0)
2183 {
2184 /* 33222222222211111111110000000000
2185 10987654321098765432109876543210
2186 xxxxxxxxxx1xxxxxxxxxxx0000011100
2187 strb. */
2188 return 836;
2189 }
2190 else
2191 {
2192 /* 33222222222211111111110000000000
2193 10987654321098765432109876543210
2194 xxxxxxxxxx1xxxxxxxxxxx0000011110
2195 strh. */
2196 return 841;
2197 }
2198 }
2199 else
2200 {
2201 /* 33222222222211111111110000000000
2202 10987654321098765432109876543210
2203 xxxxxxxxxx1xxxxxxxxxxx00000111x1
2204 str. */
2205 return 844;
2206 }
2207 }
2208 else
2209 {
2210 if (((word >> 31) & 0x1) == 0)
2211 {
2212 if (((word >> 30) & 0x1) == 0)
2213 {
2214 /* 33222222222211111111110000000000
2215 10987654321098765432109876543210
2216 xxxxxxxxxx1xxxxxxxxxxx1000011100
2217 ldrb. */
2218 return 837;
2219 }
2220 else
2221 {
2222 /* 33222222222211111111110000000000
2223 10987654321098765432109876543210
2224 xxxxxxxxxx1xxxxxxxxxxx1000011110
2225 ldrh. */
2226 return 842;
2227 }
2228 }
2229 else
2230 {
2231 /* 33222222222211111111110000000000
2232 10987654321098765432109876543210
2233 xxxxxxxxxx1xxxxxxxxxxx10000111x1
2234 ldr. */
2235 return 845;
2236 }
2237 }
2238 }
2239 else
2240 {
2241 if (((word >> 30) & 0x1) == 0)
2242 {
2243 if (((word >> 31) & 0x1) == 0)
2244 {
2245 /* 33222222222211111111110000000000
2246 10987654321098765432109876543210
2247 xxxxxxxxxx1xxxxxxxxxxxx100011100
2248 ldrsb. */
2249 return 838;
2250 }
2251 else
2252 {
2253 /* 33222222222211111111110000000000
2254 10987654321098765432109876543210
2255 xxxxxxxxxx1xxxxxxxxxxxx100011101
2256 ldrsw. */
2257 return 846;
2258 }
2259 }
2260 else
2261 {
2262 /* 33222222222211111111110000000000
2263 10987654321098765432109876543210
2264 xxxxxxxxxx1xxxxxxxxxxxx10001111x
2265 ldrsh. */
2266 return 843;
2267 }
2268 }
2269 }
2270 }
2271 }
2272 else
2273 {
2274 if (((word >> 23) & 0x1) == 0)
2275 {
2276 if (((word >> 22) & 0x1) == 0)
2277 {
2278 if (((word >> 31) & 0x1) == 0)
2279 {
2280 if (((word >> 30) & 0x1) == 0)
2281 {
2282 /* 33222222222211111111110000000000
2283 10987654321098765432109876543210
2284 xxxxxxxxxxxxxxxxxxxxxx0010011x00
2285 strb. */
2286 return 847;
2287 }
2288 else
2289 {
2290 /* 33222222222211111111110000000000
2291 10987654321098765432109876543210
2292 xxxxxxxxxxxxxxxxxxxxxx0010011x10
2293 strh. */
2294 return 852;
2295 }
2296 }
2297 else
2298 {
2299 /* 33222222222211111111110000000000
2300 10987654321098765432109876543210
2301 xxxxxxxxxxxxxxxxxxxxxx0010011xx1
2302 str. */
2303 return 855;
2304 }
2305 }
2306 else
2307 {
2308 if (((word >> 31) & 0x1) == 0)
2309 {
2310 if (((word >> 30) & 0x1) == 0)
2311 {
2312 /* 33222222222211111111110000000000
2313 10987654321098765432109876543210
2314 xxxxxxxxxxxxxxxxxxxxxx1010011x00
2315 ldrb. */
2316 return 848;
2317 }
2318 else
2319 {
2320 /* 33222222222211111111110000000000
2321 10987654321098765432109876543210
2322 xxxxxxxxxxxxxxxxxxxxxx1010011x10
2323 ldrh. */
2324 return 853;
2325 }
2326 }
2327 else
2328 {
2329 /* 33222222222211111111110000000000
2330 10987654321098765432109876543210
2331 xxxxxxxxxxxxxxxxxxxxxx1010011xx1
2332 ldr. */
2333 return 856;
2334 }
2335 }
2336 }
2337 else
2338 {
2339 if (((word >> 30) & 0x1) == 0)
2340 {
2341 if (((word >> 31) & 0x1) == 0)
2342 {
2343 /* 33222222222211111111110000000000
2344 10987654321098765432109876543210
2345 xxxxxxxxxxxxxxxxxxxxxxx110011x00
2346 ldrsb. */
2347 return 849;
2348 }
2349 else
2350 {
2351 /* 33222222222211111111110000000000
2352 10987654321098765432109876543210
2353 xxxxxxxxxxxxxxxxxxxxxxx110011x01
2354 ldrsw. */
2355 return 857;
2356 }
2357 }
2358 else
2359 {
2360 if (((word >> 31) & 0x1) == 0)
2361 {
2362 /* 33222222222211111111110000000000
2363 10987654321098765432109876543210
2364 xxxxxxxxxxxxxxxxxxxxxxx110011x10
2365 ldrsh. */
2366 return 854;
2367 }
2368 else
2369 {
2370 /* 33222222222211111111110000000000
2371 10987654321098765432109876543210
2372 xxxxxxxxxxxxxxxxxxxxxxx110011x11
2373 prfm. */
2374 return 858;
2375 }
2376 }
2377 }
2378 }
2379 }
2380 }
2381 }
2382 else
2383 {
2384 if (((word >> 24) & 0x1) == 0)
2385 {
2386 if (((word >> 27) & 0x1) == 0)
2387 {
2388 if (((word >> 23) & 0x1) == 0)
2389 {
2390 if (((word >> 29) & 0x1) == 0)
2391 {
2392 if (((word >> 30) & 0x1) == 0)
2393 {
2394 /* 33222222222211111111110000000000
2395 10987654321098765432109876543210
2396 xxxxxxxxxxxxxxxxxxxxxxx00100x00x
2397 and. */
2398 return 938;
2399 }
2400 else
2401 {
2402 /* 33222222222211111111110000000000
2403 10987654321098765432109876543210
2404 xxxxxxxxxxxxxxxxxxxxxxx00100x01x
2405 eor. */
2406 return 942;
2407 }
2408 }
2409 else
2410 {
2411 if (((word >> 30) & 0x1) == 0)
2412 {
2413 /* 33222222222211111111110000000000
2414 10987654321098765432109876543210
2415 xxxxxxxxxxxxxxxxxxxxxxx00100x10x
2416 orr. */
2417 return 940;
2418 }
2419 else
2420 {
2421 /* 33222222222211111111110000000000
2422 10987654321098765432109876543210
2423 xxxxxxxxxxxxxxxxxxxxxxx00100x11x
2424 ands. */
2425 return 943;
2426 }
2427 }
2428 }
2429 else
2430 {
2431 if (((word >> 29) & 0x1) == 0)
2432 {
2433 if (((word >> 30) & 0x1) == 0)
2434 {
2435 /* 33222222222211111111110000000000
2436 10987654321098765432109876543210
2437 xxxxxxxxxxxxxxxxxxxxxxx10100x00x
2438 movn. */
2439 return 1129;
2440 }
2441 else
2442 {
2443 /* 33222222222211111111110000000000
2444 10987654321098765432109876543210
2445 xxxxxxxxxxxxxxxxxxxxxxx10100x01x
2446 movz. */
2447 return 1131;
2448 }
2449 }
2450 else
2451 {
2452 /* 33222222222211111111110000000000
2453 10987654321098765432109876543210
2454 xxxxxxxxxxxxxxxxxxxxxxx10100x1xx
2455 movk. */
2456 return 1133;
2457 }
2458 }
2459 }
2460 else
2461 {
2462 if (((word >> 21) & 0x1) == 0)
2463 {
2464 if (((word >> 28) & 0x1) == 0)
2465 {
2466 if (((word >> 29) & 0x1) == 0)
2467 {
2468 if (((word >> 30) & 0x1) == 0)
2469 {
2470 /* 33222222222211111111110000000000
2471 10987654321098765432109876543210
2472 xxxxxxxxxxxxxxxxxxxxx0xx0101000x
2473 and. */
2474 return 945;
2475 }
2476 else
2477 {
2478 /* 33222222222211111111110000000000
2479 10987654321098765432109876543210
2480 xxxxxxxxxxxxxxxxxxxxx0xx0101001x
2481 eor. */
2482 return 952;
2483 }
2484 }
2485 else
2486 {
2487 if (((word >> 30) & 0x1) == 0)
2488 {
2489 /* 33222222222211111111110000000000
2490 10987654321098765432109876543210
2491 xxxxxxxxxxxxxxxxxxxxx0xx0101010x
2492 orr. */
2493 return 947;
2494 }
2495 else
2496 {
2497 /* 33222222222211111111110000000000
2498 10987654321098765432109876543210
2499 xxxxxxxxxxxxxxxxxxxxx0xx0101011x
2500 ands. */
2501 return 954;
2502 }
2503 }
2504 }
2505 else
2506 {
2507 if (((word >> 10) & 0x1) == 0)
2508 {
2509 if (((word >> 11) & 0x1) == 0)
2510 {
2511 if (((word >> 22) & 0x1) == 0)
2512 {
2513 if (((word >> 23) & 0x1) == 0)
2514 {
2515 if (((word >> 29) & 0x1) == 0)
2516 {
2517 if (((word >> 30) & 0x1) == 0)
2518 {
2519 /* 33222222222211111111110000000000
2520 10987654321098765432109876543210
2521 xxxxxxxxxx00xxxxxxxxx0000101100x
2522 adc. */
2523 return 0;
2524 }
2525 else
2526 {
2527 /* 33222222222211111111110000000000
2528 10987654321098765432109876543210
2529 xxxxxxxxxx00xxxxxxxxx0000101101x
2530 sbc. */
2531 return 2;
2532 }
2533 }
2534 else
2535 {
2536 if (((word >> 30) & 0x1) == 0)
2537 {
2538 /* 33222222222211111111110000000000
2539 10987654321098765432109876543210
2540 xxxxxxxxxx00xxxxxxxxx0000101110x
2541 adcs. */
2542 return 1;
2543 }
2544 else
2545 {
2546 /* 33222222222211111111110000000000
2547 10987654321098765432109876543210
2548 xxxxxxxxxx00xxxxxxxxx0000101111x
2549 sbcs. */
2550 return 4;
2551 }
2552 }
2553 }
2554 else
2555 {
2556 if (((word >> 30) & 0x1) == 0)
2557 {
2558 /* 33222222222211111111110000000000
2559 10987654321098765432109876543210
2560 xxxxxxxxxx00xxxxxxxxx00101011x0x
2561 csel. */
2562 return 641;
2563 }
2564 else
2565 {
2566 /* 33222222222211111111110000000000
2567 10987654321098765432109876543210
2568 xxxxxxxxxx00xxxxxxxxx00101011x1x
2569 csinv. */
2570 return 645;
2571 }
2572 }
2573 }
2574 else
2575 {
2576 if (((word >> 23) & 0x1) == 0)
2577 {
2578 if (((word >> 30) & 0x1) == 0)
2579 {
2580 /* 33222222222211111111110000000000
2581 10987654321098765432109876543210
2582 xxxxxxxxxx00xxxxxxxxx01001011x0x
2583 ccmn. */
2584 return 639;
2585 }
2586 else
2587 {
2588 /* 33222222222211111111110000000000
2589 10987654321098765432109876543210
2590 xxxxxxxxxx00xxxxxxxxx01001011x1x
2591 ccmp. */
2592 return 640;
2593 }
2594 }
2595 else
2596 {
2597 if (((word >> 12) & 0x1) == 0)
2598 {
2599 if (((word >> 13) & 0x1) == 0)
2600 {
2601 if (((word >> 14) & 0x1) == 0)
2602 {
2603 if (((word >> 16) & 0x1) == 0)
2604 {
2605 /* 33222222222211111111110000000000
2606 10987654321098765432109876543210
2607 xxxxxxxxxx00000x0xxxx01101011xxx
2608 rbit. */
2609 return 664;
2610 }
2611 else
2612 {
2613 /* 33222222222211111111110000000000
2614 10987654321098765432109876543210
2615 xxxxxxxxxx00000x1xxxx01101011xxx
2616 pacia. */
2617 return 672;
2618 }
2619 }
2620 else
2621 {
2622 if (((word >> 30) & 0x1) == 0)
2623 {
2624 /* 33222222222211111111110000000000
2625 10987654321098765432109876543210
2626 xxxxxxxxxx00001xxxxxx01101011x0x
2627 crc32b. */
2628 return 701;
2629 }
2630 else
2631 {
2632 /* 33222222222211111111110000000000
2633 10987654321098765432109876543210
2634 xxxxxxxxxx00001xxxxxx01101011x1x
2635 xpaci. */
2636 return 688;
2637 }
2638 }
2639 }
2640 else
2641 {
2642 if (((word >> 30) & 0x1) == 0)
2643 {
2644 /* 33222222222211111111110000000000
2645 10987654321098765432109876543210
2646 xxxxxxxxxx0001xxxxxxx01101011x0x
2647 lslv. */
2648 return 692;
2649 }
2650 else
2651 {
2652 /* 33222222222211111111110000000000
2653 10987654321098765432109876543210
2654 xxxxxxxxxx0001xxxxxxx01101011x1x
2655 paciza. */
2656 return 680;
2657 }
2658 }
2659 }
2660 else
2661 {
2662 if (((word >> 13) & 0x1) == 0)
2663 {
2664 if (((word >> 14) & 0x1) == 0)
2665 {
2666 if (((word >> 16) & 0x1) == 0)
2667 {
2668 /* 33222222222211111111110000000000
2669 10987654321098765432109876543210
2670 xxxxxxxxxx00100x0xxxx01101011xxx
2671 clz. */
2672 return 669;
2673 }
2674 else
2675 {
2676 /* 33222222222211111111110000000000
2677 10987654321098765432109876543210
2678 xxxxxxxxxx00100x1xxxx01101011xxx
2679 autia. */
2680 return 676;
2681 }
2682 }
2683 else
2684 {
2685 /* 33222222222211111111110000000000
2686 10987654321098765432109876543210
2687 xxxxxxxxxx00101xxxxxx01101011xxx
2688 crc32cb. */
2689 return 705;
2690 }
2691 }
2692 else
2693 {
2694 if (((word >> 30) & 0x1) == 0)
2695 {
2696 /* 33222222222211111111110000000000
2697 10987654321098765432109876543210
2698 xxxxxxxxxx0011xxxxxxx01101011x0x
2699 pacga. */
2700 return 700;
2701 }
2702 else
2703 {
2704 /* 33222222222211111111110000000000
2705 10987654321098765432109876543210
2706 xxxxxxxxxx0011xxxxxxx01101011x1x
2707 autiza. */
2708 return 684;
2709 }
2710 }
2711 }
2712 }
2713 }
2714 }
2715 else
2716 {
2717 if (((word >> 23) & 0x1) == 0)
2718 {
2719 if (((word >> 30) & 0x1) == 0)
2720 {
2721 /* 33222222222211111111110000000000
2722 10987654321098765432109876543210
2723 xxxxxxxxxx01xxxxxxxxx0x001011x0x
2724 ccmn. */
2725 return 637;
2726 }
2727 else
2728 {
2729 /* 33222222222211111111110000000000
2730 10987654321098765432109876543210
2731 xxxxxxxxxx01xxxxxxxxx0x001011x1x
2732 ccmp. */
2733 return 638;
2734 }
2735 }
2736 else
2737 {
2738 if (((word >> 12) & 0x1) == 0)
2739 {
2740 if (((word >> 13) & 0x1) == 0)
2741 {
2742 if (((word >> 14) & 0x1) == 0)
2743 {
2744 if (((word >> 30) & 0x1) == 0)
2745 {
2746 /* 33222222222211111111110000000000
2747 10987654321098765432109876543210
2748 xxxxxxxxxx01000xxxxxx0x101011x0x
2749 udiv. */
2750 return 690;
2751 }
2752 else
2753 {
2754 if (((word >> 16) & 0x1) == 0)
2755 {
2756 if (((word >> 31) & 0x1) == 0)
2757 {
2758 /* 33222222222211111111110000000000
2759 10987654321098765432109876543210
2760 xxxxxxxxxx01000x0xxxx0x101011x10
2761 rev. */
2762 return 666;
2763 }
2764 else
2765 {
2766 /* 33222222222211111111110000000000
2767 10987654321098765432109876543210
2768 xxxxxxxxxx01000x0xxxx0x101011x11
2769 rev32. */
2770 return 671;
2771 }
2772 }
2773 else
2774 {
2775 /* 33222222222211111111110000000000
2776 10987654321098765432109876543210
2777 xxxxxxxxxx01000x1xxxx0x101011x1x
2778 pacda. */
2779 return 674;
2780 }
2781 }
2782 }
2783 else
2784 {
2785 /* 33222222222211111111110000000000
2786 10987654321098765432109876543210
2787 xxxxxxxxxx01001xxxxxx0x101011xxx
2788 crc32w. */
2789 return 703;
2790 }
2791 }
2792 else
2793 {
2794 if (((word >> 30) & 0x1) == 0)
2795 {
2796 /* 33222222222211111111110000000000
2797 10987654321098765432109876543210
2798 xxxxxxxxxx0101xxxxxxx0x101011x0x
2799 asrv. */
2800 return 696;
2801 }
2802 else
2803 {
2804 /* 33222222222211111111110000000000
2805 10987654321098765432109876543210
2806 xxxxxxxxxx0101xxxxxxx0x101011x1x
2807 pacdza. */
2808 return 682;
2809 }
2810 }
2811 }
2812 else
2813 {
2814 if (((word >> 13) & 0x1) == 0)
2815 {
2816 if (((word >> 14) & 0x1) == 0)
2817 {
2818 /* 33222222222211111111110000000000
2819 10987654321098765432109876543210
2820 xxxxxxxxxx01100xxxxxx0x101011xxx
2821 autda. */
2822 return 678;
2823 }
2824 else
2825 {
2826 /* 33222222222211111111110000000000
2827 10987654321098765432109876543210
2828 xxxxxxxxxx01101xxxxxx0x101011xxx
2829 crc32cw. */
2830 return 707;
2831 }
2832 }
2833 else
2834 {
2835 /* 33222222222211111111110000000000
2836 10987654321098765432109876543210
2837 xxxxxxxxxx0111xxxxxxx0x101011xxx
2838 autdza. */
2839 return 686;
2840 }
2841 }
2842 }
2843 }
2844 }
2845 else
2846 {
2847 if (((word >> 11) & 0x1) == 0)
2848 {
2849 if (((word >> 22) & 0x1) == 0)
2850 {
2851 if (((word >> 30) & 0x1) == 0)
2852 {
2853 /* 33222222222211111111110000000000
2854 10987654321098765432109876543210
2855 xxxxxxxxxx10xxxxxxxxx00x01011x0x
2856 csinc. */
2857 return 642;
2858 }
2859 else
2860 {
2861 /* 33222222222211111111110000000000
2862 10987654321098765432109876543210
2863 xxxxxxxxxx10xxxxxxxxx00x01011x1x
2864 csneg. */
2865 return 648;
2866 }
2867 }
2868 else
2869 {
2870 if (((word >> 12) & 0x1) == 0)
2871 {
2872 if (((word >> 13) & 0x1) == 0)
2873 {
2874 if (((word >> 14) & 0x1) == 0)
2875 {
2876 if (((word >> 16) & 0x1) == 0)
2877 {
2878 /* 33222222222211111111110000000000
2879 10987654321098765432109876543210
2880 xxxxxxxxxx10000x0xxxx01x01011xxx
2881 rev16. */
2882 return 665;
2883 }
2884 else
2885 {
2886 /* 33222222222211111111110000000000
2887 10987654321098765432109876543210
2888 xxxxxxxxxx10000x1xxxx01x01011xxx
2889 pacib. */
2890 return 673;
2891 }
2892 }
2893 else
2894 {
2895 if (((word >> 30) & 0x1) == 0)
2896 {
2897 /* 33222222222211111111110000000000
2898 10987654321098765432109876543210
2899 xxxxxxxxxx10001xxxxxx01x01011x0x
2900 crc32h. */
2901 return 702;
2902 }
2903 else
2904 {
2905 /* 33222222222211111111110000000000
2906 10987654321098765432109876543210
2907 xxxxxxxxxx10001xxxxxx01x01011x1x
2908 xpacd. */
2909 return 689;
2910 }
2911 }
2912 }
2913 else
2914 {
2915 if (((word >> 30) & 0x1) == 0)
2916 {
2917 /* 33222222222211111111110000000000
2918 10987654321098765432109876543210
2919 xxxxxxxxxx1001xxxxxxx01x01011x0x
2920 lsrv. */
2921 return 694;
2922 }
2923 else
2924 {
2925 /* 33222222222211111111110000000000
2926 10987654321098765432109876543210
2927 xxxxxxxxxx1001xxxxxxx01x01011x1x
2928 pacizb. */
2929 return 681;
2930 }
2931 }
2932 }
2933 else
2934 {
2935 if (((word >> 13) & 0x1) == 0)
2936 {
2937 if (((word >> 14) & 0x1) == 0)
2938 {
2939 if (((word >> 16) & 0x1) == 0)
2940 {
2941 /* 33222222222211111111110000000000
2942 10987654321098765432109876543210
2943 xxxxxxxxxx10100x0xxxx01x01011xxx
2944 cls. */
2945 return 670;
2946 }
2947 else
2948 {
2949 /* 33222222222211111111110000000000
2950 10987654321098765432109876543210
2951 xxxxxxxxxx10100x1xxxx01x01011xxx
2952 autib. */
2953 return 677;
2954 }
2955 }
2956 else
2957 {
2958 /* 33222222222211111111110000000000
2959 10987654321098765432109876543210
2960 xxxxxxxxxx10101xxxxxx01x01011xxx
2961 crc32ch. */
2962 return 706;
2963 }
2964 }
2965 else
2966 {
2967 /* 33222222222211111111110000000000
2968 10987654321098765432109876543210
2969 xxxxxxxxxx1011xxxxxxx01x01011xxx
2970 autizb. */
2971 return 685;
2972 }
2973 }
2974 }
2975 }
2976 else
2977 {
2978 if (((word >> 12) & 0x1) == 0)
2979 {
2980 if (((word >> 13) & 0x1) == 0)
2981 {
2982 if (((word >> 14) & 0x1) == 0)
2983 {
2984 if (((word >> 30) & 0x1) == 0)
2985 {
2986 /* 33222222222211111111110000000000
2987 10987654321098765432109876543210
2988 xxxxxxxxxx11000xxxxxx0xx01011x0x
2989 sdiv. */
2990 return 691;
2991 }
2992 else
2993 {
2994 if (((word >> 16) & 0x1) == 0)
2995 {
2996 /* 33222222222211111111110000000000
2997 10987654321098765432109876543210
2998 xxxxxxxxxx11000x0xxxx0xx01011x1x
2999 rev. */
3000 return 667;
3001 }
3002 else
3003 {
3004 /* 33222222222211111111110000000000
3005 10987654321098765432109876543210
3006 xxxxxxxxxx11000x1xxxx0xx01011x1x
3007 pacdb. */
3008 return 675;
3009 }
3010 }
3011 }
3012 else
3013 {
3014 /* 33222222222211111111110000000000
3015 10987654321098765432109876543210
3016 xxxxxxxxxx11001xxxxxx0xx01011xxx
3017 crc32x. */
3018 return 704;
3019 }
3020 }
3021 else
3022 {
3023 if (((word >> 30) & 0x1) == 0)
3024 {
3025 /* 33222222222211111111110000000000
3026 10987654321098765432109876543210
3027 xxxxxxxxxx1101xxxxxxx0xx01011x0x
3028 rorv. */
3029 return 698;
3030 }
3031 else
3032 {
3033 /* 33222222222211111111110000000000
3034 10987654321098765432109876543210
3035 xxxxxxxxxx1101xxxxxxx0xx01011x1x
3036 pacdzb. */
3037 return 683;
3038 }
3039 }
3040 }
3041 else
3042 {
3043 if (((word >> 13) & 0x1) == 0)
3044 {
3045 if (((word >> 14) & 0x1) == 0)
3046 {
3047 /* 33222222222211111111110000000000
3048 10987654321098765432109876543210
3049 xxxxxxxxxx11100xxxxxx0xx01011xxx
3050 autdb. */
3051 return 679;
3052 }
3053 else
3054 {
3055 /* 33222222222211111111110000000000
3056 10987654321098765432109876543210
3057 xxxxxxxxxx11101xxxxxx0xx01011xxx
3058 crc32cx. */
3059 return 708;
3060 }
3061 }
3062 else
3063 {
3064 /* 33222222222211111111110000000000
3065 10987654321098765432109876543210
3066 xxxxxxxxxx1111xxxxxxx0xx01011xxx
3067 autdzb. */
3068 return 687;
3069 }
3070 }
3071 }
3072 }
3073 }
3074 }
3075 else
3076 {
3077 if (((word >> 29) & 0x1) == 0)
3078 {
3079 if (((word >> 30) & 0x1) == 0)
3080 {
3081 /* 33222222222211111111110000000000
3082 10987654321098765432109876543210
3083 xxxxxxxxxxxxxxxxxxxxx1xx0101x00x
3084 bic. */
3085 return 946;
3086 }
3087 else
3088 {
3089 /* 33222222222211111111110000000000
3090 10987654321098765432109876543210
3091 xxxxxxxxxxxxxxxxxxxxx1xx0101x01x
3092 eon. */
3093 return 953;
3094 }
3095 }
3096 else
3097 {
3098 if (((word >> 30) & 0x1) == 0)
3099 {
3100 /* 33222222222211111111110000000000
3101 10987654321098765432109876543210
3102 xxxxxxxxxxxxxxxxxxxxx1xx0101x10x
3103 orn. */
3104 return 950;
3105 }
3106 else
3107 {
3108 /* 33222222222211111111110000000000
3109 10987654321098765432109876543210
3110 xxxxxxxxxxxxxxxxxxxxx1xx0101x11x
3111 bics. */
3112 return 956;
3113 }
3114 }
3115 }
3116 }
3117 }
3118 else
3119 {
3120 if (((word >> 27) & 0x1) == 0)
3121 {
3122 if (((word >> 23) & 0x1) == 0)
3123 {
3124 if (((word >> 29) & 0x1) == 0)
3125 {
3126 if (((word >> 30) & 0x1) == 0)
3127 {
3128 /* 33222222222211111111110000000000
3129 10987654321098765432109876543210
3130 xxxxxxxxxxxxxxxxxxxxxxx01100x00x
3131 sbfm. */
3132 return 609;
3133 }
3134 else
3135 {
3136 /* 33222222222211111111110000000000
3137 10987654321098765432109876543210
3138 xxxxxxxxxxxxxxxxxxxxxxx01100x01x
3139 ubfm. */
3140 return 620;
3141 }
3142 }
3143 else
3144 {
3145 /* 33222222222211111111110000000000
3146 10987654321098765432109876543210
3147 xxxxxxxxxxxxxxxxxxxxxxx01100x1xx
3148 bfm. */
3149 return 616;
3150 }
3151 }
3152 else
3153 {
3154 /* 33222222222211111111110000000000
3155 10987654321098765432109876543210
3156 xxxxxxxxxxxxxxxxxxxxxxx11100xxxx
3157 extr. */
3158 return 731;
3159 }
3160 }
3161 else
3162 {
3163 if (((word >> 21) & 0x1) == 0)
3164 {
3165 if (((word >> 28) & 0x1) == 0)
3166 {
3167 if (((word >> 29) & 0x1) == 0)
3168 {
3169 if (((word >> 30) & 0x1) == 0)
3170 {
3171 /* 33222222222211111111110000000000
3172 10987654321098765432109876543210
3173 xxxxxxxxxxxxxxxxxxxxx0xx1101000x
3174 add. */
3175 return 19;
3176 }
3177 else
3178 {
3179 /* 33222222222211111111110000000000
3180 10987654321098765432109876543210
3181 xxxxxxxxxxxxxxxxxxxxx0xx1101001x
3182 sub. */
3183 return 22;
3184 }
3185 }
3186 else
3187 {
3188 if (((word >> 30) & 0x1) == 0)
3189 {
3190 /* 33222222222211111111110000000000
3191 10987654321098765432109876543210
3192 xxxxxxxxxxxxxxxxxxxxx0xx1101010x
3193 adds. */
3194 return 20;
3195 }
3196 else
3197 {
3198 /* 33222222222211111111110000000000
3199 10987654321098765432109876543210
3200 xxxxxxxxxxxxxxxxxxxxx0xx1101011x
3201 subs. */
3202 return 24;
3203 }
3204 }
3205 }
3206 else
3207 {
3208 if (((word >> 15) & 0x1) == 0)
3209 {
3210 if (((word >> 22) & 0x1) == 0)
3211 {
3212 /* 33222222222211111111110000000000
3213 10987654321098765432109876543210
3214 xxxxxxxxxxxxxxx0xxxxx00x11011xxx
3215 madd. */
3216 return 709;
3217 }
3218 else
3219 {
3220 if (((word >> 23) & 0x1) == 0)
3221 {
3222 /* 33222222222211111111110000000000
3223 10987654321098765432109876543210
3224 xxxxxxxxxxxxxxx0xxxxx01011011xxx
3225 smulh. */
3226 return 717;
3227 }
3228 else
3229 {
3230 /* 33222222222211111111110000000000
3231 10987654321098765432109876543210
3232 xxxxxxxxxxxxxxx0xxxxx01111011xxx
3233 umulh. */
3234 return 722;
3235 }
3236 }
3237 }
3238 else
3239 {
3240 /* 33222222222211111111110000000000
3241 10987654321098765432109876543210
3242 xxxxxxxxxxxxxxx1xxxxx0xx11011xxx
3243 msub. */
3244 return 711;
3245 }
3246 }
3247 }
3248 else
3249 {
3250 if (((word >> 23) & 0x1) == 0)
3251 {
3252 if (((word >> 28) & 0x1) == 0)
3253 {
3254 if (((word >> 29) & 0x1) == 0)
3255 {
3256 if (((word >> 30) & 0x1) == 0)
3257 {
3258 /* 33222222222211111111110000000000
3259 10987654321098765432109876543210
3260 xxxxxxxxxxxxxxxxxxxxx1x01101000x
3261 add. */
3262 return 6;
3263 }
3264 else
3265 {
3266 /* 33222222222211111111110000000000
3267 10987654321098765432109876543210
3268 xxxxxxxxxxxxxxxxxxxxx1x01101001x
3269 sub. */
3270 return 9;
3271 }
3272 }
3273 else
3274 {
3275 if (((word >> 30) & 0x1) == 0)
3276 {
3277 /* 33222222222211111111110000000000
3278 10987654321098765432109876543210
3279 xxxxxxxxxxxxxxxxxxxxx1x01101010x
3280 adds. */
3281 return 7;
3282 }
3283 else
3284 {
3285 /* 33222222222211111111110000000000
3286 10987654321098765432109876543210
3287 xxxxxxxxxxxxxxxxxxxxx1x01101011x
3288 subs. */
3289 return 10;
3290 }
3291 }
3292 }
3293 else
3294 {
3295 if (((word >> 15) & 0x1) == 0)
3296 {
3297 /* 33222222222211111111110000000000
3298 10987654321098765432109876543210
3299 xxxxxxxxxxxxxxx0xxxxx1x011011xxx
3300 smaddl. */
3301 return 713;
3302 }
3303 else
3304 {
3305 /* 33222222222211111111110000000000
3306 10987654321098765432109876543210
3307 xxxxxxxxxxxxxxx1xxxxx1x011011xxx
3308 smsubl. */
3309 return 715;
3310 }
3311 }
3312 }
3313 else
3314 {
3315 if (((word >> 15) & 0x1) == 0)
3316 {
3317 /* 33222222222211111111110000000000
3318 10987654321098765432109876543210
3319 xxxxxxxxxxxxxxx0xxxxx1x11101xxxx
3320 umaddl. */
3321 return 718;
3322 }
3323 else
3324 {
3325 /* 33222222222211111111110000000000
3326 10987654321098765432109876543210
3327 xxxxxxxxxxxxxxx1xxxxx1x11101xxxx
3328 umsubl. */
3329 return 720;
3330 }
3331 }
3332 }
3333 }
3334 }
3335 }
3336 }
3337 else
3338 {
3339 if (((word >> 27) & 0x1) == 0)
3340 {
3341 if (((word >> 28) & 0x1) == 0)
3342 {
3343 if (((word >> 24) & 0x1) == 0)
3344 {
3345 if (((word >> 13) & 0x1) == 0)
3346 {
3347 if (((word >> 29) & 0x1) == 0)
3348 {
3349 if (((word >> 14) & 0x1) == 0)
3350 {
3351 if (((word >> 15) & 0x1) == 0)
3352 {
3353 if (((word >> 21) & 0x1) == 0)
3354 {
3355 if (((word >> 30) & 0x1) == 0)
3356 {
3357 if (((word >> 31) & 0x1) == 0)
3358 {
3359 if (((word >> 16) & 0x1) == 0)
3360 {
3361 if (((word >> 17) & 0x1) == 0)
3362 {
3363 if (((word >> 18) & 0x1) == 0)
3364 {
3365 if (((word >> 19) & 0x1) == 0)
3366 {
3367 if (((word >> 20) & 0x1) == 0)
3368 {
3369 /* 33222222222211111111110000000000
3370 10987654321098765432109876543210
3371 xxxxxxxxxxxxx000000000xx0x100000
3372 add. */
3373 return 1211;
3374 }
3375 else
3376 {
3377 /* 33222222222211111111110000000000
3378 10987654321098765432109876543210
3379 xxxxxxxxxxxxx000000010xx0x100000
3380 mul. */
3381 return 1637;
3382 }
3383 }
3384 else
3385 {
3386 if (((word >> 20) & 0x1) == 0)
3387 {
3388 /* 33222222222211111111110000000000
3389 10987654321098765432109876543210
3390 xxxxxxxxxxxxx000000100xx0x100000
3391 smax. */
3392 return 1710;
3393 }
3394 else
3395 {
3396 /* 33222222222211111111110000000000
3397 10987654321098765432109876543210
3398 xxxxxxxxxxxxx000000110xx0x100000
3399 orr. */
3400 return 1648;
3401 }
3402 }
3403 }
3404 else
3405 {
3406 if (((word >> 19) & 0x1) == 0)
3407 {
3408 /* 33222222222211111111110000000000
3409 10987654321098765432109876543210
3410 xxxxxxxxxxxxx0000010x0xx0x100000
3411 sdiv. */
3412 return 1704;
3413 }
3414 else
3415 {
3416 /* 33222222222211111111110000000000
3417 10987654321098765432109876543210
3418 xxxxxxxxxxxxx0000011x0xx0x100000
3419 sabd. */
3420 return 1698;
3421 }
3422 }
3423 }
3424 else
3425 {
3426 if (((word >> 18) & 0x1) == 0)
3427 {
3428 if (((word >> 19) & 0x1) == 0)
3429 {
3430 /* 33222222222211111111110000000000
3431 10987654321098765432109876543210
3432 xxxxxxxxxxxxx0000100x0xx0x100000
3433 smulh. */
3434 return 1715;
3435 }
3436 else
3437 {
3438 if (((word >> 20) & 0x1) == 0)
3439 {
3440 /* 33222222222211111111110000000000
3441 10987654321098765432109876543210
3442 xxxxxxxxxxxxx000010100xx0x100000
3443 smin. */
3444 return 1713;
3445 }
3446 else
3447 {
3448 /* 33222222222211111111110000000000
3449 10987654321098765432109876543210
3450 xxxxxxxxxxxxx000010110xx0x100000
3451 and. */
3452 return 1219;
3453 }
3454 }
3455 }
3456 else
3457 {
3458 /* 33222222222211111111110000000000
3459 10987654321098765432109876543210
3460 xxxxxxxxxxxxx000011xx0xx0x100000
3461 sdivr. */
3462 return 1705;
3463 }
3464 }
3465 }
3466 else
3467 {
3468 if (((word >> 17) & 0x1) == 0)
3469 {
3470 if (((word >> 18) & 0x1) == 0)
3471 {
3472 if (((word >> 19) & 0x1) == 0)
3473 {
3474 /* 33222222222211111111110000000000
3475 10987654321098765432109876543210
3476 xxxxxxxxxxxxx0001000x0xx0x100000
3477 sub. */
3478 return 1831;
3479 }
3480 else
3481 {
3482 if (((word >> 20) & 0x1) == 0)
3483 {
3484 /* 33222222222211111111110000000000
3485 10987654321098765432109876543210
3486 xxxxxxxxxxxxx000100100xx0x100000
3487 umax. */
3488 return 1853;
3489 }
3490 else
3491 {
3492 /* 33222222222211111111110000000000
3493 10987654321098765432109876543210
3494 xxxxxxxxxxxxx000100110xx0x100000
3495 eor. */
3496 return 1306;
3497 }
3498 }
3499 }
3500 else
3501 {
3502 if (((word >> 19) & 0x1) == 0)
3503 {
3504 /* 33222222222211111111110000000000
3505 10987654321098765432109876543210
3506 xxxxxxxxxxxxx0001010x0xx0x100000
3507 udiv. */
3508 return 1850;
3509 }
3510 else
3511 {
3512 /* 33222222222211111111110000000000
3513 10987654321098765432109876543210
3514 xxxxxxxxxxxxx0001011x0xx0x100000
3515 uabd. */
3516 return 1844;
3517 }
3518 }
3519 }
3520 else
3521 {
3522 if (((word >> 18) & 0x1) == 0)
3523 {
3524 if (((word >> 19) & 0x1) == 0)
3525 {
3526 if (((word >> 20) & 0x1) == 0)
3527 {
3528 /* 33222222222211111111110000000000
3529 10987654321098765432109876543210
3530 xxxxxxxxxxxxx000110000xx0x100000
3531 subr. */
3532 return 1833;
3533 }
3534 else
3535 {
3536 /* 33222222222211111111110000000000
3537 10987654321098765432109876543210
3538 xxxxxxxxxxxxx000110010xx0x100000
3539 umulh. */
3540 return 1858;
3541 }
3542 }
3543 else
3544 {
3545 if (((word >> 20) & 0x1) == 0)
3546 {
3547 /* 33222222222211111111110000000000
3548 10987654321098765432109876543210
3549 xxxxxxxxxxxxx000110100xx0x100000
3550 umin. */
3551 return 1856;
3552 }
3553 else
3554 {
3555 /* 33222222222211111111110000000000
3556 10987654321098765432109876543210
3557 xxxxxxxxxxxxx000110110xx0x100000
3558 bic. */
3559 return 1231;
3560 }
3561 }
3562 }
3563 else
3564 {
3565 /* 33222222222211111111110000000000
3566 10987654321098765432109876543210
3567 xxxxxxxxxxxxx000111xx0xx0x100000
3568 udivr. */
3569 return 1851;
3570 }
3571 }
3572 }
3573 }
3574 else
3575 {
3576 if (((word >> 23) & 0x1) == 0)
3577 {
3578 /* 33222222222211111111110000000000
3579 10987654321098765432109876543210
3580 xxxxxxxxxxxxx000xxxxx0x00x100001
3581 ld1sb. */
3582 return 1466;
3583 }
3584 else
3585 {
3586 /* 33222222222211111111110000000000
3587 10987654321098765432109876543210
3588 xxxxxxxxxxxxx000xxxxx0x10x100001
3589 ld1sh. */
3590 return 1477;
3591 }
3592 }
3593 }
3594 else
3595 {
3596 if (((word >> 23) & 0x1) == 0)
3597 {
3598 /* 33222222222211111111110000000000
3599 10987654321098765432109876543210
3600 xxxxxxxxxxxxx000xxxxx0x00x10001x
3601 ld1sb. */
3602 return 1470;
3603 }
3604 else
3605 {
3606 /* 33222222222211111111110000000000
3607 10987654321098765432109876543210
3608 xxxxxxxxxxxxx000xxxxx0x10x10001x
3609 ld1sh. */
3610 return 1481;
3611 }
3612 }
3613 }
3614 else
3615 {
3616 if (((word >> 30) & 0x1) == 0)
3617 {
3618 if (((word >> 31) & 0x1) == 0)
3619 {
3620 if (((word >> 10) & 0x1) == 0)
3621 {
3622 if (((word >> 11) & 0x1) == 0)
3623 {
3624 if (((word >> 12) & 0x1) == 0)
3625 {
3626 /* 33222222222211111111110000000000
3627 10987654321098765432109876543210
3628 xxxxxxxxxx000000xxxxx1xx0x100000
3629 add. */
3630 return 1209;
3631 }
3632 else
3633 {
3634 /* 33222222222211111111110000000000
3635 10987654321098765432109876543210
3636 xxxxxxxxxx001000xxxxx1xx0x100000
3637 sqadd. */
3638 return 1717;
3639 }
3640 }
3641 else
3642 {
3643 /* 33222222222211111111110000000000
3644 10987654321098765432109876543210
3645 xxxxxxxxxx01x000xxxxx1xx0x100000
3646 sqsub. */
3647 return 1747;
3648 }
3649 }
3650 else
3651 {
3652 if (((word >> 11) & 0x1) == 0)
3653 {
3654 if (((word >> 12) & 0x1) == 0)
3655 {
3656 /* 33222222222211111111110000000000
3657 10987654321098765432109876543210
3658 xxxxxxxxxx100000xxxxx1xx0x100000
3659 sub. */
3660 return 1829;
3661 }
3662 else
3663 {
3664 /* 33222222222211111111110000000000
3665 10987654321098765432109876543210
3666 xxxxxxxxxx101000xxxxx1xx0x100000
3667 uqadd. */
3668 return 1859;
3669 }
3670 }
3671 else
3672 {
3673 /* 33222222222211111111110000000000
3674 10987654321098765432109876543210
3675 xxxxxxxxxx11x000xxxxx1xx0x100000
3676 uqsub. */
3677 return 1889;
3678 }
3679 }
3680 }
3681 else
3682 {
3683 if (((word >> 23) & 0x1) == 0)
3684 {
3685 /* 33222222222211111111110000000000
3686 10987654321098765432109876543210
3687 xxxxxxxxxxxxx000xxxxx1x00x100001
3688 prfb. */
3689 return 1656;
3690 }
3691 else
3692 {
3693 /* 33222222222211111111110000000000
3694 10987654321098765432109876543210
3695 xxxxxxxxxxxxx000xxxxx1x10x100001
3696 ld1sh. */
3697 return 1478;
3698 }
3699 }
3700 }
3701 else
3702 {
3703 if (((word >> 23) & 0x1) == 0)
3704 {
3705 /* 33222222222211111111110000000000
3706 10987654321098765432109876543210
3707 xxxxxxxxxxxxx000xxxxx1x00x10001x
3708 prfb. */
3709 return 1657;
3710 }
3711 else
3712 {
3713 /* 33222222222211111111110000000000
3714 10987654321098765432109876543210
3715 xxxxxxxxxxxxx000xxxxx1x10x10001x
3716 ld1sh. */
3717 return 1482;
3718 }
3719 }
3720 }
3721 }
3722 else
3723 {
3724 if (((word >> 30) & 0x1) == 0)
3725 {
3726 if (((word >> 31) & 0x1) == 0)
3727 {
3728 if (((word >> 21) & 0x1) == 0)
3729 {
3730 if (((word >> 16) & 0x1) == 0)
3731 {
3732 if (((word >> 18) & 0x1) == 0)
3733 {
3734 if (((word >> 19) & 0x1) == 0)
3735 {
3736 if (((word >> 20) & 0x1) == 0)
3737 {
3738 /* 33222222222211111111110000000000
3739 10987654321098765432109876543210
3740 xxxxxxxxxxxxx0010x0000xx0x100000
3741 asr. */
3742 return 1227;
3743 }
3744 else
3745 {
3746 /* 33222222222211111111110000000000
3747 10987654321098765432109876543210
3748 xxxxxxxxxxxxx0010x0010xx0x100000
3749 asr. */
3750 return 1225;
3751 }
3752 }
3753 else
3754 {
3755 /* 33222222222211111111110000000000
3756 10987654321098765432109876543210
3757 xxxxxxxxxxxxx0010x01x0xx0x100000
3758 asr. */
3759 return 1226;
3760 }
3761 }
3762 else
3763 {
3764 if (((word >> 20) & 0x1) == 0)
3765 {
3766 /* 33222222222211111111110000000000
3767 10987654321098765432109876543210
3768 xxxxxxxxxxxxx0010x1x00xx0x100000
3769 asrd. */
3770 return 1228;
3771 }
3772 else
3773 {
3774 /* 33222222222211111111110000000000
3775 10987654321098765432109876543210
3776 xxxxxxxxxxxxx0010x1x10xx0x100000
3777 asrr. */
3778 return 1229;
3779 }
3780 }
3781 }
3782 else
3783 {
3784 if (((word >> 17) & 0x1) == 0)
3785 {
3786 if (((word >> 18) & 0x1) == 0)
3787 {
3788 if (((word >> 19) & 0x1) == 0)
3789 {
3790 if (((word >> 20) & 0x1) == 0)
3791 {
3792 /* 33222222222211111111110000000000
3793 10987654321098765432109876543210
3794 xxxxxxxxxxxxx001100000xx0x100000
3795 lsr. */
3796 return 1628;
3797 }
3798 else
3799 {
3800 /* 33222222222211111111110000000000
3801 10987654321098765432109876543210
3802 xxxxxxxxxxxxx001100010xx0x100000
3803 lsr. */
3804 return 1626;
3805 }
3806 }
3807 else
3808 {
3809 /* 33222222222211111111110000000000
3810 10987654321098765432109876543210
3811 xxxxxxxxxxxxx0011001x0xx0x100000
3812 lsr. */
3813 return 1627;
3814 }
3815 }
3816 else
3817 {
3818 /* 33222222222211111111110000000000
3819 10987654321098765432109876543210
3820 xxxxxxxxxxxxx001101xx0xx0x100000
3821 lsrr. */
3822 return 1629;
3823 }
3824 }
3825 else
3826 {
3827 if (((word >> 18) & 0x1) == 0)
3828 {
3829 if (((word >> 19) & 0x1) == 0)
3830 {
3831 if (((word >> 20) & 0x1) == 0)
3832 {
3833 /* 33222222222211111111110000000000
3834 10987654321098765432109876543210
3835 xxxxxxxxxxxxx001110000xx0x100000
3836 lsl. */
3837 return 1622;
3838 }
3839 else
3840 {
3841 /* 33222222222211111111110000000000
3842 10987654321098765432109876543210
3843 xxxxxxxxxxxxx001110010xx0x100000
3844 lsl. */
3845 return 1620;
3846 }
3847 }
3848 else
3849 {
3850 /* 33222222222211111111110000000000
3851 10987654321098765432109876543210
3852 xxxxxxxxxxxxx0011101x0xx0x100000
3853 lsl. */
3854 return 1621;
3855 }
3856 }
3857 else
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
3861 xxxxxxxxxxxxx001111xx0xx0x100000
3862 lslr. */
3863 return 1623;
3864 }
3865 }
3866 }
3867 }
3868 else
3869 {
3870 if (((word >> 10) & 0x1) == 0)
3871 {
3872 if (((word >> 12) & 0x1) == 0)
3873 {
3874 /* 33222222222211111111110000000000
3875 10987654321098765432109876543210
3876 xxxxxxxxxx0x0001xxxxx1xx0x100000
3877 asr. */
3878 return 1223;
3879 }
3880 else
3881 {
3882 /* 33222222222211111111110000000000
3883 10987654321098765432109876543210
3884 xxxxxxxxxx0x1001xxxxx1xx0x100000
3885 asr. */
3886 return 1224;
3887 }
3888 }
3889 else
3890 {
3891 if (((word >> 11) & 0x1) == 0)
3892 {
3893 if (((word >> 12) & 0x1) == 0)
3894 {
3895 /* 33222222222211111111110000000000
3896 10987654321098765432109876543210
3897 xxxxxxxxxx100001xxxxx1xx0x100000
3898 lsr. */
3899 return 1624;
3900 }
3901 else
3902 {
3903 /* 33222222222211111111110000000000
3904 10987654321098765432109876543210
3905 xxxxxxxxxx101001xxxxx1xx0x100000
3906 lsr. */
3907 return 1625;
3908 }
3909 }
3910 else
3911 {
3912 if (((word >> 12) & 0x1) == 0)
3913 {
3914 /* 33222222222211111111110000000000
3915 10987654321098765432109876543210
3916 xxxxxxxxxx110001xxxxx1xx0x100000
3917 lsl. */
3918 return 1618;
3919 }
3920 else
3921 {
3922 /* 33222222222211111111110000000000
3923 10987654321098765432109876543210
3924 xxxxxxxxxx111001xxxxx1xx0x100000
3925 lsl. */
3926 return 1619;
3927 }
3928 }
3929 }
3930 }
3931 }
3932 else
3933 {
3934 if (((word >> 22) & 0x1) == 0)
3935 {
3936 if (((word >> 23) & 0x1) == 0)
3937 {
3938 /* 33222222222211111111110000000000
3939 10987654321098765432109876543210
3940 xxxxxxxxxxxxx001xxxxxx000x100001
3941 ld1sb. */
3942 return 1472;
3943 }
3944 else
3945 {
3946 /* 33222222222211111111110000000000
3947 10987654321098765432109876543210
3948 xxxxxxxxxxxxx001xxxxxx010x100001
3949 ld1sh. */
3950 return 1485;
3951 }
3952 }
3953 else
3954 {
3955 if (((word >> 23) & 0x1) == 0)
3956 {
3957 /* 33222222222211111111110000000000
3958 10987654321098765432109876543210
3959 xxxxxxxxxxxxx001xxxxxx100x100001
3960 ld1rb. */
3961 return 1450;
3962 }
3963 else
3964 {
3965 /* 33222222222211111111110000000000
3966 10987654321098765432109876543210
3967 xxxxxxxxxxxxx001xxxxxx110x100001
3968 ld1rsw. */
3969 return 1463;
3970 }
3971 }
3972 }
3973 }
3974 else
3975 {
3976 if (((word >> 21) & 0x1) == 0)
3977 {
3978 if (((word >> 23) & 0x1) == 0)
3979 {
3980 /* 33222222222211111111110000000000
3981 10987654321098765432109876543210
3982 xxxxxxxxxxxxx001xxxxx0x00x10001x
3983 ld1sb. */
3984 return 1471;
3985 }
3986 else
3987 {
3988 /* 33222222222211111111110000000000
3989 10987654321098765432109876543210
3990 xxxxxxxxxxxxx001xxxxx0x10x10001x
3991 ld1sh. */
3992 return 1483;
3993 }
3994 }
3995 else
3996 {
3997 if (((word >> 22) & 0x1) == 0)
3998 {
3999 if (((word >> 23) & 0x1) == 0)
4000 {
4001 /* 33222222222211111111110000000000
4002 10987654321098765432109876543210
4003 xxxxxxxxxxxxx001xxxxx1000x10001x
4004 ld1sb. */
4005 return 1476;
4006 }
4007 else
4008 {
4009 /* 33222222222211111111110000000000
4010 10987654321098765432109876543210
4011 xxxxxxxxxxxxx001xxxxx1010x10001x
4012 ld1sh. */
4013 return 1488;
4014 }
4015 }
4016 else
4017 {
4018 if (((word >> 23) & 0x1) == 0)
4019 {
4020 /* 33222222222211111111110000000000
4021 10987654321098765432109876543210
4022 xxxxxxxxxxxxx001xxxxx1100x10001x
4023 prfb. */
4024 return 1658;
4025 }
4026 else
4027 {
4028 /* 33222222222211111111110000000000
4029 10987654321098765432109876543210
4030 xxxxxxxxxxxxx001xxxxx1110x10001x
4031 ld1sh. */
4032 return 1484;
4033 }
4034 }
4035 }
4036 }
4037 }
4038 }
4039 else
4040 {
4041 if (((word >> 15) & 0x1) == 0)
4042 {
4043 if (((word >> 21) & 0x1) == 0)
4044 {
4045 if (((word >> 30) & 0x1) == 0)
4046 {
4047 if (((word >> 31) & 0x1) == 0)
4048 {
4049 /* 33222222222211111111110000000000
4050 10987654321098765432109876543210
4051 xxxxxxxxxxxxx010xxxxx0xx0x100000
4052 mla. */
4053 return 1631;
4054 }
4055 else
4056 {
4057 if (((word >> 23) & 0x1) == 0)
4058 {
4059 /* 33222222222211111111110000000000
4060 10987654321098765432109876543210
4061 xxxxxxxxxxxxx010xxxxx0x00x100001
4062 ld1b. */
4063 return 1416;
4064 }
4065 else
4066 {
4067 /* 33222222222211111111110000000000
4068 10987654321098765432109876543210
4069 xxxxxxxxxxxxx010xxxxx0x10x100001
4070 ld1h. */
4071 return 1436;
4072 }
4073 }
4074 }
4075 else
4076 {
4077 if (((word >> 23) & 0x1) == 0)
4078 {
4079 /* 33222222222211111111110000000000
4080 10987654321098765432109876543210
4081 xxxxxxxxxxxxx010xxxxx0x00x10001x
4082 ld1b. */
4083 return 1421;
4084 }
4085 else
4086 {
4087 /* 33222222222211111111110000000000
4088 10987654321098765432109876543210
4089 xxxxxxxxxxxxx010xxxxx0x10x10001x
4090 ld1h. */
4091 return 1441;
4092 }
4093 }
4094 }
4095 else
4096 {
4097 if (((word >> 30) & 0x1) == 0)
4098 {
4099 if (((word >> 31) & 0x1) == 0)
4100 {
4101 if (((word >> 11) & 0x1) == 0)
4102 {
4103 if (((word >> 12) & 0x1) == 0)
4104 {
4105 if (((word >> 10) & 0x1) == 0)
4106 {
4107 /* 33222222222211111111110000000000
4108 10987654321098765432109876543210
4109 xxxxxxxxxx000010xxxxx1xx0x100000
4110 index. */
4111 return 1407;
4112 }
4113 else
4114 {
4115 /* 33222222222211111111110000000000
4116 10987654321098765432109876543210
4117 xxxxxxxxxx100010xxxxx1xx0x100000
4118 index. */
4119 return 1408;
4120 }
4121 }
4122 else
4123 {
4124 if (((word >> 22) & 0x1) == 0)
4125 {
4126 if (((word >> 23) & 0x1) == 0)
4127 {
4128 /* 33222222222211111111110000000000
4129 10987654321098765432109876543210
4130 xxxxxxxxxxx01010xxxxx1000x100000
4131 addvl. */
4132 return 1213;
4133 }
4134 else
4135 {
4136 /* 33222222222211111111110000000000
4137 10987654321098765432109876543210
4138 xxxxxxxxxxx01010xxxxx1010x100000
4139 rdvl. */
4140 return 1692;
4141 }
4142 }
4143 else
4144 {
4145 /* 33222222222211111111110000000000
4146 10987654321098765432109876543210
4147 xxxxxxxxxxx01010xxxxx11x0x100000
4148 addpl. */
4149 return 1212;
4150 }
4151 }
4152 }
4153 else
4154 {
4155 if (((word >> 10) & 0x1) == 0)
4156 {
4157 /* 33222222222211111111110000000000
4158 10987654321098765432109876543210
4159 xxxxxxxxxx01x010xxxxx1xx0x100000
4160 index. */
4161 return 1409;
4162 }
4163 else
4164 {
4165 /* 33222222222211111111110000000000
4166 10987654321098765432109876543210
4167 xxxxxxxxxx11x010xxxxx1xx0x100000
4168 index. */
4169 return 1406;
4170 }
4171 }
4172 }
4173 else
4174 {
4175 if (((word >> 23) & 0x1) == 0)
4176 {
4177 /* 33222222222211111111110000000000
4178 10987654321098765432109876543210
4179 xxxxxxxxxxxxx010xxxxx1x00x100001
4180 prfw. */
4181 return 1676;
4182 }
4183 else
4184 {
4185 /* 33222222222211111111110000000000
4186 10987654321098765432109876543210
4187 xxxxxxxxxxxxx010xxxxx1x10x100001
4188 ld1h. */
4189 return 1437;
4190 }
4191 }
4192 }
4193 else
4194 {
4195 if (((word >> 23) & 0x1) == 0)
4196 {
4197 /* 33222222222211111111110000000000
4198 10987654321098765432109876543210
4199 xxxxxxxxxxxxx010xxxxx1x00x10001x
4200 prfw. */
4201 return 1678;
4202 }
4203 else
4204 {
4205 /* 33222222222211111111110000000000
4206 10987654321098765432109876543210
4207 xxxxxxxxxxxxx010xxxxx1x10x10001x
4208 ld1h. */
4209 return 1442;
4210 }
4211 }
4212 }
4213 }
4214 else
4215 {
4216 if (((word >> 30) & 0x1) == 0)
4217 {
4218 if (((word >> 31) & 0x1) == 0)
4219 {
4220 if (((word >> 21) & 0x1) == 0)
4221 {
4222 /* 33222222222211111111110000000000
4223 10987654321098765432109876543210
4224 xxxxxxxxxxxxx011xxxxx0xx0x100000
4225 mad. */
4226 return 1630;
4227 }
4228 else
4229 {
4230 if (((word >> 10) & 0x1) == 0)
4231 {
4232 if (((word >> 11) & 0x1) == 0)
4233 {
4234 if (((word >> 20) & 0x1) == 0)
4235 {
4236 if (((word >> 22) & 0x1) == 0)
4237 {
4238 /* 33222222222211111111110000000000
4239 10987654321098765432109876543210
4240 xxxxxxxxxx00x011xxxx010x0x100000
4241 sqincw. */
4242 return 1744;
4243 }
4244 else
4245 {
4246 if (((word >> 23) & 0x1) == 0)
4247 {
4248 /* 33222222222211111111110000000000
4249 10987654321098765432109876543210
4250 xxxxxxxxxx00x011xxxx01100x100000
4251 sqinch. */
4252 return 1738;
4253 }
4254 else
4255 {
4256 /* 33222222222211111111110000000000
4257 10987654321098765432109876543210
4258 xxxxxxxxxx00x011xxxx01110x100000
4259 sqincd. */
4260 return 1735;
4261 }
4262 }
4263 }
4264 else
4265 {
4266 if (((word >> 22) & 0x1) == 0)
4267 {
4268 /* 33222222222211111111110000000000
4269 10987654321098765432109876543210
4270 xxxxxxxxxx00x011xxxx110x0x100000
4271 incw. */
4272 return 1404;
4273 }
4274 else
4275 {
4276 if (((word >> 23) & 0x1) == 0)
4277 {
4278 /* 33222222222211111111110000000000
4279 10987654321098765432109876543210
4280 xxxxxxxxxx00x011xxxx11100x100000
4281 inch. */
4282 return 1400;
4283 }
4284 else
4285 {
4286 /* 33222222222211111111110000000000
4287 10987654321098765432109876543210
4288 xxxxxxxxxx00x011xxxx11110x100000
4289 incd. */
4290 return 1398;
4291 }
4292 }
4293 }
4294 }
4295 else
4296 {
4297 if (((word >> 22) & 0x1) == 0)
4298 {
4299 /* 33222222222211111111110000000000
4300 10987654321098765432109876543210
4301 xxxxxxxxxx01x011xxxxx10x0x100000
4302 sqdecw. */
4303 return 1730;
4304 }
4305 else
4306 {
4307 if (((word >> 23) & 0x1) == 0)
4308 {
4309 /* 33222222222211111111110000000000
4310 10987654321098765432109876543210
4311 xxxxxxxxxx01x011xxxxx1100x100000
4312 sqdech. */
4313 return 1724;
4314 }
4315 else
4316 {
4317 /* 33222222222211111111110000000000
4318 10987654321098765432109876543210
4319 xxxxxxxxxx01x011xxxxx1110x100000
4320 sqdecd. */
4321 return 1721;
4322 }
4323 }
4324 }
4325 }
4326 else
4327 {
4328 if (((word >> 11) & 0x1) == 0)
4329 {
4330 if (((word >> 20) & 0x1) == 0)
4331 {
4332 if (((word >> 22) & 0x1) == 0)
4333 {
4334 /* 33222222222211111111110000000000
4335 10987654321098765432109876543210
4336 xxxxxxxxxx10x011xxxx010x0x100000
4337 uqincw. */
4338 return 1886;
4339 }
4340 else
4341 {
4342 if (((word >> 23) & 0x1) == 0)
4343 {
4344 /* 33222222222211111111110000000000
4345 10987654321098765432109876543210
4346 xxxxxxxxxx10x011xxxx01100x100000
4347 uqinch. */
4348 return 1880;
4349 }
4350 else
4351 {
4352 /* 33222222222211111111110000000000
4353 10987654321098765432109876543210
4354 xxxxxxxxxx10x011xxxx01110x100000
4355 uqincd. */
4356 return 1877;
4357 }
4358 }
4359 }
4360 else
4361 {
4362 if (((word >> 22) & 0x1) == 0)
4363 {
4364 /* 33222222222211111111110000000000
4365 10987654321098765432109876543210
4366 xxxxxxxxxx10x011xxxx110x0x100000
4367 decw. */
4368 return 1298;
4369 }
4370 else
4371 {
4372 if (((word >> 23) & 0x1) == 0)
4373 {
4374 /* 33222222222211111111110000000000
4375 10987654321098765432109876543210
4376 xxxxxxxxxx10x011xxxx11100x100000
4377 dech. */
4378 return 1294;
4379 }
4380 else
4381 {
4382 /* 33222222222211111111110000000000
4383 10987654321098765432109876543210
4384 xxxxxxxxxx10x011xxxx11110x100000
4385 decd. */
4386 return 1292;
4387 }
4388 }
4389 }
4390 }
4391 else
4392 {
4393 if (((word >> 22) & 0x1) == 0)
4394 {
4395 /* 33222222222211111111110000000000
4396 10987654321098765432109876543210
4397 xxxxxxxxxx11x011xxxxx10x0x100000
4398 uqdecw. */
4399 return 1872;
4400 }
4401 else
4402 {
4403 if (((word >> 23) & 0x1) == 0)
4404 {
4405 /* 33222222222211111111110000000000
4406 10987654321098765432109876543210
4407 xxxxxxxxxx11x011xxxxx1100x100000
4408 uqdech. */
4409 return 1866;
4410 }
4411 else
4412 {
4413 /* 33222222222211111111110000000000
4414 10987654321098765432109876543210
4415 xxxxxxxxxx11x011xxxxx1110x100000
4416 uqdecd. */
4417 return 1863;
4418 }
4419 }
4420 }
4421 }
4422 }
4423 }
4424 else
4425 {
4426 if (((word >> 22) & 0x1) == 0)
4427 {
4428 if (((word >> 21) & 0x1) == 0)
4429 {
4430 if (((word >> 23) & 0x1) == 0)
4431 {
4432 /* 33222222222211111111110000000000
4433 10987654321098765432109876543210
4434 xxxxxxxxxxxxx011xxxxx0000x100001
4435 prfb. */
4436 return 1655;
4437 }
4438 else
4439 {
4440 /* 33222222222211111111110000000000
4441 10987654321098765432109876543210
4442 xxxxxxxxxxxxx011xxxxx0010x100001
4443 prfh. */
4444 return 1670;
4445 }
4446 }
4447 else
4448 {
4449 if (((word >> 23) & 0x1) == 0)
4450 {
4451 /* 33222222222211111111110000000000
4452 10987654321098765432109876543210
4453 xxxxxxxxxxxxx011xxxxx1000x100001
4454 ld1b. */
4455 return 1423;
4456 }
4457 else
4458 {
4459 /* 33222222222211111111110000000000
4460 10987654321098765432109876543210
4461 xxxxxxxxxxxxx011xxxxx1010x100001
4462 ld1h. */
4463 return 1445;
4464 }
4465 }
4466 }
4467 else
4468 {
4469 if (((word >> 23) & 0x1) == 0)
4470 {
4471 /* 33222222222211111111110000000000
4472 10987654321098765432109876543210
4473 xxxxxxxxxxxxx011xxxxxx100x100001
4474 ld1rb. */
4475 return 1452;
4476 }
4477 else
4478 {
4479 /* 33222222222211111111110000000000
4480 10987654321098765432109876543210
4481 xxxxxxxxxxxxx011xxxxxx110x100001
4482 ld1rh. */
4483 return 1456;
4484 }
4485 }
4486 }
4487 }
4488 else
4489 {
4490 if (((word >> 21) & 0x1) == 0)
4491 {
4492 if (((word >> 23) & 0x1) == 0)
4493 {
4494 /* 33222222222211111111110000000000
4495 10987654321098765432109876543210
4496 xxxxxxxxxxxxx011xxxxx0x00x10001x
4497 ld1b. */
4498 return 1422;
4499 }
4500 else
4501 {
4502 /* 33222222222211111111110000000000
4503 10987654321098765432109876543210
4504 xxxxxxxxxxxxx011xxxxx0x10x10001x
4505 ld1h. */
4506 return 1443;
4507 }
4508 }
4509 else
4510 {
4511 if (((word >> 22) & 0x1) == 0)
4512 {
4513 if (((word >> 23) & 0x1) == 0)
4514 {
4515 /* 33222222222211111111110000000000
4516 10987654321098765432109876543210
4517 xxxxxxxxxxxxx011xxxxx1000x10001x
4518 ld1b. */
4519 return 1428;
4520 }
4521 else
4522 {
4523 /* 33222222222211111111110000000000
4524 10987654321098765432109876543210
4525 xxxxxxxxxxxxx011xxxxx1010x10001x
4526 ld1h. */
4527 return 1449;
4528 }
4529 }
4530 else
4531 {
4532 if (((word >> 23) & 0x1) == 0)
4533 {
4534 /* 33222222222211111111110000000000
4535 10987654321098765432109876543210
4536 xxxxxxxxxxxxx011xxxxx1100x10001x
4537 prfw. */
4538 return 1679;
4539 }
4540 else
4541 {
4542 /* 33222222222211111111110000000000
4543 10987654321098765432109876543210
4544 xxxxxxxxxxxxx011xxxxx1110x10001x
4545 ld1h. */
4546 return 1444;
4547 }
4548 }
4549 }
4550 }
4551 }
4552 }
4553 }
4554 else
4555 {
4556 if (((word >> 21) & 0x1) == 0)
4557 {
4558 if (((word >> 15) & 0x1) == 0)
4559 {
4560 if (((word >> 14) & 0x1) == 0)
4561 {
4562 if (((word >> 4) & 0x1) == 0)
4563 {
4564 /* 33222222222211111111110000000000
4565 10987654321098765432109876543210
4566 xxxx0xxxxxxxx000xxxxx0xx0x1001xx
4567 cmphs. */
4568 return 1264;
4569 }
4570 else
4571 {
4572 /* 33222222222211111111110000000000
4573 10987654321098765432109876543210
4574 xxxx1xxxxxxxx000xxxxx0xx0x1001xx
4575 cmphi. */
4576 return 1261;
4577 }
4578 }
4579 else
4580 {
4581 if (((word >> 30) & 0x1) == 0)
4582 {
4583 if (((word >> 31) & 0x1) == 0)
4584 {
4585 if (((word >> 4) & 0x1) == 0)
4586 {
4587 /* 33222222222211111111110000000000
4588 10987654321098765432109876543210
4589 xxxx0xxxxxxxx010xxxxx0xx0x100100
4590 cmpge. */
4591 return 1255;
4592 }
4593 else
4594 {
4595 /* 33222222222211111111110000000000
4596 10987654321098765432109876543210
4597 xxxx1xxxxxxxx010xxxxx0xx0x100100
4598 cmpgt. */
4599 return 1258;
4600 }
4601 }
4602 else
4603 {
4604 if (((word >> 22) & 0x1) == 0)
4605 {
4606 if (((word >> 23) & 0x1) == 0)
4607 {
4608 /* 33222222222211111111110000000000
4609 10987654321098765432109876543210
4610 xxxxxxxxxxxxx010xxxxx0000x100101
4611 ld1b. */
4612 return 1417;
4613 }
4614 else
4615 {
4616 /* 33222222222211111111110000000000
4617 10987654321098765432109876543210
4618 xxxxxxxxxxxxx010xxxxx0010x100101
4619 ld1sw. */
4620 return 1489;
4621 }
4622 }
4623 else
4624 {
4625 if (((word >> 23) & 0x1) == 0)
4626 {
4627 /* 33222222222211111111110000000000
4628 10987654321098765432109876543210
4629 xxxxxxxxxxxxx010xxxxx0100x100101
4630 ld1b. */
4631 return 1419;
4632 }
4633 else
4634 {
4635 /* 33222222222211111111110000000000
4636 10987654321098765432109876543210
4637 xxxxxxxxxxxxx010xxxxx0110x100101
4638 ld1h. */
4639 return 1439;
4640 }
4641 }
4642 }
4643 }
4644 else
4645 {
4646 if (((word >> 22) & 0x1) == 0)
4647 {
4648 /* 33222222222211111111110000000000
4649 10987654321098765432109876543210
4650 xxxxxxxxxxxxx010xxxxx00x0x10011x
4651 st1b. */
4652 return 1749;
4653 }
4654 else
4655 {
4656 if (((word >> 23) & 0x1) == 0)
4657 {
4658 /* 33222222222211111111110000000000
4659 10987654321098765432109876543210
4660 xxxxxxxxxxxxx010xxxxx0100x10011x
4661 st1b. */
4662 return 1753;
4663 }
4664 else
4665 {
4666 /* 33222222222211111111110000000000
4667 10987654321098765432109876543210
4668 xxxxxxxxxxxxx010xxxxx0110x10011x
4669 st1h. */
4670 return 1774;
4671 }
4672 }
4673 }
4674 }
4675 }
4676 else
4677 {
4678 if (((word >> 30) & 0x1) == 0)
4679 {
4680 if (((word >> 14) & 0x1) == 0)
4681 {
4682 if (((word >> 4) & 0x1) == 0)
4683 {
4684 /* 33222222222211111111110000000000
4685 10987654321098765432109876543210
4686 xxxx0xxxxxxxx001xxxxx0xx0x10010x
4687 cmpge. */
4688 return 1256;
4689 }
4690 else
4691 {
4692 /* 33222222222211111111110000000000
4693 10987654321098765432109876543210
4694 xxxx1xxxxxxxx001xxxxx0xx0x10010x
4695 cmpgt. */
4696 return 1259;
4697 }
4698 }
4699 else
4700 {
4701 if (((word >> 31) & 0x1) == 0)
4702 {
4703 if (((word >> 4) & 0x1) == 0)
4704 {
4705 /* 33222222222211111111110000000000
4706 10987654321098765432109876543210
4707 xxxx0xxxxxxxx011xxxxx0xx0x100100
4708 cmphs. */
4709 return 1265;
4710 }
4711 else
4712 {
4713 /* 33222222222211111111110000000000
4714 10987654321098765432109876543210
4715 xxxx1xxxxxxxx011xxxxx0xx0x100100
4716 cmphi. */
4717 return 1262;
4718 }
4719 }
4720 else
4721 {
4722 if (((word >> 22) & 0x1) == 0)
4723 {
4724 if (((word >> 23) & 0x1) == 0)
4725 {
4726 /* 33222222222211111111110000000000
4727 10987654321098765432109876543210
4728 xxxxxxxxxxxxx011xxxxx0000x100101
4729 ldnt1b. */
4730 return 1608;
4731 }
4732 else
4733 {
4734 /* 33222222222211111111110000000000
4735 10987654321098765432109876543210
4736 xxxxxxxxxxxxx011xxxxx0010x100101
4737 ldnt1h. */
4738 return 1612;
4739 }
4740 }
4741 else
4742 {
4743 if (((word >> 23) & 0x1) == 0)
4744 {
4745 /* 33222222222211111111110000000000
4746 10987654321098765432109876543210
4747 xxxxxxxxxxxxx011xxxxx0100x100101
4748 ld3b. */
4749 return 1516;
4750 }
4751 else
4752 {
4753 /* 33222222222211111111110000000000
4754 10987654321098765432109876543210
4755 xxxxxxxxxxxxx011xxxxx0110x100101
4756 ld3h. */
4757 return 1520;
4758 }
4759 }
4760 }
4761 }
4762 }
4763 else
4764 {
4765 if (((word >> 22) & 0x1) == 0)
4766 {
4767 if (((word >> 23) & 0x1) == 0)
4768 {
4769 /* 33222222222211111111110000000000
4770 10987654321098765432109876543210
4771 xxxxxxxxxxxxx0x1xxxxx0000x10011x
4772 st1b. */
4773 return 1750;
4774 }
4775 else
4776 {
4777 /* 33222222222211111111110000000000
4778 10987654321098765432109876543210
4779 xxxxxxxxxxxxx0x1xxxxx0010x10011x
4780 st1h. */
4781 return 1769;
4782 }
4783 }
4784 else
4785 {
4786 if (((word >> 23) & 0x1) == 0)
4787 {
4788 /* 33222222222211111111110000000000
4789 10987654321098765432109876543210
4790 xxxxxxxxxxxxx0x1xxxxx0100x10011x
4791 st1b. */
4792 return 1754;
4793 }
4794 else
4795 {
4796 /* 33222222222211111111110000000000
4797 10987654321098765432109876543210
4798 xxxxxxxxxxxxx0x1xxxxx0110x10011x
4799 st1h. */
4800 return 1775;
4801 }
4802 }
4803 }
4804 }
4805 }
4806 else
4807 {
4808 if (((word >> 30) & 0x1) == 0)
4809 {
4810 if (((word >> 31) & 0x1) == 0)
4811 {
4812 if (((word >> 4) & 0x1) == 0)
4813 {
4814 /* 33222222222211111111110000000000
4815 10987654321098765432109876543210
4816 xxxx0xxxxxxxx0xxxxxxx1xx0x100100
4817 cmphs. */
4818 return 1266;
4819 }
4820 else
4821 {
4822 /* 33222222222211111111110000000000
4823 10987654321098765432109876543210
4824 xxxx1xxxxxxxx0xxxxxxx1xx0x100100
4825 cmphi. */
4826 return 1263;
4827 }
4828 }
4829 else
4830 {
4831 if (((word >> 15) & 0x1) == 0)
4832 {
4833 if (((word >> 22) & 0x1) == 0)
4834 {
4835 if (((word >> 23) & 0x1) == 0)
4836 {
4837 /* 33222222222211111111110000000000
4838 10987654321098765432109876543210
4839 xxxxxxxxxxxxx0x0xxxxx1000x100101
4840 ld1b. */
4841 return 1418;
4842 }
4843 else
4844 {
4845 /* 33222222222211111111110000000000
4846 10987654321098765432109876543210
4847 xxxxxxxxxxxxx0x0xxxxx1010x100101
4848 ld1h. */
4849 return 1438;
4850 }
4851 }
4852 else
4853 {
4854 if (((word >> 23) & 0x1) == 0)
4855 {
4856 /* 33222222222211111111110000000000
4857 10987654321098765432109876543210
4858 xxxxxxxxxxxxx0x0xxxxx1100x100101
4859 ld1b. */
4860 return 1420;
4861 }
4862 else
4863 {
4864 /* 33222222222211111111110000000000
4865 10987654321098765432109876543210
4866 xxxxxxxxxxxxx0x0xxxxx1110x100101
4867 ld1h. */
4868 return 1440;
4869 }
4870 }
4871 }
4872 else
4873 {
4874 if (((word >> 22) & 0x1) == 0)
4875 {
4876 if (((word >> 23) & 0x1) == 0)
4877 {
4878 /* 33222222222211111111110000000000
4879 10987654321098765432109876543210
4880 xxxxxxxxxxxxx0x1xxxxx1000x100101
4881 ld2b. */
4882 return 1508;
4883 }
4884 else
4885 {
4886 /* 33222222222211111111110000000000
4887 10987654321098765432109876543210
4888 xxxxxxxxxxxxx0x1xxxxx1010x100101
4889 ld2h. */
4890 return 1512;
4891 }
4892 }
4893 else
4894 {
4895 if (((word >> 23) & 0x1) == 0)
4896 {
4897 /* 33222222222211111111110000000000
4898 10987654321098765432109876543210
4899 xxxxxxxxxxxxx0x1xxxxx1100x100101
4900 ld4b. */
4901 return 1524;
4902 }
4903 else
4904 {
4905 /* 33222222222211111111110000000000
4906 10987654321098765432109876543210
4907 xxxxxxxxxxxxx0x1xxxxx1110x100101
4908 ld4h. */
4909 return 1528;
4910 }
4911 }
4912 }
4913 }
4914 }
4915 else
4916 {
4917 if (((word >> 15) & 0x1) == 0)
4918 {
4919 if (((word >> 22) & 0x1) == 0)
4920 {
4921 if (((word >> 23) & 0x1) == 0)
4922 {
4923 /* 33222222222211111111110000000000
4924 10987654321098765432109876543210
4925 xxxxxxxxxxxxx0x0xxxxx1000x10011x
4926 st1b. */
4927 return 1752;
4928 }
4929 else
4930 {
4931 /* 33222222222211111111110000000000
4932 10987654321098765432109876543210
4933 xxxxxxxxxxxxx0x0xxxxx1010x10011x
4934 st1h. */
4935 return 1771;
4936 }
4937 }
4938 else
4939 {
4940 if (((word >> 23) & 0x1) == 0)
4941 {
4942 /* 33222222222211111111110000000000
4943 10987654321098765432109876543210
4944 xxxxxxxxxxxxx0x0xxxxx1100x10011x
4945 st1b. */
4946 return 1755;
4947 }
4948 else
4949 {
4950 /* 33222222222211111111110000000000
4951 10987654321098765432109876543210
4952 xxxxxxxxxxxxx0x0xxxxx1110x10011x
4953 st1h. */
4954 return 1776;
4955 }
4956 }
4957 }
4958 else
4959 {
4960 if (((word >> 22) & 0x1) == 0)
4961 {
4962 /* 33222222222211111111110000000000
4963 10987654321098765432109876543210
4964 xxxxxxxxxxxxx0x1xxxxx10x0x10011x
4965 st1h. */
4966 return 1772;
4967 }
4968 else
4969 {
4970 /* 33222222222211111111110000000000
4971 10987654321098765432109876543210
4972 xxxxxxxxxxxxx0x1xxxxx11x0x10011x
4973 st1h. */
4974 return 1777;
4975 }
4976 }
4977 }
4978 }
4979 }
4980 }
4981 else
4982 {
4983 if (((word >> 29) & 0x1) == 0)
4984 {
4985 if (((word >> 14) & 0x1) == 0)
4986 {
4987 if (((word >> 15) & 0x1) == 0)
4988 {
4989 if (((word >> 21) & 0x1) == 0)
4990 {
4991 if (((word >> 30) & 0x1) == 0)
4992 {
4993 if (((word >> 31) & 0x1) == 0)
4994 {
4995 if (((word >> 17) & 0x1) == 0)
4996 {
4997 if (((word >> 19) & 0x1) == 0)
4998 {
4999 if (((word >> 20) & 0x1) == 0)
5000 {
5001 if (((word >> 16) & 0x1) == 0)
5002 {
5003 /* 33222222222211111111110000000000
5004 10987654321098765432109876543210
5005 xxxxxxxxxxxxx10000x000xx0x100000
5006 saddv. */
5007 return 1699;
5008 }
5009 else
5010 {
5011 /* 33222222222211111111110000000000
5012 10987654321098765432109876543210
5013 xxxxxxxxxxxxx10010x000xx0x100000
5014 uaddv. */
5015 return 1845;
5016 }
5017 }
5018 else
5019 {
5020 /* 33222222222211111111110000000000
5021 10987654321098765432109876543210
5022 xxxxxxxxxxxxx100x0x010xx0x100000
5023 movprfx. */
5024 return 1634;
5025 }
5026 }
5027 else
5028 {
5029 if (((word >> 16) & 0x1) == 0)
5030 {
5031 if (((word >> 20) & 0x1) == 0)
5032 {
5033 /* 33222222222211111111110000000000
5034 10987654321098765432109876543210
5035 xxxxxxxxxxxxx10000x100xx0x100000
5036 smaxv. */
5037 return 1711;
5038 }
5039 else
5040 {
5041 /* 33222222222211111111110000000000
5042 10987654321098765432109876543210
5043 xxxxxxxxxxxxx10000x110xx0x100000
5044 orv. */
5045 return 1651;
5046 }
5047 }
5048 else
5049 {
5050 if (((word >> 20) & 0x1) == 0)
5051 {
5052 /* 33222222222211111111110000000000
5053 10987654321098765432109876543210
5054 xxxxxxxxxxxxx10010x100xx0x100000
5055 umaxv. */
5056 return 1854;
5057 }
5058 else
5059 {
5060 /* 33222222222211111111110000000000
5061 10987654321098765432109876543210
5062 xxxxxxxxxxxxx10010x110xx0x100000
5063 eorv. */
5064 return 1309;
5065 }
5066 }
5067 }
5068 }
5069 else
5070 {
5071 if (((word >> 16) & 0x1) == 0)
5072 {
5073 if (((word >> 20) & 0x1) == 0)
5074 {
5075 /* 33222222222211111111110000000000
5076 10987654321098765432109876543210
5077 xxxxxxxxxxxxx10001xx00xx0x100000
5078 sminv. */
5079 return 1714;
5080 }
5081 else
5082 {
5083 /* 33222222222211111111110000000000
5084 10987654321098765432109876543210
5085 xxxxxxxxxxxxx10001xx10xx0x100000
5086 andv. */
5087 return 1222;
5088 }
5089 }
5090 else
5091 {
5092 /* 33222222222211111111110000000000
5093 10987654321098765432109876543210
5094 xxxxxxxxxxxxx10011xxx0xx0x100000
5095 uminv. */
5096 return 1857;
5097 }
5098 }
5099 }
5100 else
5101 {
5102 if (((word >> 23) & 0x1) == 0)
5103 {
5104 /* 33222222222211111111110000000000
5105 10987654321098765432109876543210
5106 xxxxxxxxxxxxx100xxxxx0x00x100001
5107 ldff1sb. */
5108 return 1558;
5109 }
5110 else
5111 {
5112 /* 33222222222211111111110000000000
5113 10987654321098765432109876543210
5114 xxxxxxxxxxxxx100xxxxx0x10x100001
5115 ldff1sh. */
5116 return 1566;
5117 }
5118 }
5119 }
5120 else
5121 {
5122 if (((word >> 23) & 0x1) == 0)
5123 {
5124 /* 33222222222211111111110000000000
5125 10987654321098765432109876543210
5126 xxxxxxxxxxxxx100xxxxx0x00x10001x
5127 ldff1sb. */
5128 return 1562;
5129 }
5130 else
5131 {
5132 /* 33222222222211111111110000000000
5133 10987654321098765432109876543210
5134 xxxxxxxxxxxxx100xxxxx0x10x10001x
5135 ldff1sh. */
5136 return 1570;
5137 }
5138 }
5139 }
5140 else
5141 {
5142 if (((word >> 23) & 0x1) == 0)
5143 {
5144 if (((word >> 30) & 0x1) == 0)
5145 {
5146 if (((word >> 31) & 0x1) == 0)
5147 {
5148 if (((word >> 22) & 0x1) == 0)
5149 {
5150 /* 33222222222211111111110000000000
5151 10987654321098765432109876543210
5152 xxxxxxxxxxxxx100xxxxx1000x100000
5153 and. */
5154 return 1217;
5155 }
5156 else
5157 {
5158 /* 33222222222211111111110000000000
5159 10987654321098765432109876543210
5160 xxxxxxxxxxxxx100xxxxx1100x100000
5161 orr. */
5162 return 1646;
5163 }
5164 }
5165 else
5166 {
5167 /* 33222222222211111111110000000000
5168 10987654321098765432109876543210
5169 xxxxxxxxxxxxx100xxxxx1x00x100001
5170 prfh. */
5171 return 1669;
5172 }
5173 }
5174 else
5175 {
5176 /* 33222222222211111111110000000000
5177 10987654321098765432109876543210
5178 xxxxxxxxxxxxx100xxxxx1x00x10001x
5179 prfh. */
5180 return 1671;
5181 }
5182 }
5183 else
5184 {
5185 if (((word >> 30) & 0x1) == 0)
5186 {
5187 if (((word >> 31) & 0x1) == 0)
5188 {
5189 if (((word >> 22) & 0x1) == 0)
5190 {
5191 /* 33222222222211111111110000000000
5192 10987654321098765432109876543210
5193 xxxxxxxxxxxxx100xxxxx1010x100000
5194 eor. */
5195 return 1304;
5196 }
5197 else
5198 {
5199 /* 33222222222211111111110000000000
5200 10987654321098765432109876543210
5201 xxxxxxxxxxxxx100xxxxx1110x100000
5202 bic. */
5203 return 1230;
5204 }
5205 }
5206 else
5207 {
5208 /* 33222222222211111111110000000000
5209 10987654321098765432109876543210
5210 xxxxxxxxxxxxx100xxxxx1x10x100001
5211 ldff1sh. */
5212 return 1567;
5213 }
5214 }
5215 else
5216 {
5217 /* 33222222222211111111110000000000
5218 10987654321098765432109876543210
5219 xxxxxxxxxxxxx100xxxxx1x10x10001x
5220 ldff1sh. */
5221 return 1571;
5222 }
5223 }
5224 }
5225 }
5226 else
5227 {
5228 if (((word >> 30) & 0x1) == 0)
5229 {
5230 if (((word >> 31) & 0x1) == 0)
5231 {
5232 if (((word >> 21) & 0x1) == 0)
5233 {
5234 if (((word >> 16) & 0x1) == 0)
5235 {
5236 if (((word >> 17) & 0x1) == 0)
5237 {
5238 if (((word >> 18) & 0x1) == 0)
5239 {
5240 if (((word >> 19) & 0x1) == 0)
5241 {
5242 /* 33222222222211111111110000000000
5243 10987654321098765432109876543210
5244 xxxxxxxxxxxxx1010000x0xx0x100000
5245 sxtb. */
5246 return 1836;
5247 }
5248 else
5249 {
5250 /* 33222222222211111111110000000000
5251 10987654321098765432109876543210
5252 xxxxxxxxxxxxx1010001x0xx0x100000
5253 cls. */
5254 return 1250;
5255 }
5256 }
5257 else
5258 {
5259 if (((word >> 19) & 0x1) == 0)
5260 {
5261 /* 33222222222211111111110000000000
5262 10987654321098765432109876543210
5263 xxxxxxxxxxxxx1010010x0xx0x100000
5264 sxtw. */
5265 return 1838;
5266 }
5267 else
5268 {
5269 /* 33222222222211111111110000000000
5270 10987654321098765432109876543210
5271 xxxxxxxxxxxxx1010011x0xx0x100000
5272 fabs. */
5273 return 1312;
5274 }
5275 }
5276 }
5277 else
5278 {
5279 if (((word >> 18) & 0x1) == 0)
5280 {
5281 if (((word >> 19) & 0x1) == 0)
5282 {
5283 /* 33222222222211111111110000000000
5284 10987654321098765432109876543210
5285 xxxxxxxxxxxxx1010100x0xx0x100000
5286 sxth. */
5287 return 1837;
5288 }
5289 else
5290 {
5291 /* 33222222222211111111110000000000
5292 10987654321098765432109876543210
5293 xxxxxxxxxxxxx1010101x0xx0x100000
5294 cnt. */
5295 return 1279;
5296 }
5297 }
5298 else
5299 {
5300 if (((word >> 19) & 0x1) == 0)
5301 {
5302 /* 33222222222211111111110000000000
5303 10987654321098765432109876543210
5304 xxxxxxxxxxxxx1010110x0xx0x100000
5305 abs. */
5306 return 1208;
5307 }
5308 else
5309 {
5310 /* 33222222222211111111110000000000
5311 10987654321098765432109876543210
5312 xxxxxxxxxxxxx1010111x0xx0x100000
5313 not. */
5314 return 1643;
5315 }
5316 }
5317 }
5318 }
5319 else
5320 {
5321 if (((word >> 17) & 0x1) == 0)
5322 {
5323 if (((word >> 18) & 0x1) == 0)
5324 {
5325 if (((word >> 19) & 0x1) == 0)
5326 {
5327 /* 33222222222211111111110000000000
5328 10987654321098765432109876543210
5329 xxxxxxxxxxxxx1011000x0xx0x100000
5330 uxtb. */
5331 return 1893;
5332 }
5333 else
5334 {
5335 /* 33222222222211111111110000000000
5336 10987654321098765432109876543210
5337 xxxxxxxxxxxxx1011001x0xx0x100000
5338 clz. */
5339 return 1251;
5340 }
5341 }
5342 else
5343 {
5344 if (((word >> 19) & 0x1) == 0)
5345 {
5346 /* 33222222222211111111110000000000
5347 10987654321098765432109876543210
5348 xxxxxxxxxxxxx1011010x0xx0x100000
5349 uxtw. */
5350 return 1895;
5351 }
5352 else
5353 {
5354 /* 33222222222211111111110000000000
5355 10987654321098765432109876543210
5356 xxxxxxxxxxxxx1011011x0xx0x100000
5357 fneg. */
5358 return 1370;
5359 }
5360 }
5361 }
5362 else
5363 {
5364 if (((word >> 18) & 0x1) == 0)
5365 {
5366 if (((word >> 19) & 0x1) == 0)
5367 {
5368 /* 33222222222211111111110000000000
5369 10987654321098765432109876543210
5370 xxxxxxxxxxxxx1011100x0xx0x100000
5371 uxth. */
5372 return 1894;
5373 }
5374 else
5375 {
5376 /* 33222222222211111111110000000000
5377 10987654321098765432109876543210
5378 xxxxxxxxxxxxx1011101x0xx0x100000
5379 cnot. */
5380 return 1278;
5381 }
5382 }
5383 else
5384 {
5385 /* 33222222222211111111110000000000
5386 10987654321098765432109876543210
5387 xxxxxxxxxxxxx101111xx0xx0x100000
5388 neg. */
5389 return 1640;
5390 }
5391 }
5392 }
5393 }
5394 else
5395 {
5396 if (((word >> 12) & 0x1) == 0)
5397 {
5398 if (((word >> 23) & 0x1) == 0)
5399 {
5400 if (((word >> 22) & 0x1) == 0)
5401 {
5402 /* 33222222222211111111110000000000
5403 10987654321098765432109876543210
5404 xxxxxxxxxxxx0101xxxxx1000x100000
5405 adr. */
5406 return 1214;
5407 }
5408 else
5409 {
5410 /* 33222222222211111111110000000000
5411 10987654321098765432109876543210
5412 xxxxxxxxxxxx0101xxxxx1100x100000
5413 adr. */
5414 return 1215;
5415 }
5416 }
5417 else
5418 {
5419 /* 33222222222211111111110000000000
5420 10987654321098765432109876543210
5421 xxxxxxxxxxxx0101xxxxx1x10x100000
5422 adr. */
5423 return 1216;
5424 }
5425 }
5426 else
5427 {
5428 if (((word >> 10) & 0x1) == 0)
5429 {
5430 if (((word >> 11) & 0x1) == 0)
5431 {
5432 /* 33222222222211111111110000000000
5433 10987654321098765432109876543210
5434 xxxxxxxxxx001101xxxxx1xx0x100000
5435 ftssel. */
5436 return 1396;
5437 }
5438 else
5439 {
5440 /* 33222222222211111111110000000000
5441 10987654321098765432109876543210
5442 xxxxxxxxxx011101xxxxx1xx0x100000
5443 fexpa. */
5444 return 1349;
5445 }
5446 }
5447 else
5448 {
5449 /* 33222222222211111111110000000000
5450 10987654321098765432109876543210
5451 xxxxxxxxxx1x1101xxxxx1xx0x100000
5452 movprfx. */
5453 return 1633;
5454 }
5455 }
5456 }
5457 }
5458 else
5459 {
5460 if (((word >> 22) & 0x1) == 0)
5461 {
5462 if (((word >> 23) & 0x1) == 0)
5463 {
5464 /* 33222222222211111111110000000000
5465 10987654321098765432109876543210
5466 xxxxxxxxxxxxx101xxxxxx000x100001
5467 ldff1sb. */
5468 return 1564;
5469 }
5470 else
5471 {
5472 /* 33222222222211111111110000000000
5473 10987654321098765432109876543210
5474 xxxxxxxxxxxxx101xxxxxx010x100001
5475 ldff1sh. */
5476 return 1574;
5477 }
5478 }
5479 else
5480 {
5481 if (((word >> 23) & 0x1) == 0)
5482 {
5483 /* 33222222222211111111110000000000
5484 10987654321098765432109876543210
5485 xxxxxxxxxxxxx101xxxxxx100x100001
5486 ld1rb. */
5487 return 1451;
5488 }
5489 else
5490 {
5491 /* 33222222222211111111110000000000
5492 10987654321098765432109876543210
5493 xxxxxxxxxxxxx101xxxxxx110x100001
5494 ld1rh. */
5495 return 1455;
5496 }
5497 }
5498 }
5499 }
5500 else
5501 {
5502 if (((word >> 21) & 0x1) == 0)
5503 {
5504 if (((word >> 23) & 0x1) == 0)
5505 {
5506 /* 33222222222211111111110000000000
5507 10987654321098765432109876543210
5508 xxxxxxxxxxxxx101xxxxx0x00x10001x
5509 ldff1sb. */
5510 return 1563;
5511 }
5512 else
5513 {
5514 /* 33222222222211111111110000000000
5515 10987654321098765432109876543210
5516 xxxxxxxxxxxxx101xxxxx0x10x10001x
5517 ldff1sh. */
5518 return 1572;
5519 }
5520 }
5521 else
5522 {
5523 if (((word >> 22) & 0x1) == 0)
5524 {
5525 if (((word >> 23) & 0x1) == 0)
5526 {
5527 /* 33222222222211111111110000000000
5528 10987654321098765432109876543210
5529 xxxxxxxxxxxxx101xxxxx1000x10001x
5530 ldff1sb. */
5531 return 1565;
5532 }
5533 else
5534 {
5535 /* 33222222222211111111110000000000
5536 10987654321098765432109876543210
5537 xxxxxxxxxxxxx101xxxxx1010x10001x
5538 ldff1sh. */
5539 return 1575;
5540 }
5541 }
5542 else
5543 {
5544 if (((word >> 23) & 0x1) == 0)
5545 {
5546 /* 33222222222211111111110000000000
5547 10987654321098765432109876543210
5548 xxxxxxxxxxxxx101xxxxx1100x10001x
5549 prfh. */
5550 return 1672;
5551 }
5552 else
5553 {
5554 /* 33222222222211111111110000000000
5555 10987654321098765432109876543210
5556 xxxxxxxxxxxxx101xxxxx1110x10001x
5557 ldff1sh. */
5558 return 1573;
5559 }
5560 }
5561 }
5562 }
5563 }
5564 }
5565 else
5566 {
5567 if (((word >> 15) & 0x1) == 0)
5568 {
5569 if (((word >> 21) & 0x1) == 0)
5570 {
5571 if (((word >> 30) & 0x1) == 0)
5572 {
5573 if (((word >> 31) & 0x1) == 0)
5574 {
5575 /* 33222222222211111111110000000000
5576 10987654321098765432109876543210
5577 xxxxxxxxxxxxx110xxxxx0xx0x100000
5578 mls. */
5579 return 1632;
5580 }
5581 else
5582 {
5583 if (((word >> 23) & 0x1) == 0)
5584 {
5585 /* 33222222222211111111110000000000
5586 10987654321098765432109876543210
5587 xxxxxxxxxxxxx110xxxxx0x00x100001
5588 ldff1b. */
5589 return 1532;
5590 }
5591 else
5592 {
5593 /* 33222222222211111111110000000000
5594 10987654321098765432109876543210
5595 xxxxxxxxxxxxx110xxxxx0x10x100001
5596 ldff1h. */
5597 return 1547;
5598 }
5599 }
5600 }
5601 else
5602 {
5603 if (((word >> 23) & 0x1) == 0)
5604 {
5605 /* 33222222222211111111110000000000
5606 10987654321098765432109876543210
5607 xxxxxxxxxxxxx110xxxxx0x00x10001x
5608 ldff1b. */
5609 return 1537;
5610 }
5611 else
5612 {
5613 /* 33222222222211111111110000000000
5614 10987654321098765432109876543210
5615 xxxxxxxxxxxxx110xxxxx0x10x10001x
5616 ldff1h. */
5617 return 1552;
5618 }
5619 }
5620 }
5621 else
5622 {
5623 if (((word >> 23) & 0x1) == 0)
5624 {
5625 if (((word >> 30) & 0x1) == 0)
5626 {
5627 /* 33222222222211111111110000000000
5628 10987654321098765432109876543210
5629 xxxxxxxxxxxxx110xxxxx1x00x10000x
5630 prfd. */
5631 return 1662;
5632 }
5633 else
5634 {
5635 /* 33222222222211111111110000000000
5636 10987654321098765432109876543210
5637 xxxxxxxxxxxxx110xxxxx1x00x10001x
5638 prfd. */
5639 return 1664;
5640 }
5641 }
5642 else
5643 {
5644 if (((word >> 30) & 0x1) == 0)
5645 {
5646 /* 33222222222211111111110000000000
5647 10987654321098765432109876543210
5648 xxxxxxxxxxxxx110xxxxx1x10x10000x
5649 ldff1h. */
5650 return 1548;
5651 }
5652 else
5653 {
5654 /* 33222222222211111111110000000000
5655 10987654321098765432109876543210
5656 xxxxxxxxxxxxx110xxxxx1x10x10001x
5657 ldff1h. */
5658 return 1553;
5659 }
5660 }
5661 }
5662 }
5663 else
5664 {
5665 if (((word >> 30) & 0x1) == 0)
5666 {
5667 if (((word >> 31) & 0x1) == 0)
5668 {
5669 if (((word >> 21) & 0x1) == 0)
5670 {
5671 /* 33222222222211111111110000000000
5672 10987654321098765432109876543210
5673 xxxxxxxxxxxxx111xxxxx0xx0x100000
5674 msb. */
5675 return 1635;
5676 }
5677 else
5678 {
5679 if (((word >> 10) & 0x1) == 0)
5680 {
5681 if (((word >> 11) & 0x1) == 0)
5682 {
5683 if (((word >> 12) & 0x1) == 0)
5684 {
5685 if (((word >> 20) & 0x1) == 0)
5686 {
5687 if (((word >> 22) & 0x1) == 0)
5688 {
5689 if (((word >> 23) & 0x1) == 0)
5690 {
5691 /* 33222222222211111111110000000000
5692 10987654321098765432109876543210
5693 xxxxxxxxxx000111xxxx01000x100000
5694 cntb. */
5695 return 1280;
5696 }
5697 else
5698 {
5699 /* 33222222222211111111110000000000
5700 10987654321098765432109876543210
5701 xxxxxxxxxx000111xxxx01010x100000
5702 cntw. */
5703 return 1284;
5704 }
5705 }
5706 else
5707 {
5708 if (((word >> 23) & 0x1) == 0)
5709 {
5710 /* 33222222222211111111110000000000
5711 10987654321098765432109876543210
5712 xxxxxxxxxx000111xxxx01100x100000
5713 cnth. */
5714 return 1282;
5715 }
5716 else
5717 {
5718 /* 33222222222211111111110000000000
5719 10987654321098765432109876543210
5720 xxxxxxxxxx000111xxxx01110x100000
5721 cntd. */
5722 return 1281;
5723 }
5724 }
5725 }
5726 else
5727 {
5728 if (((word >> 22) & 0x1) == 0)
5729 {
5730 if (((word >> 23) & 0x1) == 0)
5731 {
5732 /* 33222222222211111111110000000000
5733 10987654321098765432109876543210
5734 xxxxxxxxxx000111xxxx11000x100000
5735 incb. */
5736 return 1397;
5737 }
5738 else
5739 {
5740 /* 33222222222211111111110000000000
5741 10987654321098765432109876543210
5742 xxxxxxxxxx000111xxxx11010x100000
5743 incw. */
5744 return 1405;
5745 }
5746 }
5747 else
5748 {
5749 if (((word >> 23) & 0x1) == 0)
5750 {
5751 /* 33222222222211111111110000000000
5752 10987654321098765432109876543210
5753 xxxxxxxxxx000111xxxx11100x100000
5754 inch. */
5755 return 1401;
5756 }
5757 else
5758 {
5759 /* 33222222222211111111110000000000
5760 10987654321098765432109876543210
5761 xxxxxxxxxx000111xxxx11110x100000
5762 incd. */
5763 return 1399;
5764 }
5765 }
5766 }
5767 }
5768 else
5769 {
5770 if (((word >> 20) & 0x1) == 0)
5771 {
5772 if (((word >> 22) & 0x1) == 0)
5773 {
5774 if (((word >> 23) & 0x1) == 0)
5775 {
5776 /* 33222222222211111111110000000000
5777 10987654321098765432109876543210
5778 xxxxxxxxxx001111xxxx01000x100000
5779 sqincb. */
5780 return 1734;
5781 }
5782 else
5783 {
5784 /* 33222222222211111111110000000000
5785 10987654321098765432109876543210
5786 xxxxxxxxxx001111xxxx01010x100000
5787 sqincw. */
5788 return 1746;
5789 }
5790 }
5791 else
5792 {
5793 if (((word >> 23) & 0x1) == 0)
5794 {
5795 /* 33222222222211111111110000000000
5796 10987654321098765432109876543210
5797 xxxxxxxxxx001111xxxx01100x100000
5798 sqinch. */
5799 return 1740;
5800 }
5801 else
5802 {
5803 /* 33222222222211111111110000000000
5804 10987654321098765432109876543210
5805 xxxxxxxxxx001111xxxx01110x100000
5806 sqincd. */
5807 return 1737;
5808 }
5809 }
5810 }
5811 else
5812 {
5813 if (((word >> 22) & 0x1) == 0)
5814 {
5815 if (((word >> 23) & 0x1) == 0)
5816 {
5817 /* 33222222222211111111110000000000
5818 10987654321098765432109876543210
5819 xxxxxxxxxx001111xxxx11000x100000
5820 sqincb. */
5821 return 1733;
5822 }
5823 else
5824 {
5825 /* 33222222222211111111110000000000
5826 10987654321098765432109876543210
5827 xxxxxxxxxx001111xxxx11010x100000
5828 sqincw. */
5829 return 1745;
5830 }
5831 }
5832 else
5833 {
5834 if (((word >> 23) & 0x1) == 0)
5835 {
5836 /* 33222222222211111111110000000000
5837 10987654321098765432109876543210
5838 xxxxxxxxxx001111xxxx11100x100000
5839 sqinch. */
5840 return 1739;
5841 }
5842 else
5843 {
5844 /* 33222222222211111111110000000000
5845 10987654321098765432109876543210
5846 xxxxxxxxxx001111xxxx11110x100000
5847 sqincd. */
5848 return 1736;
5849 }
5850 }
5851 }
5852 }
5853 }
5854 else
5855 {
5856 if (((word >> 20) & 0x1) == 0)
5857 {
5858 if (((word >> 22) & 0x1) == 0)
5859 {
5860 if (((word >> 23) & 0x1) == 0)
5861 {
5862 /* 33222222222211111111110000000000
5863 10987654321098765432109876543210
5864 xxxxxxxxxx01x111xxxx01000x100000
5865 sqdecb. */
5866 return 1720;
5867 }
5868 else
5869 {
5870 /* 33222222222211111111110000000000
5871 10987654321098765432109876543210
5872 xxxxxxxxxx01x111xxxx01010x100000
5873 sqdecw. */
5874 return 1732;
5875 }
5876 }
5877 else
5878 {
5879 if (((word >> 23) & 0x1) == 0)
5880 {
5881 /* 33222222222211111111110000000000
5882 10987654321098765432109876543210
5883 xxxxxxxxxx01x111xxxx01100x100000
5884 sqdech. */
5885 return 1726;
5886 }
5887 else
5888 {
5889 /* 33222222222211111111110000000000
5890 10987654321098765432109876543210
5891 xxxxxxxxxx01x111xxxx01110x100000
5892 sqdecd. */
5893 return 1723;
5894 }
5895 }
5896 }
5897 else
5898 {
5899 if (((word >> 22) & 0x1) == 0)
5900 {
5901 if (((word >> 23) & 0x1) == 0)
5902 {
5903 /* 33222222222211111111110000000000
5904 10987654321098765432109876543210
5905 xxxxxxxxxx01x111xxxx11000x100000
5906 sqdecb. */
5907 return 1719;
5908 }
5909 else
5910 {
5911 /* 33222222222211111111110000000000
5912 10987654321098765432109876543210
5913 xxxxxxxxxx01x111xxxx11010x100000
5914 sqdecw. */
5915 return 1731;
5916 }
5917 }
5918 else
5919 {
5920 if (((word >> 23) & 0x1) == 0)
5921 {
5922 /* 33222222222211111111110000000000
5923 10987654321098765432109876543210
5924 xxxxxxxxxx01x111xxxx11100x100000
5925 sqdech. */
5926 return 1725;
5927 }
5928 else
5929 {
5930 /* 33222222222211111111110000000000
5931 10987654321098765432109876543210
5932 xxxxxxxxxx01x111xxxx11110x100000
5933 sqdecd. */
5934 return 1722;
5935 }
5936 }
5937 }
5938 }
5939 }
5940 else
5941 {
5942 if (((word >> 11) & 0x1) == 0)
5943 {
5944 if (((word >> 12) & 0x1) == 0)
5945 {
5946 if (((word >> 22) & 0x1) == 0)
5947 {
5948 if (((word >> 23) & 0x1) == 0)
5949 {
5950 /* 33222222222211111111110000000000
5951 10987654321098765432109876543210
5952 xxxxxxxxxx100111xxxxx1000x100000
5953 decb. */
5954 return 1291;
5955 }
5956 else
5957 {
5958 /* 33222222222211111111110000000000
5959 10987654321098765432109876543210
5960 xxxxxxxxxx100111xxxxx1010x100000
5961 decw. */
5962 return 1299;
5963 }
5964 }
5965 else
5966 {
5967 if (((word >> 23) & 0x1) == 0)
5968 {
5969 /* 33222222222211111111110000000000
5970 10987654321098765432109876543210
5971 xxxxxxxxxx100111xxxxx1100x100000
5972 dech. */
5973 return 1295;
5974 }
5975 else
5976 {
5977 /* 33222222222211111111110000000000
5978 10987654321098765432109876543210
5979 xxxxxxxxxx100111xxxxx1110x100000
5980 decd. */
5981 return 1293;
5982 }
5983 }
5984 }
5985 else
5986 {
5987 if (((word >> 20) & 0x1) == 0)
5988 {
5989 if (((word >> 22) & 0x1) == 0)
5990 {
5991 if (((word >> 23) & 0x1) == 0)
5992 {
5993 /* 33222222222211111111110000000000
5994 10987654321098765432109876543210
5995 xxxxxxxxxx101111xxxx01000x100000
5996 uqincb. */
5997 return 1875;
5998 }
5999 else
6000 {
6001 /* 33222222222211111111110000000000
6002 10987654321098765432109876543210
6003 xxxxxxxxxx101111xxxx01010x100000
6004 uqincw. */
6005 return 1887;
6006 }
6007 }
6008 else
6009 {
6010 if (((word >> 23) & 0x1) == 0)
6011 {
6012 /* 33222222222211111111110000000000
6013 10987654321098765432109876543210
6014 xxxxxxxxxx101111xxxx01100x100000
6015 uqinch. */
6016 return 1881;
6017 }
6018 else
6019 {
6020 /* 33222222222211111111110000000000
6021 10987654321098765432109876543210
6022 xxxxxxxxxx101111xxxx01110x100000
6023 uqincd. */
6024 return 1878;
6025 }
6026 }
6027 }
6028 else
6029 {
6030 if (((word >> 22) & 0x1) == 0)
6031 {
6032 if (((word >> 23) & 0x1) == 0)
6033 {
6034 /* 33222222222211111111110000000000
6035 10987654321098765432109876543210
6036 xxxxxxxxxx101111xxxx11000x100000
6037 uqincb. */
6038 return 1876;
6039 }
6040 else
6041 {
6042 /* 33222222222211111111110000000000
6043 10987654321098765432109876543210
6044 xxxxxxxxxx101111xxxx11010x100000
6045 uqincw. */
6046 return 1888;
6047 }
6048 }
6049 else
6050 {
6051 if (((word >> 23) & 0x1) == 0)
6052 {
6053 /* 33222222222211111111110000000000
6054 10987654321098765432109876543210
6055 xxxxxxxxxx101111xxxx11100x100000
6056 uqinch. */
6057 return 1882;
6058 }
6059 else
6060 {
6061 /* 33222222222211111111110000000000
6062 10987654321098765432109876543210
6063 xxxxxxxxxx101111xxxx11110x100000
6064 uqincd. */
6065 return 1879;
6066 }
6067 }
6068 }
6069 }
6070 }
6071 else
6072 {
6073 if (((word >> 20) & 0x1) == 0)
6074 {
6075 if (((word >> 22) & 0x1) == 0)
6076 {
6077 if (((word >> 23) & 0x1) == 0)
6078 {
6079 /* 33222222222211111111110000000000
6080 10987654321098765432109876543210
6081 xxxxxxxxxx11x111xxxx01000x100000
6082 uqdecb. */
6083 return 1861;
6084 }
6085 else
6086 {
6087 /* 33222222222211111111110000000000
6088 10987654321098765432109876543210
6089 xxxxxxxxxx11x111xxxx01010x100000
6090 uqdecw. */
6091 return 1873;
6092 }
6093 }
6094 else
6095 {
6096 if (((word >> 23) & 0x1) == 0)
6097 {
6098 /* 33222222222211111111110000000000
6099 10987654321098765432109876543210
6100 xxxxxxxxxx11x111xxxx01100x100000
6101 uqdech. */
6102 return 1867;
6103 }
6104 else
6105 {
6106 /* 33222222222211111111110000000000
6107 10987654321098765432109876543210
6108 xxxxxxxxxx11x111xxxx01110x100000
6109 uqdecd. */
6110 return 1864;
6111 }
6112 }
6113 }
6114 else
6115 {
6116 if (((word >> 22) & 0x1) == 0)
6117 {
6118 if (((word >> 23) & 0x1) == 0)
6119 {
6120 /* 33222222222211111111110000000000
6121 10987654321098765432109876543210
6122 xxxxxxxxxx11x111xxxx11000x100000
6123 uqdecb. */
6124 return 1862;
6125 }
6126 else
6127 {
6128 /* 33222222222211111111110000000000
6129 10987654321098765432109876543210
6130 xxxxxxxxxx11x111xxxx11010x100000
6131 uqdecw. */
6132 return 1874;
6133 }
6134 }
6135 else
6136 {
6137 if (((word >> 23) & 0x1) == 0)
6138 {
6139 /* 33222222222211111111110000000000
6140 10987654321098765432109876543210
6141 xxxxxxxxxx11x111xxxx11100x100000
6142 uqdech. */
6143 return 1868;
6144 }
6145 else
6146 {
6147 /* 33222222222211111111110000000000
6148 10987654321098765432109876543210
6149 xxxxxxxxxx11x111xxxx11110x100000
6150 uqdecd. */
6151 return 1865;
6152 }
6153 }
6154 }
6155 }
6156 }
6157 }
6158 }
6159 else
6160 {
6161 if (((word >> 22) & 0x1) == 0)
6162 {
6163 if (((word >> 21) & 0x1) == 0)
6164 {
6165 if (((word >> 23) & 0x1) == 0)
6166 {
6167 /* 33222222222211111111110000000000
6168 10987654321098765432109876543210
6169 xxxxxxxxxxxxx111xxxxx0000x100001
6170 prfb. */
6171 return 1659;
6172 }
6173 else
6174 {
6175 /* 33222222222211111111110000000000
6176 10987654321098765432109876543210
6177 xxxxxxxxxxxxx111xxxxx0010x100001
6178 prfh. */
6179 return 1673;
6180 }
6181 }
6182 else
6183 {
6184 if (((word >> 23) & 0x1) == 0)
6185 {
6186 /* 33222222222211111111110000000000
6187 10987654321098765432109876543210
6188 xxxxxxxxxxxxx111xxxxx1000x100001
6189 ldff1b. */
6190 return 1539;
6191 }
6192 else
6193 {
6194 /* 33222222222211111111110000000000
6195 10987654321098765432109876543210
6196 xxxxxxxxxxxxx111xxxxx1010x100001
6197 ldff1h. */
6198 return 1556;
6199 }
6200 }
6201 }
6202 else
6203 {
6204 if (((word >> 23) & 0x1) == 0)
6205 {
6206 /* 33222222222211111111110000000000
6207 10987654321098765432109876543210
6208 xxxxxxxxxxxxx111xxxxxx100x100001
6209 ld1rb. */
6210 return 1453;
6211 }
6212 else
6213 {
6214 /* 33222222222211111111110000000000
6215 10987654321098765432109876543210
6216 xxxxxxxxxxxxx111xxxxxx110x100001
6217 ld1rh. */
6218 return 1457;
6219 }
6220 }
6221 }
6222 }
6223 else
6224 {
6225 if (((word >> 21) & 0x1) == 0)
6226 {
6227 if (((word >> 22) & 0x1) == 0)
6228 {
6229 if (((word >> 23) & 0x1) == 0)
6230 {
6231 /* 33222222222211111111110000000000
6232 10987654321098765432109876543210
6233 xxxxxxxxxxxxx111xxxxx0000x10001x
6234 prfb. */
6235 return 1661;
6236 }
6237 else
6238 {
6239 /* 33222222222211111111110000000000
6240 10987654321098765432109876543210
6241 xxxxxxxxxxxxx111xxxxx0010x10001x
6242 prfh. */
6243 return 1675;
6244 }
6245 }
6246 else
6247 {
6248 if (((word >> 23) & 0x1) == 0)
6249 {
6250 /* 33222222222211111111110000000000
6251 10987654321098765432109876543210
6252 xxxxxxxxxxxxx111xxxxx0100x10001x
6253 ldff1b. */
6254 return 1538;
6255 }
6256 else
6257 {
6258 /* 33222222222211111111110000000000
6259 10987654321098765432109876543210
6260 xxxxxxxxxxxxx111xxxxx0110x10001x
6261 ldff1h. */
6262 return 1554;
6263 }
6264 }
6265 }
6266 else
6267 {
6268 if (((word >> 22) & 0x1) == 0)
6269 {
6270 if (((word >> 23) & 0x1) == 0)
6271 {
6272 /* 33222222222211111111110000000000
6273 10987654321098765432109876543210
6274 xxxxxxxxxxxxx111xxxxx1000x10001x
6275 ldff1b. */
6276 return 1540;
6277 }
6278 else
6279 {
6280 /* 33222222222211111111110000000000
6281 10987654321098765432109876543210
6282 xxxxxxxxxxxxx111xxxxx1010x10001x
6283 ldff1h. */
6284 return 1557;
6285 }
6286 }
6287 else
6288 {
6289 if (((word >> 23) & 0x1) == 0)
6290 {
6291 /* 33222222222211111111110000000000
6292 10987654321098765432109876543210
6293 xxxxxxxxxxxxx111xxxxx1100x10001x
6294 prfd. */
6295 return 1665;
6296 }
6297 else
6298 {
6299 /* 33222222222211111111110000000000
6300 10987654321098765432109876543210
6301 xxxxxxxxxxxxx111xxxxx1110x10001x
6302 ldff1h. */
6303 return 1555;
6304 }
6305 }
6306 }
6307 }
6308 }
6309 }
6310 }
6311 else
6312 {
6313 if (((word >> 21) & 0x1) == 0)
6314 {
6315 if (((word >> 14) & 0x1) == 0)
6316 {
6317 if (((word >> 15) & 0x1) == 0)
6318 {
6319 if (((word >> 4) & 0x1) == 0)
6320 {
6321 /* 33222222222211111111110000000000
6322 10987654321098765432109876543210
6323 xxxx0xxxxxxxx100xxxxx0xx0x1001xx
6324 cmpeq. */
6325 return 1252;
6326 }
6327 else
6328 {
6329 /* 33222222222211111111110000000000
6330 10987654321098765432109876543210
6331 xxxx1xxxxxxxx100xxxxx0xx0x1001xx
6332 cmpne. */
6333 return 1275;
6334 }
6335 }
6336 else
6337 {
6338 if (((word >> 30) & 0x1) == 0)
6339 {
6340 if (((word >> 31) & 0x1) == 0)
6341 {
6342 if (((word >> 4) & 0x1) == 0)
6343 {
6344 /* 33222222222211111111110000000000
6345 10987654321098765432109876543210
6346 xxxx0xxxxxxxx101xxxxx0xx0x100100
6347 cmpeq. */
6348 return 1253;
6349 }
6350 else
6351 {
6352 /* 33222222222211111111110000000000
6353 10987654321098765432109876543210
6354 xxxx1xxxxxxxx101xxxxx0xx0x100100
6355 cmpne. */
6356 return 1276;
6357 }
6358 }
6359 else
6360 {
6361 if (((word >> 20) & 0x1) == 0)
6362 {
6363 if (((word >> 22) & 0x1) == 0)
6364 {
6365 if (((word >> 23) & 0x1) == 0)
6366 {
6367 /* 33222222222211111111110000000000
6368 10987654321098765432109876543210
6369 xxxxxxxxxxxxx101xxxx00000x100101
6370 ld1b. */
6371 return 1424;
6372 }
6373 else
6374 {
6375 /* 33222222222211111111110000000000
6376 10987654321098765432109876543210
6377 xxxxxxxxxxxxx101xxxx00010x100101
6378 ld1sw. */
6379 return 1494;
6380 }
6381 }
6382 else
6383 {
6384 if (((word >> 23) & 0x1) == 0)
6385 {
6386 /* 33222222222211111111110000000000
6387 10987654321098765432109876543210
6388 xxxxxxxxxxxxx101xxxx00100x100101
6389 ld1b. */
6390 return 1426;
6391 }
6392 else
6393 {
6394 /* 33222222222211111111110000000000
6395 10987654321098765432109876543210
6396 xxxxxxxxxxxxx101xxxx00110x100101
6397 ld1h. */
6398 return 1447;
6399 }
6400 }
6401 }
6402 else
6403 {
6404 if (((word >> 22) & 0x1) == 0)
6405 {
6406 if (((word >> 23) & 0x1) == 0)
6407 {
6408 /* 33222222222211111111110000000000
6409 10987654321098765432109876543210
6410 xxxxxxxxxxxxx101xxxx10000x100101
6411 ldnf1b. */
6412 return 1592;
6413 }
6414 else
6415 {
6416 /* 33222222222211111111110000000000
6417 10987654321098765432109876543210
6418 xxxxxxxxxxxxx101xxxx10010x100101
6419 ldnf1sw. */
6420 return 1605;
6421 }
6422 }
6423 else
6424 {
6425 if (((word >> 23) & 0x1) == 0)
6426 {
6427 /* 33222222222211111111110000000000
6428 10987654321098765432109876543210
6429 xxxxxxxxxxxxx101xxxx10100x100101
6430 ldnf1b. */
6431 return 1594;
6432 }
6433 else
6434 {
6435 /* 33222222222211111111110000000000
6436 10987654321098765432109876543210
6437 xxxxxxxxxxxxx101xxxx10110x100101
6438 ldnf1h. */
6439 return 1598;
6440 }
6441 }
6442 }
6443 }
6444 }
6445 else
6446 {
6447 if (((word >> 22) & 0x1) == 0)
6448 {
6449 if (((word >> 23) & 0x1) == 0)
6450 {
6451 /* 33222222222211111111110000000000
6452 10987654321098765432109876543210
6453 xxxxxxxxxxxxx101xxxxx0000x10011x
6454 st1b. */
6455 return 1751;
6456 }
6457 else
6458 {
6459 /* 33222222222211111111110000000000
6460 10987654321098765432109876543210
6461 xxxxxxxxxxxxx101xxxxx0010x10011x
6462 st1h. */
6463 return 1770;
6464 }
6465 }
6466 else
6467 {
6468 if (((word >> 23) & 0x1) == 0)
6469 {
6470 /* 33222222222211111111110000000000
6471 10987654321098765432109876543210
6472 xxxxxxxxxxxxx101xxxxx0100x10011x
6473 st1b. */
6474 return 1758;
6475 }
6476 else
6477 {
6478 /* 33222222222211111111110000000000
6479 10987654321098765432109876543210
6480 xxxxxxxxxxxxx101xxxxx0110x10011x
6481 st1h. */
6482 return 1779;
6483 }
6484 }
6485 }
6486 }
6487 }
6488 else
6489 {
6490 if (((word >> 15) & 0x1) == 0)
6491 {
6492 if (((word >> 30) & 0x1) == 0)
6493 {
6494 if (((word >> 31) & 0x1) == 0)
6495 {
6496 if (((word >> 4) & 0x1) == 0)
6497 {
6498 /* 33222222222211111111110000000000
6499 10987654321098765432109876543210
6500 xxxx0xxxxxxxx110xxxxx0xx0x100100
6501 cmplt. */
6502 return 1273;
6503 }
6504 else
6505 {
6506 /* 33222222222211111111110000000000
6507 10987654321098765432109876543210
6508 xxxx1xxxxxxxx110xxxxx0xx0x100100
6509 cmple. */
6510 return 1267;
6511 }
6512 }
6513 else
6514 {
6515 if (((word >> 22) & 0x1) == 0)
6516 {
6517 if (((word >> 23) & 0x1) == 0)
6518 {
6519 /* 33222222222211111111110000000000
6520 10987654321098765432109876543210
6521 xxxxxxxxxxxxx110xxxxx0000x100101
6522 ldff1b. */
6523 return 1533;
6524 }
6525 else
6526 {
6527 /* 33222222222211111111110000000000
6528 10987654321098765432109876543210
6529 xxxxxxxxxxxxx110xxxxx0010x100101
6530 ldff1sw. */
6531 return 1576;
6532 }
6533 }
6534 else
6535 {
6536 if (((word >> 23) & 0x1) == 0)
6537 {
6538 /* 33222222222211111111110000000000
6539 10987654321098765432109876543210
6540 xxxxxxxxxxxxx110xxxxx0100x100101
6541 ldff1b. */
6542 return 1535;
6543 }
6544 else
6545 {
6546 /* 33222222222211111111110000000000
6547 10987654321098765432109876543210
6548 xxxxxxxxxxxxx110xxxxx0110x100101
6549 ldff1h. */
6550 return 1550;
6551 }
6552 }
6553 }
6554 }
6555 else
6556 {
6557 if (((word >> 22) & 0x1) == 0)
6558 {
6559 if (((word >> 23) & 0x1) == 0)
6560 {
6561 /* 33222222222211111111110000000000
6562 10987654321098765432109876543210
6563 xxxxxxxxxxxxx110xxxxx0000x10011x
6564 stnt1b. */
6565 return 1819;
6566 }
6567 else
6568 {
6569 /* 33222222222211111111110000000000
6570 10987654321098765432109876543210
6571 xxxxxxxxxxxxx110xxxxx0010x10011x
6572 stnt1h. */
6573 return 1823;
6574 }
6575 }
6576 else
6577 {
6578 if (((word >> 23) & 0x1) == 0)
6579 {
6580 /* 33222222222211111111110000000000
6581 10987654321098765432109876543210
6582 xxxxxxxxxxxxx110xxxxx0100x10011x
6583 st3b. */
6584 return 1803;
6585 }
6586 else
6587 {
6588 /* 33222222222211111111110000000000
6589 10987654321098765432109876543210
6590 xxxxxxxxxxxxx110xxxxx0110x10011x
6591 st3h. */
6592 return 1807;
6593 }
6594 }
6595 }
6596 }
6597 else
6598 {
6599 if (((word >> 30) & 0x1) == 0)
6600 {
6601 if (((word >> 31) & 0x1) == 0)
6602 {
6603 if (((word >> 4) & 0x1) == 0)
6604 {
6605 /* 33222222222211111111110000000000
6606 10987654321098765432109876543210
6607 xxxx0xxxxxxxx111xxxxx0xx0x100100
6608 cmplo. */
6609 return 1269;
6610 }
6611 else
6612 {
6613 /* 33222222222211111111110000000000
6614 10987654321098765432109876543210
6615 xxxx1xxxxxxxx111xxxxx0xx0x100100
6616 cmpls. */
6617 return 1271;
6618 }
6619 }
6620 else
6621 {
6622 if (((word >> 22) & 0x1) == 0)
6623 {
6624 if (((word >> 23) & 0x1) == 0)
6625 {
6626 /* 33222222222211111111110000000000
6627 10987654321098765432109876543210
6628 xxxxxxxxxxxxx111xxxxx0000x100101
6629 ldnt1b. */
6630 return 1609;
6631 }
6632 else
6633 {
6634 /* 33222222222211111111110000000000
6635 10987654321098765432109876543210
6636 xxxxxxxxxxxxx111xxxxx0010x100101
6637 ldnt1h. */
6638 return 1613;
6639 }
6640 }
6641 else
6642 {
6643 if (((word >> 23) & 0x1) == 0)
6644 {
6645 /* 33222222222211111111110000000000
6646 10987654321098765432109876543210
6647 xxxxxxxxxxxxx111xxxxx0100x100101
6648 ld3b. */
6649 return 1517;
6650 }
6651 else
6652 {
6653 /* 33222222222211111111110000000000
6654 10987654321098765432109876543210
6655 xxxxxxxxxxxxx111xxxxx0110x100101
6656 ld3h. */
6657 return 1521;
6658 }
6659 }
6660 }
6661 }
6662 else
6663 {
6664 if (((word >> 20) & 0x1) == 0)
6665 {
6666 if (((word >> 22) & 0x1) == 0)
6667 {
6668 /* 33222222222211111111110000000000
6669 10987654321098765432109876543210
6670 xxxxxxxxxxxxx111xxxx000x0x10011x
6671 st1b. */
6672 return 1756;
6673 }
6674 else
6675 {
6676 if (((word >> 23) & 0x1) == 0)
6677 {
6678 /* 33222222222211111111110000000000
6679 10987654321098765432109876543210
6680 xxxxxxxxxxxxx111xxxx00100x10011x
6681 st1b. */
6682 return 1759;
6683 }
6684 else
6685 {
6686 /* 33222222222211111111110000000000
6687 10987654321098765432109876543210
6688 xxxxxxxxxxxxx111xxxx00110x10011x
6689 st1h. */
6690 return 1780;
6691 }
6692 }
6693 }
6694 else
6695 {
6696 if (((word >> 22) & 0x1) == 0)
6697 {
6698 if (((word >> 23) & 0x1) == 0)
6699 {
6700 /* 33222222222211111111110000000000
6701 10987654321098765432109876543210
6702 xxxxxxxxxxxxx111xxxx10000x10011x
6703 stnt1b. */
6704 return 1820;
6705 }
6706 else
6707 {
6708 /* 33222222222211111111110000000000
6709 10987654321098765432109876543210
6710 xxxxxxxxxxxxx111xxxx10010x10011x
6711 stnt1h. */
6712 return 1824;
6713 }
6714 }
6715 else
6716 {
6717 if (((word >> 23) & 0x1) == 0)
6718 {
6719 /* 33222222222211111111110000000000
6720 10987654321098765432109876543210
6721 xxxxxxxxxxxxx111xxxx10100x10011x
6722 st3b. */
6723 return 1804;
6724 }
6725 else
6726 {
6727 /* 33222222222211111111110000000000
6728 10987654321098765432109876543210
6729 xxxxxxxxxxxxx111xxxx10110x10011x
6730 st3h. */
6731 return 1808;
6732 }
6733 }
6734 }
6735 }
6736 }
6737 }
6738 }
6739 else
6740 {
6741 if (((word >> 30) & 0x1) == 0)
6742 {
6743 if (((word >> 31) & 0x1) == 0)
6744 {
6745 if (((word >> 4) & 0x1) == 0)
6746 {
6747 /* 33222222222211111111110000000000
6748 10987654321098765432109876543210
6749 xxxx0xxxxxxxx1xxxxxxx1xx0x100100
6750 cmplo. */
6751 return 1270;
6752 }
6753 else
6754 {
6755 /* 33222222222211111111110000000000
6756 10987654321098765432109876543210
6757 xxxx1xxxxxxxx1xxxxxxx1xx0x100100
6758 cmpls. */
6759 return 1272;
6760 }
6761 }
6762 else
6763 {
6764 if (((word >> 14) & 0x1) == 0)
6765 {
6766 if (((word >> 20) & 0x1) == 0)
6767 {
6768 if (((word >> 22) & 0x1) == 0)
6769 {
6770 if (((word >> 23) & 0x1) == 0)
6771 {
6772 /* 33222222222211111111110000000000
6773 10987654321098765432109876543210
6774 xxxxxxxxxxxxx10xxxxx01000x100101
6775 ld1b. */
6776 return 1425;
6777 }
6778 else
6779 {
6780 /* 33222222222211111111110000000000
6781 10987654321098765432109876543210
6782 xxxxxxxxxxxxx10xxxxx01010x100101
6783 ld1h. */
6784 return 1446;
6785 }
6786 }
6787 else
6788 {
6789 if (((word >> 23) & 0x1) == 0)
6790 {
6791 /* 33222222222211111111110000000000
6792 10987654321098765432109876543210
6793 xxxxxxxxxxxxx10xxxxx01100x100101
6794 ld1b. */
6795 return 1427;
6796 }
6797 else
6798 {
6799 /* 33222222222211111111110000000000
6800 10987654321098765432109876543210
6801 xxxxxxxxxxxxx10xxxxx01110x100101
6802 ld1h. */
6803 return 1448;
6804 }
6805 }
6806 }
6807 else
6808 {
6809 if (((word >> 22) & 0x1) == 0)
6810 {
6811 if (((word >> 23) & 0x1) == 0)
6812 {
6813 /* 33222222222211111111110000000000
6814 10987654321098765432109876543210
6815 xxxxxxxxxxxxx10xxxxx11000x100101
6816 ldnf1b. */
6817 return 1593;
6818 }
6819 else
6820 {
6821 /* 33222222222211111111110000000000
6822 10987654321098765432109876543210
6823 xxxxxxxxxxxxx10xxxxx11010x100101
6824 ldnf1h. */
6825 return 1597;
6826 }
6827 }
6828 else
6829 {
6830 if (((word >> 23) & 0x1) == 0)
6831 {
6832 /* 33222222222211111111110000000000
6833 10987654321098765432109876543210
6834 xxxxxxxxxxxxx10xxxxx11100x100101
6835 ldnf1b. */
6836 return 1595;
6837 }
6838 else
6839 {
6840 /* 33222222222211111111110000000000
6841 10987654321098765432109876543210
6842 xxxxxxxxxxxxx10xxxxx11110x100101
6843 ldnf1h. */
6844 return 1599;
6845 }
6846 }
6847 }
6848 }
6849 else
6850 {
6851 if (((word >> 15) & 0x1) == 0)
6852 {
6853 if (((word >> 22) & 0x1) == 0)
6854 {
6855 if (((word >> 23) & 0x1) == 0)
6856 {
6857 /* 33222222222211111111110000000000
6858 10987654321098765432109876543210
6859 xxxxxxxxxxxxx110xxxxx1000x100101
6860 ldff1b. */
6861 return 1534;
6862 }
6863 else
6864 {
6865 /* 33222222222211111111110000000000
6866 10987654321098765432109876543210
6867 xxxxxxxxxxxxx110xxxxx1010x100101
6868 ldff1h. */
6869 return 1549;
6870 }
6871 }
6872 else
6873 {
6874 if (((word >> 23) & 0x1) == 0)
6875 {
6876 /* 33222222222211111111110000000000
6877 10987654321098765432109876543210
6878 xxxxxxxxxxxxx110xxxxx1100x100101
6879 ldff1b. */
6880 return 1536;
6881 }
6882 else
6883 {
6884 /* 33222222222211111111110000000000
6885 10987654321098765432109876543210
6886 xxxxxxxxxxxxx110xxxxx1110x100101
6887 ldff1h. */
6888 return 1551;
6889 }
6890 }
6891 }
6892 else
6893 {
6894 if (((word >> 22) & 0x1) == 0)
6895 {
6896 if (((word >> 23) & 0x1) == 0)
6897 {
6898 /* 33222222222211111111110000000000
6899 10987654321098765432109876543210
6900 xxxxxxxxxxxxx111xxxxx1000x100101
6901 ld2b. */
6902 return 1509;
6903 }
6904 else
6905 {
6906 /* 33222222222211111111110000000000
6907 10987654321098765432109876543210
6908 xxxxxxxxxxxxx111xxxxx1010x100101
6909 ld2h. */
6910 return 1513;
6911 }
6912 }
6913 else
6914 {
6915 if (((word >> 23) & 0x1) == 0)
6916 {
6917 /* 33222222222211111111110000000000
6918 10987654321098765432109876543210
6919 xxxxxxxxxxxxx111xxxxx1100x100101
6920 ld4b. */
6921 return 1525;
6922 }
6923 else
6924 {
6925 /* 33222222222211111111110000000000
6926 10987654321098765432109876543210
6927 xxxxxxxxxxxxx111xxxxx1110x100101
6928 ld4h. */
6929 return 1529;
6930 }
6931 }
6932 }
6933 }
6934 }
6935 }
6936 else
6937 {
6938 if (((word >> 14) & 0x1) == 0)
6939 {
6940 if (((word >> 22) & 0x1) == 0)
6941 {
6942 /* 33222222222211111111110000000000
6943 10987654321098765432109876543210
6944 xxxxxxxxxxxxx10xxxxxx10x0x10011x
6945 st1h. */
6946 return 1773;
6947 }
6948 else
6949 {
6950 if (((word >> 23) & 0x1) == 0)
6951 {
6952 /* 33222222222211111111110000000000
6953 10987654321098765432109876543210
6954 xxxxxxxxxxxxx10xxxxxx1100x10011x
6955 st1b. */
6956 return 1760;
6957 }
6958 else
6959 {
6960 /* 33222222222211111111110000000000
6961 10987654321098765432109876543210
6962 xxxxxxxxxxxxx10xxxxxx1110x10011x
6963 st1h. */
6964 return 1781;
6965 }
6966 }
6967 }
6968 else
6969 {
6970 if (((word >> 15) & 0x1) == 0)
6971 {
6972 if (((word >> 22) & 0x1) == 0)
6973 {
6974 if (((word >> 23) & 0x1) == 0)
6975 {
6976 /* 33222222222211111111110000000000
6977 10987654321098765432109876543210
6978 xxxxxxxxxxxxx110xxxxx1000x10011x
6979 st2b. */
6980 return 1795;
6981 }
6982 else
6983 {
6984 /* 33222222222211111111110000000000
6985 10987654321098765432109876543210
6986 xxxxxxxxxxxxx110xxxxx1010x10011x
6987 st2h. */
6988 return 1799;
6989 }
6990 }
6991 else
6992 {
6993 if (((word >> 23) & 0x1) == 0)
6994 {
6995 /* 33222222222211111111110000000000
6996 10987654321098765432109876543210
6997 xxxxxxxxxxxxx110xxxxx1100x10011x
6998 st4b. */
6999 return 1811;
7000 }
7001 else
7002 {
7003 /* 33222222222211111111110000000000
7004 10987654321098765432109876543210
7005 xxxxxxxxxxxxx110xxxxx1110x10011x
7006 st4h. */
7007 return 1815;
7008 }
7009 }
7010 }
7011 else
7012 {
7013 if (((word >> 20) & 0x1) == 0)
7014 {
7015 if (((word >> 22) & 0x1) == 0)
7016 {
7017 if (((word >> 23) & 0x1) == 0)
7018 {
7019 /* 33222222222211111111110000000000
7020 10987654321098765432109876543210
7021 xxxxxxxxxxxxx111xxxx01000x10011x
7022 st1b. */
7023 return 1757;
7024 }
7025 else
7026 {
7027 /* 33222222222211111111110000000000
7028 10987654321098765432109876543210
7029 xxxxxxxxxxxxx111xxxx01010x10011x
7030 st1h. */
7031 return 1778;
7032 }
7033 }
7034 else
7035 {
7036 if (((word >> 23) & 0x1) == 0)
7037 {
7038 /* 33222222222211111111110000000000
7039 10987654321098765432109876543210
7040 xxxxxxxxxxxxx111xxxx01100x10011x
7041 st1b. */
7042 return 1761;
7043 }
7044 else
7045 {
7046 /* 33222222222211111111110000000000
7047 10987654321098765432109876543210
7048 xxxxxxxxxxxxx111xxxx01110x10011x
7049 st1h. */
7050 return 1782;
7051 }
7052 }
7053 }
7054 else
7055 {
7056 if (((word >> 22) & 0x1) == 0)
7057 {
7058 if (((word >> 23) & 0x1) == 0)
7059 {
7060 /* 33222222222211111111110000000000
7061 10987654321098765432109876543210
7062 xxxxxxxxxxxxx111xxxx11000x10011x
7063 st2b. */
7064 return 1796;
7065 }
7066 else
7067 {
7068 /* 33222222222211111111110000000000
7069 10987654321098765432109876543210
7070 xxxxxxxxxxxxx111xxxx11010x10011x
7071 st2h. */
7072 return 1800;
7073 }
7074 }
7075 else
7076 {
7077 if (((word >> 23) & 0x1) == 0)
7078 {
7079 /* 33222222222211111111110000000000
7080 10987654321098765432109876543210
7081 xxxxxxxxxxxxx111xxxx11100x10011x
7082 st4b. */
7083 return 1812;
7084 }
7085 else
7086 {
7087 /* 33222222222211111111110000000000
7088 10987654321098765432109876543210
7089 xxxxxxxxxxxxx111xxxx11110x10011x
7090 st4h. */
7091 return 1816;
7092 }
7093 }
7094 }
7095 }
7096 }
7097 }
7098 }
7099 }
7100 }
7101 }
7102 else
7103 {
7104 if (((word >> 29) & 0x1) == 0)
7105 {
7106 if (((word >> 30) & 0x1) == 0)
7107 {
7108 if (((word >> 31) & 0x1) == 0)
7109 {
7110 if (((word >> 21) & 0x1) == 0)
7111 {
7112 if (((word >> 20) & 0x1) == 0)
7113 {
7114 if (((word >> 22) & 0x1) == 0)
7115 {
7116 if (((word >> 23) & 0x1) == 0)
7117 {
7118 /* 33222222222211111111110000000000
7119 10987654321098765432109876543210
7120 xxxxxxxxxxxxxxxxxxxx00001x100000
7121 orr. */
7122 return 1647;
7123 }
7124 else
7125 {
7126 /* 33222222222211111111110000000000
7127 10987654321098765432109876543210
7128 xxxxxxxxxxxxxxxxxxxx00011x100000
7129 and. */
7130 return 1218;
7131 }
7132 }
7133 else
7134 {
7135 if (((word >> 23) & 0x1) == 0)
7136 {
7137 /* 33222222222211111111110000000000
7138 10987654321098765432109876543210
7139 xxxxxxxxxxxxxxxxxxxx00101x100000
7140 eor. */
7141 return 1305;
7142 }
7143 else
7144 {
7145 /* 33222222222211111111110000000000
7146 10987654321098765432109876543210
7147 xxxxxxxxxxxxxxxxxxxx00111x100000
7148 dupm. */
7149 return 1303;
7150 }
7151 }
7152 }
7153 else
7154 {
7155 if (((word >> 15) & 0x1) == 0)
7156 {
7157 /* 33222222222211111111110000000000
7158 10987654321098765432109876543210
7159 xxxxxxxxxxxxxxx0xxxx10xx1x100000
7160 cpy. */
7161 return 1288;
7162 }
7163 else
7164 {
7165 /* 33222222222211111111110000000000
7166 10987654321098765432109876543210
7167 xxxxxxxxxxxxxxx1xxxx10xx1x100000
7168 fcpy. */
7169 return 1331;
7170 }
7171 }
7172 }
7173 else
7174 {
7175 if (((word >> 14) & 0x1) == 0)
7176 {
7177 if (((word >> 13) & 0x1) == 0)
7178 {
7179 if (((word >> 15) & 0x1) == 0)
7180 {
7181 /* 33222222222211111111110000000000
7182 10987654321098765432109876543210
7183 xxxxxxxxxxxxx000xxxxx1xx1x100000
7184 ext. */
7185 return 1310;
7186 }
7187 else
7188 {
7189 if (((word >> 16) & 0x1) == 0)
7190 {
7191 if (((word >> 17) & 0x1) == 0)
7192 {
7193 if (((word >> 18) & 0x1) == 0)
7194 {
7195 if (((word >> 19) & 0x1) == 0)
7196 {
7197 /* 33222222222211111111110000000000
7198 10987654321098765432109876543210
7199 xxxxxxxxxxxxx0010000x1xx1x100000
7200 cpy. */
7201 return 1286;
7202 }
7203 else
7204 {
7205 /* 33222222222211111111110000000000
7206 10987654321098765432109876543210
7207 xxxxxxxxxxxxx0010001x1xx1x100000
7208 clasta. */
7209 return 1244;
7210 }
7211 }
7212 else
7213 {
7214 if (((word >> 19) & 0x1) == 0)
7215 {
7216 /* 33222222222211111111110000000000
7217 10987654321098765432109876543210
7218 xxxxxxxxxxxxx0010010x1xx1x100000
7219 revb. */
7220 return 1695;
7221 }
7222 else
7223 {
7224 /* 33222222222211111111110000000000
7225 10987654321098765432109876543210
7226 xxxxxxxxxxxxx0010011x1xx1x100000
7227 splice. */
7228 return 1716;
7229 }
7230 }
7231 }
7232 else
7233 {
7234 if (((word >> 18) & 0x1) == 0)
7235 {
7236 if (((word >> 19) & 0x1) == 0)
7237 {
7238 /* 33222222222211111111110000000000
7239 10987654321098765432109876543210
7240 xxxxxxxxxxxxx0010100x1xx1x100000
7241 lasta. */
7242 return 1413;
7243 }
7244 else
7245 {
7246 /* 33222222222211111111110000000000
7247 10987654321098765432109876543210
7248 xxxxxxxxxxxxx0010101x1xx1x100000
7249 clasta. */
7250 return 1245;
7251 }
7252 }
7253 else
7254 {
7255 /* 33222222222211111111110000000000
7256 10987654321098765432109876543210
7257 xxxxxxxxxxxxx001011xx1xx1x100000
7258 revw. */
7259 return 1697;
7260 }
7261 }
7262 }
7263 else
7264 {
7265 if (((word >> 17) & 0x1) == 0)
7266 {
7267 if (((word >> 18) & 0x1) == 0)
7268 {
7269 if (((word >> 19) & 0x1) == 0)
7270 {
7271 /* 33222222222211111111110000000000
7272 10987654321098765432109876543210
7273 xxxxxxxxxxxxx0011000x1xx1x100000
7274 compact. */
7275 return 1285;
7276 }
7277 else
7278 {
7279 /* 33222222222211111111110000000000
7280 10987654321098765432109876543210
7281 xxxxxxxxxxxxx0011001x1xx1x100000
7282 clastb. */
7283 return 1247;
7284 }
7285 }
7286 else
7287 {
7288 /* 33222222222211111111110000000000
7289 10987654321098765432109876543210
7290 xxxxxxxxxxxxx001101xx1xx1x100000
7291 revh. */
7292 return 1696;
7293 }
7294 }
7295 else
7296 {
7297 if (((word >> 18) & 0x1) == 0)
7298 {
7299 if (((word >> 19) & 0x1) == 0)
7300 {
7301 /* 33222222222211111111110000000000
7302 10987654321098765432109876543210
7303 xxxxxxxxxxxxx0011100x1xx1x100000
7304 lastb. */
7305 return 1415;
7306 }
7307 else
7308 {
7309 /* 33222222222211111111110000000000
7310 10987654321098765432109876543210
7311 xxxxxxxxxxxxx0011101x1xx1x100000
7312 clastb. */
7313 return 1248;
7314 }
7315 }
7316 else
7317 {
7318 /* 33222222222211111111110000000000
7319 10987654321098765432109876543210
7320 xxxxxxxxxxxxx001111xx1xx1x100000
7321 rbit. */
7322 return 1688;
7323 }
7324 }
7325 }
7326 }
7327 }
7328 else
7329 {
7330 if (((word >> 15) & 0x1) == 0)
7331 {
7332 if (((word >> 11) & 0x1) == 0)
7333 {
7334 if (((word >> 12) & 0x1) == 0)
7335 {
7336 /* 33222222222211111111110000000000
7337 10987654321098765432109876543210
7338 xxxxxxxxxxx00100xxxxx1xx1x100000
7339 dup. */
7340 return 1301;
7341 }
7342 else
7343 {
7344 /* 33222222222211111111110000000000
7345 10987654321098765432109876543210
7346 xxxxxxxxxxx01100xxxxx1xx1x100000
7347 tbl. */
7348 return 1839;
7349 }
7350 }
7351 else
7352 {
7353 if (((word >> 16) & 0x1) == 0)
7354 {
7355 if (((word >> 17) & 0x1) == 0)
7356 {
7357 if (((word >> 18) & 0x1) == 0)
7358 {
7359 if (((word >> 19) & 0x1) == 0)
7360 {
7361 if (((word >> 20) & 0x1) == 0)
7362 {
7363 /* 33222222222211111111110000000000
7364 10987654321098765432109876543210
7365 xxxxxxxxxxx1x100000001xx1x100000
7366 dup. */
7367 return 1300;
7368 }
7369 else
7370 {
7371 /* 33222222222211111111110000000000
7372 10987654321098765432109876543210
7373 xxxxxxxxxxx1x100000011xx1x100000
7374 sunpklo. */
7375 return 1835;
7376 }
7377 }
7378 else
7379 {
7380 /* 33222222222211111111110000000000
7381 10987654321098765432109876543210
7382 xxxxxxxxxxx1x1000001x1xx1x100000
7383 rev. */
7384 return 1694;
7385 }
7386 }
7387 else
7388 {
7389 if (((word >> 20) & 0x1) == 0)
7390 {
7391 /* 33222222222211111111110000000000
7392 10987654321098765432109876543210
7393 xxxxxxxxxxx1x100001x01xx1x100000
7394 insr. */
7395 return 1410;
7396 }
7397 else
7398 {
7399 /* 33222222222211111111110000000000
7400 10987654321098765432109876543210
7401 xxxxxxxxxxx1x100001x11xx1x100000
7402 insr. */
7403 return 1411;
7404 }
7405 }
7406 }
7407 else
7408 {
7409 /* 33222222222211111111110000000000
7410 10987654321098765432109876543210
7411 xxxxxxxxxxx1x10001xxx1xx1x100000
7412 uunpklo. */
7413 return 1892;
7414 }
7415 }
7416 else
7417 {
7418 if (((word >> 17) & 0x1) == 0)
7419 {
7420 /* 33222222222211111111110000000000
7421 10987654321098765432109876543210
7422 xxxxxxxxxxx1x10010xxx1xx1x100000
7423 sunpkhi. */
7424 return 1834;
7425 }
7426 else
7427 {
7428 /* 33222222222211111111110000000000
7429 10987654321098765432109876543210
7430 xxxxxxxxxxx1x10011xxx1xx1x100000
7431 uunpkhi. */
7432 return 1891;
7433 }
7434 }
7435 }
7436 }
7437 else
7438 {
7439 if (((word >> 16) & 0x1) == 0)
7440 {
7441 if (((word >> 19) & 0x1) == 0)
7442 {
7443 if (((word >> 20) & 0x1) == 0)
7444 {
7445 /* 33222222222211111111110000000000
7446 10987654321098765432109876543210
7447 xxxxxxxxxxxxx1010xx001xx1x100000
7448 lasta. */
7449 return 1412;
7450 }
7451 else
7452 {
7453 /* 33222222222211111111110000000000
7454 10987654321098765432109876543210
7455 xxxxxxxxxxxxx1010xx011xx1x100000
7456 clasta. */
7457 return 1246;
7458 }
7459 }
7460 else
7461 {
7462 /* 33222222222211111111110000000000
7463 10987654321098765432109876543210
7464 xxxxxxxxxxxxx1010xx1x1xx1x100000
7465 cpy. */
7466 return 1287;
7467 }
7468 }
7469 else
7470 {
7471 if (((word >> 20) & 0x1) == 0)
7472 {
7473 /* 33222222222211111111110000000000
7474 10987654321098765432109876543210
7475 xxxxxxxxxxxxx1011xxx01xx1x100000
7476 lastb. */
7477 return 1414;
7478 }
7479 else
7480 {
7481 /* 33222222222211111111110000000000
7482 10987654321098765432109876543210
7483 xxxxxxxxxxxxx1011xxx11xx1x100000
7484 clastb. */
7485 return 1249;
7486 }
7487 }
7488 }
7489 }
7490 }
7491 else
7492 {
7493 if (((word >> 15) & 0x1) == 0)
7494 {
7495 if (((word >> 10) & 0x1) == 0)
7496 {
7497 if (((word >> 11) & 0x1) == 0)
7498 {
7499 if (((word >> 12) & 0x1) == 0)
7500 {
7501 if (((word >> 13) & 0x1) == 0)
7502 {
7503 if (((word >> 20) & 0x1) == 0)
7504 {
7505 /* 33222222222211111111110000000000
7506 10987654321098765432109876543210
7507 xxxxxxxxxx000010xxxx01xx1x100000
7508 zip1. */
7509 return 1909;
7510 }
7511 else
7512 {
7513 if (((word >> 16) & 0x1) == 0)
7514 {
7515 if (((word >> 18) & 0x1) == 0)
7516 {
7517 /* 33222222222211111111110000000000
7518 10987654321098765432109876543210
7519 xxxxxxxxxx0000100x0x11xx1x100000
7520 punpklo. */
7521 return 1687;
7522 }
7523 else
7524 {
7525 /* 33222222222211111111110000000000
7526 10987654321098765432109876543210
7527 xxxxxxxxxx0000100x1x11xx1x100000
7528 rev. */
7529 return 1693;
7530 }
7531 }
7532 else
7533 {
7534 /* 33222222222211111111110000000000
7535 10987654321098765432109876543210
7536 xxxxxxxxxx0000101xxx11xx1x100000
7537 punpkhi. */
7538 return 1686;
7539 }
7540 }
7541 }
7542 else
7543 {
7544 /* 33222222222211111111110000000000
7545 10987654321098765432109876543210
7546 xxxxxxxxxx000110xxxxx1xx1x100000
7547 zip1. */
7548 return 1910;
7549 }
7550 }
7551 else
7552 {
7553 if (((word >> 13) & 0x1) == 0)
7554 {
7555 /* 33222222222211111111110000000000
7556 10987654321098765432109876543210
7557 xxxxxxxxxx001010xxxxx1xx1x100000
7558 trn1. */
7559 return 1840;
7560 }
7561 else
7562 {
7563 /* 33222222222211111111110000000000
7564 10987654321098765432109876543210
7565 xxxxxxxxxx001110xxxxx1xx1x100000
7566 trn1. */
7567 return 1841;
7568 }
7569 }
7570 }
7571 else
7572 {
7573 if (((word >> 13) & 0x1) == 0)
7574 {
7575 /* 33222222222211111111110000000000
7576 10987654321098765432109876543210
7577 xxxxxxxxxx01x010xxxxx1xx1x100000
7578 uzp1. */
7579 return 1896;
7580 }
7581 else
7582 {
7583 /* 33222222222211111111110000000000
7584 10987654321098765432109876543210
7585 xxxxxxxxxx01x110xxxxx1xx1x100000
7586 uzp1. */
7587 return 1897;
7588 }
7589 }
7590 }
7591 else
7592 {
7593 if (((word >> 11) & 0x1) == 0)
7594 {
7595 if (((word >> 12) & 0x1) == 0)
7596 {
7597 if (((word >> 13) & 0x1) == 0)
7598 {
7599 /* 33222222222211111111110000000000
7600 10987654321098765432109876543210
7601 xxxxxxxxxx100010xxxxx1xx1x100000
7602 zip2. */
7603 return 1911;
7604 }
7605 else
7606 {
7607 /* 33222222222211111111110000000000
7608 10987654321098765432109876543210
7609 xxxxxxxxxx100110xxxxx1xx1x100000
7610 zip2. */
7611 return 1912;
7612 }
7613 }
7614 else
7615 {
7616 if (((word >> 13) & 0x1) == 0)
7617 {
7618 /* 33222222222211111111110000000000
7619 10987654321098765432109876543210
7620 xxxxxxxxxx101010xxxxx1xx1x100000
7621 trn2. */
7622 return 1842;
7623 }
7624 else
7625 {
7626 /* 33222222222211111111110000000000
7627 10987654321098765432109876543210
7628 xxxxxxxxxx101110xxxxx1xx1x100000
7629 trn2. */
7630 return 1843;
7631 }
7632 }
7633 }
7634 else
7635 {
7636 if (((word >> 13) & 0x1) == 0)
7637 {
7638 /* 33222222222211111111110000000000
7639 10987654321098765432109876543210
7640 xxxxxxxxxx11x010xxxxx1xx1x100000
7641 uzp2. */
7642 return 1898;
7643 }
7644 else
7645 {
7646 /* 33222222222211111111110000000000
7647 10987654321098765432109876543210
7648 xxxxxxxxxx11x110xxxxx1xx1x100000
7649 uzp2. */
7650 return 1899;
7651 }
7652 }
7653 }
7654 }
7655 else
7656 {
7657 /* 33222222222211111111110000000000
7658 10987654321098765432109876543210
7659 xxxxxxxxxxxxxx11xxxxx1xx1x100000
7660 sel. */
7661 return 1706;
7662 }
7663 }
7664 }
7665 }
7666 else
7667 {
7668 if (((word >> 13) & 0x1) == 0)
7669 {
7670 if (((word >> 14) & 0x1) == 0)
7671 {
7672 if (((word >> 15) & 0x1) == 0)
7673 {
7674 if (((word >> 22) & 0x1) == 0)
7675 {
7676 /* 33222222222211111111110000000000
7677 10987654321098765432109876543210
7678 xxxxxxxxxxxxx000xxxxxx0x1x100001
7679 ldr. */
7680 return 1616;
7681 }
7682 else
7683 {
7684 /* 33222222222211111111110000000000
7685 10987654321098765432109876543210
7686 xxxxxxxxxxxxx000xxxxxx1x1x100001
7687 prfb. */
7688 return 1660;
7689 }
7690 }
7691 else
7692 {
7693 if (((word >> 23) & 0x1) == 0)
7694 {
7695 /* 33222222222211111111110000000000
7696 10987654321098765432109876543210
7697 xxxxxxxxxxxxx001xxxxxxx01x100001
7698 ld1rsh. */
7699 return 1461;
7700 }
7701 else
7702 {
7703 /* 33222222222211111111110000000000
7704 10987654321098765432109876543210
7705 xxxxxxxxxxxxx001xxxxxxx11x100001
7706 ld1rsb. */
7707 return 1458;
7708 }
7709 }
7710 }
7711 else
7712 {
7713 if (((word >> 15) & 0x1) == 0)
7714 {
7715 if (((word >> 23) & 0x1) == 0)
7716 {
7717 if (((word >> 21) & 0x1) == 0)
7718 {
7719 /* 33222222222211111111110000000000
7720 10987654321098765432109876543210
7721 xxxxxxxxxxxxx010xxxxx0x01x100001
7722 ld1w. */
7723 return 1496;
7724 }
7725 else
7726 {
7727 /* 33222222222211111111110000000000
7728 10987654321098765432109876543210
7729 xxxxxxxxxxxxx010xxxxx1x01x100001
7730 ld1w. */
7731 return 1497;
7732 }
7733 }
7734 else
7735 {
7736 if (((word >> 22) & 0x1) == 0)
7737 {
7738 /* 33222222222211111111110000000000
7739 10987654321098765432109876543210
7740 xxxxxxxxxxxxx010xxxxxx011x100001
7741 ldr. */
7742 return 1617;
7743 }
7744 else
7745 {
7746 /* 33222222222211111111110000000000
7747 10987654321098765432109876543210
7748 xxxxxxxxxxxxx010xxxxxx111x100001
7749 prfw. */
7750 return 1681;
7751 }
7752 }
7753 }
7754 else
7755 {
7756 if (((word >> 22) & 0x1) == 0)
7757 {
7758 if (((word >> 21) & 0x1) == 0)
7759 {
7760 if (((word >> 23) & 0x1) == 0)
7761 {
7762 /* 33222222222211111111110000000000
7763 10987654321098765432109876543210
7764 xxxxxxxxxxxxx011xxxxx0001x100001
7765 prfw. */
7766 return 1677;
7767 }
7768 else
7769 {
7770 /* 33222222222211111111110000000000
7771 10987654321098765432109876543210
7772 xxxxxxxxxxxxx011xxxxx0011x100001
7773 prfd. */
7774 return 1663;
7775 }
7776 }
7777 else
7778 {
7779 /* 33222222222211111111110000000000
7780 10987654321098765432109876543210
7781 xxxxxxxxxxxxx011xxxxx10x1x100001
7782 ld1w. */
7783 return 1504;
7784 }
7785 }
7786 else
7787 {
7788 if (((word >> 23) & 0x1) == 0)
7789 {
7790 /* 33222222222211111111110000000000
7791 10987654321098765432109876543210
7792 xxxxxxxxxxxxx011xxxxxx101x100001
7793 ld1rw. */
7794 return 1464;
7795 }
7796 else
7797 {
7798 /* 33222222222211111111110000000000
7799 10987654321098765432109876543210
7800 xxxxxxxxxxxxx011xxxxxx111x100001
7801 ld1rsb. */
7802 return 1460;
7803 }
7804 }
7805 }
7806 }
7807 }
7808 else
7809 {
7810 if (((word >> 14) & 0x1) == 0)
7811 {
7812 if (((word >> 15) & 0x1) == 0)
7813 {
7814 /* 33222222222211111111110000000000
7815 10987654321098765432109876543210
7816 xxxxxxxxxxxxx100xxxxxxxx1x100001
7817 prfh. */
7818 return 1674;
7819 }
7820 else
7821 {
7822 if (((word >> 23) & 0x1) == 0)
7823 {
7824 /* 33222222222211111111110000000000
7825 10987654321098765432109876543210
7826 xxxxxxxxxxxxx101xxxxxxx01x100001
7827 ld1rsh. */
7828 return 1462;
7829 }
7830 else
7831 {
7832 /* 33222222222211111111110000000000
7833 10987654321098765432109876543210
7834 xxxxxxxxxxxxx101xxxxxxx11x100001
7835 ld1rsb. */
7836 return 1459;
7837 }
7838 }
7839 }
7840 else
7841 {
7842 if (((word >> 15) & 0x1) == 0)
7843 {
7844 if (((word >> 23) & 0x1) == 0)
7845 {
7846 if (((word >> 21) & 0x1) == 0)
7847 {
7848 /* 33222222222211111111110000000000
7849 10987654321098765432109876543210
7850 xxxxxxxxxxxxx110xxxxx0x01x100001
7851 ldff1w. */
7852 return 1582;
7853 }
7854 else
7855 {
7856 /* 33222222222211111111110000000000
7857 10987654321098765432109876543210
7858 xxxxxxxxxxxxx110xxxxx1x01x100001
7859 ldff1w. */
7860 return 1583;
7861 }
7862 }
7863 else
7864 {
7865 /* 33222222222211111111110000000000
7866 10987654321098765432109876543210
7867 xxxxxxxxxxxxx110xxxxxxx11x100001
7868 prfd. */
7869 return 1667;
7870 }
7871 }
7872 else
7873 {
7874 if (((word >> 22) & 0x1) == 0)
7875 {
7876 if (((word >> 21) & 0x1) == 0)
7877 {
7878 if (((word >> 23) & 0x1) == 0)
7879 {
7880 /* 33222222222211111111110000000000
7881 10987654321098765432109876543210
7882 xxxxxxxxxxxxx111xxxxx0001x100001
7883 prfw. */
7884 return 1680;
7885 }
7886 else
7887 {
7888 /* 33222222222211111111110000000000
7889 10987654321098765432109876543210
7890 xxxxxxxxxxxxx111xxxxx0011x100001
7891 prfd. */
7892 return 1666;
7893 }
7894 }
7895 else
7896 {
7897 /* 33222222222211111111110000000000
7898 10987654321098765432109876543210
7899 xxxxxxxxxxxxx111xxxxx10x1x100001
7900 ldff1w. */
7901 return 1590;
7902 }
7903 }
7904 else
7905 {
7906 if (((word >> 23) & 0x1) == 0)
7907 {
7908 /* 33222222222211111111110000000000
7909 10987654321098765432109876543210
7910 xxxxxxxxxxxxx111xxxxxx101x100001
7911 ld1rw. */
7912 return 1465;
7913 }
7914 else
7915 {
7916 /* 33222222222211111111110000000000
7917 10987654321098765432109876543210
7918 xxxxxxxxxxxxx111xxxxxx111x100001
7919 ld1rd. */
7920 return 1454;
7921 }
7922 }
7923 }
7924 }
7925 }
7926 }
7927 }
7928 else
7929 {
7930 if (((word >> 13) & 0x1) == 0)
7931 {
7932 if (((word >> 14) & 0x1) == 0)
7933 {
7934 if (((word >> 15) & 0x1) == 0)
7935 {
7936 if (((word >> 21) & 0x1) == 0)
7937 {
7938 /* 33222222222211111111110000000000
7939 10987654321098765432109876543210
7940 xxxxxxxxxxxxx000xxxxx0xx1x10001x
7941 ld1sw. */
7942 return 1490;
7943 }
7944 else
7945 {
7946 /* 33222222222211111111110000000000
7947 10987654321098765432109876543210
7948 xxxxxxxxxxxxx000xxxxx1xx1x10001x
7949 ld1sw. */
7950 return 1491;
7951 }
7952 }
7953 else
7954 {
7955 if (((word >> 21) & 0x1) == 0)
7956 {
7957 /* 33222222222211111111110000000000
7958 10987654321098765432109876543210
7959 xxxxxxxxxxxxx001xxxxx0xx1x10001x
7960 ld1sw. */
7961 return 1492;
7962 }
7963 else
7964 {
7965 if (((word >> 22) & 0x1) == 0)
7966 {
7967 /* 33222222222211111111110000000000
7968 10987654321098765432109876543210
7969 xxxxxxxxxxxxx001xxxxx10x1x10001x
7970 ld1sw. */
7971 return 1495;
7972 }
7973 else
7974 {
7975 /* 33222222222211111111110000000000
7976 10987654321098765432109876543210
7977 xxxxxxxxxxxxx001xxxxx11x1x10001x
7978 ld1sw. */
7979 return 1493;
7980 }
7981 }
7982 }
7983 }
7984 else
7985 {
7986 if (((word >> 15) & 0x1) == 0)
7987 {
7988 if (((word >> 21) & 0x1) == 0)
7989 {
7990 if (((word >> 23) & 0x1) == 0)
7991 {
7992 /* 33222222222211111111110000000000
7993 10987654321098765432109876543210
7994 xxxxxxxxxxxxx010xxxxx0x01x10001x
7995 ld1w. */
7996 return 1500;
7997 }
7998 else
7999 {
8000 /* 33222222222211111111110000000000
8001 10987654321098765432109876543210
8002 xxxxxxxxxxxxx010xxxxx0x11x10001x
8003 ld1d. */
8004 return 1430;
8005 }
8006 }
8007 else
8008 {
8009 if (((word >> 23) & 0x1) == 0)
8010 {
8011 /* 33222222222211111111110000000000
8012 10987654321098765432109876543210
8013 xxxxxxxxxxxxx010xxxxx1x01x10001x
8014 ld1w. */
8015 return 1501;
8016 }
8017 else
8018 {
8019 /* 33222222222211111111110000000000
8020 10987654321098765432109876543210
8021 xxxxxxxxxxxxx010xxxxx1x11x10001x
8022 ld1d. */
8023 return 1431;
8024 }
8025 }
8026 }
8027 else
8028 {
8029 if (((word >> 21) & 0x1) == 0)
8030 {
8031 if (((word >> 23) & 0x1) == 0)
8032 {
8033 /* 33222222222211111111110000000000
8034 10987654321098765432109876543210
8035 xxxxxxxxxxxxx011xxxxx0x01x10001x
8036 ld1w. */
8037 return 1502;
8038 }
8039 else
8040 {
8041 /* 33222222222211111111110000000000
8042 10987654321098765432109876543210
8043 xxxxxxxxxxxxx011xxxxx0x11x10001x
8044 ld1d. */
8045 return 1432;
8046 }
8047 }
8048 else
8049 {
8050 if (((word >> 22) & 0x1) == 0)
8051 {
8052 if (((word >> 23) & 0x1) == 0)
8053 {
8054 /* 33222222222211111111110000000000
8055 10987654321098765432109876543210
8056 xxxxxxxxxxxxx011xxxxx1001x10001x
8057 ld1w. */
8058 return 1507;
8059 }
8060 else
8061 {
8062 /* 33222222222211111111110000000000
8063 10987654321098765432109876543210
8064 xxxxxxxxxxxxx011xxxxx1011x10001x
8065 ld1d. */
8066 return 1435;
8067 }
8068 }
8069 else
8070 {
8071 if (((word >> 23) & 0x1) == 0)
8072 {
8073 /* 33222222222211111111110000000000
8074 10987654321098765432109876543210
8075 xxxxxxxxxxxxx011xxxxx1101x10001x
8076 ld1w. */
8077 return 1503;
8078 }
8079 else
8080 {
8081 /* 33222222222211111111110000000000
8082 10987654321098765432109876543210
8083 xxxxxxxxxxxxx011xxxxx1111x10001x
8084 ld1d. */
8085 return 1433;
8086 }
8087 }
8088 }
8089 }
8090 }
8091 }
8092 else
8093 {
8094 if (((word >> 14) & 0x1) == 0)
8095 {
8096 if (((word >> 15) & 0x1) == 0)
8097 {
8098 if (((word >> 21) & 0x1) == 0)
8099 {
8100 /* 33222222222211111111110000000000
8101 10987654321098765432109876543210
8102 xxxxxxxxxxxxx100xxxxx0xx1x10001x
8103 ldff1sw. */
8104 return 1577;
8105 }
8106 else
8107 {
8108 /* 33222222222211111111110000000000
8109 10987654321098765432109876543210
8110 xxxxxxxxxxxxx100xxxxx1xx1x10001x
8111 ldff1sw. */
8112 return 1578;
8113 }
8114 }
8115 else
8116 {
8117 if (((word >> 21) & 0x1) == 0)
8118 {
8119 /* 33222222222211111111110000000000
8120 10987654321098765432109876543210
8121 xxxxxxxxxxxxx101xxxxx0xx1x10001x
8122 ldff1sw. */
8123 return 1579;
8124 }
8125 else
8126 {
8127 if (((word >> 22) & 0x1) == 0)
8128 {
8129 /* 33222222222211111111110000000000
8130 10987654321098765432109876543210
8131 xxxxxxxxxxxxx101xxxxx10x1x10001x
8132 ldff1sw. */
8133 return 1581;
8134 }
8135 else
8136 {
8137 /* 33222222222211111111110000000000
8138 10987654321098765432109876543210
8139 xxxxxxxxxxxxx101xxxxx11x1x10001x
8140 ldff1sw. */
8141 return 1580;
8142 }
8143 }
8144 }
8145 }
8146 else
8147 {
8148 if (((word >> 15) & 0x1) == 0)
8149 {
8150 if (((word >> 21) & 0x1) == 0)
8151 {
8152 if (((word >> 23) & 0x1) == 0)
8153 {
8154 /* 33222222222211111111110000000000
8155 10987654321098765432109876543210
8156 xxxxxxxxxxxxx110xxxxx0x01x10001x
8157 ldff1w. */
8158 return 1586;
8159 }
8160 else
8161 {
8162 /* 33222222222211111111110000000000
8163 10987654321098765432109876543210
8164 xxxxxxxxxxxxx110xxxxx0x11x10001x
8165 ldff1d. */
8166 return 1542;
8167 }
8168 }
8169 else
8170 {
8171 if (((word >> 23) & 0x1) == 0)
8172 {
8173 /* 33222222222211111111110000000000
8174 10987654321098765432109876543210
8175 xxxxxxxxxxxxx110xxxxx1x01x10001x
8176 ldff1w. */
8177 return 1587;
8178 }
8179 else
8180 {
8181 /* 33222222222211111111110000000000
8182 10987654321098765432109876543210
8183 xxxxxxxxxxxxx110xxxxx1x11x10001x
8184 ldff1d. */
8185 return 1543;
8186 }
8187 }
8188 }
8189 else
8190 {
8191 if (((word >> 21) & 0x1) == 0)
8192 {
8193 if (((word >> 22) & 0x1) == 0)
8194 {
8195 if (((word >> 23) & 0x1) == 0)
8196 {
8197 /* 33222222222211111111110000000000
8198 10987654321098765432109876543210
8199 xxxxxxxxxxxxx111xxxxx0001x10001x
8200 prfw. */
8201 return 1682;
8202 }
8203 else
8204 {
8205 /* 33222222222211111111110000000000
8206 10987654321098765432109876543210
8207 xxxxxxxxxxxxx111xxxxx0011x10001x
8208 prfd. */
8209 return 1668;
8210 }
8211 }
8212 else
8213 {
8214 if (((word >> 23) & 0x1) == 0)
8215 {
8216 /* 33222222222211111111110000000000
8217 10987654321098765432109876543210
8218 xxxxxxxxxxxxx111xxxxx0101x10001x
8219 ldff1w. */
8220 return 1588;
8221 }
8222 else
8223 {
8224 /* 33222222222211111111110000000000
8225 10987654321098765432109876543210
8226 xxxxxxxxxxxxx111xxxxx0111x10001x
8227 ldff1d. */
8228 return 1544;
8229 }
8230 }
8231 }
8232 else
8233 {
8234 if (((word >> 22) & 0x1) == 0)
8235 {
8236 if (((word >> 23) & 0x1) == 0)
8237 {
8238 /* 33222222222211111111110000000000
8239 10987654321098765432109876543210
8240 xxxxxxxxxxxxx111xxxxx1001x10001x
8241 ldff1w. */
8242 return 1591;
8243 }
8244 else
8245 {
8246 /* 33222222222211111111110000000000
8247 10987654321098765432109876543210
8248 xxxxxxxxxxxxx111xxxxx1011x10001x
8249 ldff1d. */
8250 return 1546;
8251 }
8252 }
8253 else
8254 {
8255 if (((word >> 23) & 0x1) == 0)
8256 {
8257 /* 33222222222211111111110000000000
8258 10987654321098765432109876543210
8259 xxxxxxxxxxxxx111xxxxx1101x10001x
8260 ldff1w. */
8261 return 1589;
8262 }
8263 else
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
8267 xxxxxxxxxxxxx111xxxxx1111x10001x
8268 ldff1d. */
8269 return 1545;
8270 }
8271 }
8272 }
8273 }
8274 }
8275 }
8276 }
8277 }
8278 else
8279 {
8280 if (((word >> 15) & 0x1) == 0)
8281 {
8282 if (((word >> 14) & 0x1) == 0)
8283 {
8284 if (((word >> 13) & 0x1) == 0)
8285 {
8286 if (((word >> 30) & 0x1) == 0)
8287 {
8288 if (((word >> 4) & 0x1) == 0)
8289 {
8290 if (((word >> 21) & 0x1) == 0)
8291 {
8292 /* 33222222222211111111110000000000
8293 10987654321098765432109876543210
8294 xxxx0xxxxxxxx000xxxxx0xx1x10010x
8295 cmpge. */
8296 return 1257;
8297 }
8298 else
8299 {
8300 if (((word >> 11) & 0x1) == 0)
8301 {
8302 if (((word >> 12) & 0x1) == 0)
8303 {
8304 /* 33222222222211111111110000000000
8305 10987654321098765432109876543210
8306 xxxx0xxxxxx00000xxxxx1xx1x10010x
8307 whilelt. */
8308 return 1906;
8309 }
8310 else
8311 {
8312 /* 33222222222211111111110000000000
8313 10987654321098765432109876543210
8314 xxxx0xxxxxx01000xxxxx1xx1x10010x
8315 whilelt. */
8316 return 1907;
8317 }
8318 }
8319 else
8320 {
8321 if (((word >> 12) & 0x1) == 0)
8322 {
8323 /* 33222222222211111111110000000000
8324 10987654321098765432109876543210
8325 xxxx0xxxxxx10000xxxxx1xx1x10010x
8326 whilelo. */
8327 return 1902;
8328 }
8329 else
8330 {
8331 /* 33222222222211111111110000000000
8332 10987654321098765432109876543210
8333 xxxx0xxxxxx11000xxxxx1xx1x10010x
8334 whilelo. */
8335 return 1903;
8336 }
8337 }
8338 }
8339 }
8340 else
8341 {
8342 if (((word >> 21) & 0x1) == 0)
8343 {
8344 /* 33222222222211111111110000000000
8345 10987654321098765432109876543210
8346 xxxx1xxxxxxxx000xxxxx0xx1x10010x
8347 cmpgt. */
8348 return 1260;
8349 }
8350 else
8351 {
8352 if (((word >> 11) & 0x1) == 0)
8353 {
8354 if (((word >> 12) & 0x1) == 0)
8355 {
8356 /* 33222222222211111111110000000000
8357 10987654321098765432109876543210
8358 xxxx1xxxxxx00000xxxxx1xx1x10010x
8359 whilele. */
8360 return 1900;
8361 }
8362 else
8363 {
8364 /* 33222222222211111111110000000000
8365 10987654321098765432109876543210
8366 xxxx1xxxxxx01000xxxxx1xx1x10010x
8367 whilele. */
8368 return 1901;
8369 }
8370 }
8371 else
8372 {
8373 if (((word >> 12) & 0x1) == 0)
8374 {
8375 /* 33222222222211111111110000000000
8376 10987654321098765432109876543210
8377 xxxx1xxxxxx10000xxxxx1xx1x10010x
8378 whilels. */
8379 return 1904;
8380 }
8381 else
8382 {
8383 /* 33222222222211111111110000000000
8384 10987654321098765432109876543210
8385 xxxx1xxxxxx11000xxxxx1xx1x10010x
8386 whilels. */
8387 return 1905;
8388 }
8389 }
8390 }
8391 }
8392 }
8393 else
8394 {
8395 if (((word >> 31) & 0x1) == 0)
8396 {
8397 if (((word >> 21) & 0x1) == 0)
8398 {
8399 if (((word >> 10) & 0x1) == 0)
8400 {
8401 if (((word >> 11) & 0x1) == 0)
8402 {
8403 /* 33222222222211111111110000000000
8404 10987654321098765432109876543210
8405 xxxxxxxxxx00x000xxxxx0xx1x100110
8406 fadd. */
8407 return 1315;
8408 }
8409 else
8410 {
8411 if (((word >> 12) & 0x1) == 0)
8412 {
8413 /* 33222222222211111111110000000000
8414 10987654321098765432109876543210
8415 xxxxxxxxxx010000xxxxx0xx1x100110
8416 fmul. */
8417 return 1366;
8418 }
8419 else
8420 {
8421 /* 33222222222211111111110000000000
8422 10987654321098765432109876543210
8423 xxxxxxxxxx011000xxxxx0xx1x100110
8424 frecps. */
8425 return 1376;
8426 }
8427 }
8428 }
8429 else
8430 {
8431 if (((word >> 11) & 0x1) == 0)
8432 {
8433 /* 33222222222211111111110000000000
8434 10987654321098765432109876543210
8435 xxxxxxxxxx10x000xxxxx0xx1x100110
8436 fsub. */
8437 return 1389;
8438 }
8439 else
8440 {
8441 if (((word >> 12) & 0x1) == 0)
8442 {
8443 /* 33222222222211111111110000000000
8444 10987654321098765432109876543210
8445 xxxxxxxxxx110000xxxxx0xx1x100110
8446 ftsmul. */
8447 return 1395;
8448 }
8449 else
8450 {
8451 /* 33222222222211111111110000000000
8452 10987654321098765432109876543210
8453 xxxxxxxxxx111000xxxxx0xx1x100110
8454 frsqrts. */
8455 return 1386;
8456 }
8457 }
8458 }
8459 }
8460 else
8461 {
8462 /* 33222222222211111111110000000000
8463 10987654321098765432109876543210
8464 xxxxxxxxxxxxx000xxxxx1xx1x100110
8465 fmla. */
8466 return 1363;
8467 }
8468 }
8469 else
8470 {
8471 /* 33222222222211111111110000000000
8472 10987654321098765432109876543210
8473 xxxxxxxxxxxxx000xxxxxxxx1x100111
8474 str. */
8475 return 1827;
8476 }
8477 }
8478 }
8479 else
8480 {
8481 if (((word >> 21) & 0x1) == 0)
8482 {
8483 if (((word >> 30) & 0x1) == 0)
8484 {
8485 if (((word >> 4) & 0x1) == 0)
8486 {
8487 /* 33222222222211111111110000000000
8488 10987654321098765432109876543210
8489 xxxx0xxxxxxxx100xxxxx0xx1x10010x
8490 cmplt. */
8491 return 1274;
8492 }
8493 else
8494 {
8495 /* 33222222222211111111110000000000
8496 10987654321098765432109876543210
8497 xxxx1xxxxxxxx100xxxxx0xx1x10010x
8498 cmple. */
8499 return 1268;
8500 }
8501 }
8502 else
8503 {
8504 if (((word >> 16) & 0x1) == 0)
8505 {
8506 if (((word >> 17) & 0x1) == 0)
8507 {
8508 if (((word >> 18) & 0x1) == 0)
8509 {
8510 if (((word >> 19) & 0x1) == 0)
8511 {
8512 if (((word >> 20) & 0x1) == 0)
8513 {
8514 /* 33222222222211111111110000000000
8515 10987654321098765432109876543210
8516 xxxxxxxxxxxxx100000000xx1x10011x
8517 faddv. */
8518 return 1319;
8519 }
8520 else
8521 {
8522 if (((word >> 4) & 0x1) == 0)
8523 {
8524 /* 33222222222211111111110000000000
8525 10987654321098765432109876543210
8526 xxxx0xxxxxxxx100000010xx1x10011x
8527 fcmge. */
8528 return 1322;
8529 }
8530 else
8531 {
8532 /* 33222222222211111111110000000000
8533 10987654321098765432109876543210
8534 xxxx1xxxxxxxx100000010xx1x10011x
8535 fcmgt. */
8536 return 1324;
8537 }
8538 }
8539 }
8540 else
8541 {
8542 /* 33222222222211111111110000000000
8543 10987654321098765432109876543210
8544 xxxxxxxxxxxxx1000001x0xx1x10011x
8545 fadda. */
8546 return 1318;
8547 }
8548 }
8549 else
8550 {
8551 /* 33222222222211111111110000000000
8552 10987654321098765432109876543210
8553 xxxxxxxxxxxxx100001xx0xx1x10011x
8554 fmaxnmv. */
8555 return 1355;
8556 }
8557 }
8558 else
8559 {
8560 if (((word >> 18) & 0x1) == 0)
8561 {
8562 /* 33222222222211111111110000000000
8563 10987654321098765432109876543210
8564 xxxxxxxxxxxxx100010xx0xx1x10011x
8565 fcmeq. */
8566 return 1320;
8567 }
8568 else
8569 {
8570 if (((word >> 19) & 0x1) == 0)
8571 {
8572 /* 33222222222211111111110000000000
8573 10987654321098765432109876543210
8574 xxxxxxxxxxxxx1000110x0xx1x10011x
8575 fmaxv. */
8576 return 1356;
8577 }
8578 else
8579 {
8580 /* 33222222222211111111110000000000
8581 10987654321098765432109876543210
8582 xxxxxxxxxxxxx1000111x0xx1x10011x
8583 frecpe. */
8584 return 1375;
8585 }
8586 }
8587 }
8588 }
8589 else
8590 {
8591 if (((word >> 17) & 0x1) == 0)
8592 {
8593 if (((word >> 18) & 0x1) == 0)
8594 {
8595 if (((word >> 4) & 0x1) == 0)
8596 {
8597 /* 33222222222211111111110000000000
8598 10987654321098765432109876543210
8599 xxxx0xxxxxxxx100100xx0xx1x10011x
8600 fcmlt. */
8601 return 1327;
8602 }
8603 else
8604 {
8605 /* 33222222222211111111110000000000
8606 10987654321098765432109876543210
8607 xxxx1xxxxxxxx100100xx0xx1x10011x
8608 fcmle. */
8609 return 1326;
8610 }
8611 }
8612 else
8613 {
8614 /* 33222222222211111111110000000000
8615 10987654321098765432109876543210
8616 xxxxxxxxxxxxx100101xx0xx1x10011x
8617 fminnmv. */
8618 return 1361;
8619 }
8620 }
8621 else
8622 {
8623 if (((word >> 18) & 0x1) == 0)
8624 {
8625 /* 33222222222211111111110000000000
8626 10987654321098765432109876543210
8627 xxxxxxxxxxxxx100110xx0xx1x10011x
8628 fcmne. */
8629 return 1328;
8630 }
8631 else
8632 {
8633 if (((word >> 19) & 0x1) == 0)
8634 {
8635 /* 33222222222211111111110000000000
8636 10987654321098765432109876543210
8637 xxxxxxxxxxxxx1001110x0xx1x10011x
8638 fminv. */
8639 return 1362;
8640 }
8641 else
8642 {
8643 /* 33222222222211111111110000000000
8644 10987654321098765432109876543210
8645 xxxxxxxxxxxxx1001111x0xx1x10011x
8646 frsqrte. */
8647 return 1385;
8648 }
8649 }
8650 }
8651 }
8652 }
8653 }
8654 else
8655 {
8656 if (((word >> 30) & 0x1) == 0)
8657 {
8658 if (((word >> 4) & 0x1) == 0)
8659 {
8660 /* 33222222222211111111110000000000
8661 10987654321098765432109876543210
8662 xxxx0xxxxxxxx100xxxxx1xx1x10010x
8663 ctermeq. */
8664 return 1289;
8665 }
8666 else
8667 {
8668 /* 33222222222211111111110000000000
8669 10987654321098765432109876543210
8670 xxxx1xxxxxxxx100xxxxx1xx1x10010x
8671 ctermne. */
8672 return 1290;
8673 }
8674 }
8675 else
8676 {
8677 /* 33222222222211111111110000000000
8678 10987654321098765432109876543210
8679 xxxxxxxxxxxxx100xxxxx1xx1x10011x
8680 fmls. */
8681 return 1364;
8682 }
8683 }
8684 }
8685 }
8686 else
8687 {
8688 if (((word >> 23) & 0x1) == 0)
8689 {
8690 if (((word >> 21) & 0x1) == 0)
8691 {
8692 if (((word >> 22) & 0x1) == 0)
8693 {
8694 if (((word >> 30) & 0x1) == 0)
8695 {
8696 if (((word >> 31) & 0x1) == 0)
8697 {
8698 if (((word >> 9) & 0x1) == 0)
8699 {
8700 if (((word >> 20) & 0x1) == 0)
8701 {
8702 if (((word >> 4) & 0x1) == 0)
8703 {
8704 /* 33222222222211111111110000000000
8705 10987654321098765432109876543210
8706 xxxx0xxxx0xxxx10xxxx00001x100100
8707 and. */
8708 return 1220;
8709 }
8710 else
8711 {
8712 /* 33222222222211111111110000000000
8713 10987654321098765432109876543210
8714 xxxx1xxxx0xxxx10xxxx00001x100100
8715 bic. */
8716 return 1232;
8717 }
8718 }
8719 else
8720 {
8721 if (((word >> 19) & 0x1) == 0)
8722 {
8723 /* 33222222222211111111110000000000
8724 10987654321098765432109876543210
8725 xxxxxxxxx0xxxx10xxx010001x100100
8726 brka. */
8727 return 1234;
8728 }
8729 else
8730 {
8731 /* 33222222222211111111110000000000
8732 10987654321098765432109876543210
8733 xxxxxxxxx0xxxx10xxx110001x100100
8734 brkn. */
8735 return 1238;
8736 }
8737 }
8738 }
8739 else
8740 {
8741 if (((word >> 4) & 0x1) == 0)
8742 {
8743 /* 33222222222211111111110000000000
8744 10987654321098765432109876543210
8745 xxxx0xxxx1xxxx10xxxxx0001x100100
8746 eor. */
8747 return 1307;
8748 }
8749 else
8750 {
8751 /* 33222222222211111111110000000000
8752 10987654321098765432109876543210
8753 xxxx1xxxx1xxxx10xxxxx0001x100100
8754 sel. */
8755 return 1707;
8756 }
8757 }
8758 }
8759 else
8760 {
8761 if (((word >> 13) & 0x1) == 0)
8762 {
8763 /* 33222222222211111111110000000000
8764 10987654321098765432109876543210
8765 xxxxxxxxxxxxx010xxxxx0001x100101
8766 ld1sh. */
8767 return 1479;
8768 }
8769 else
8770 {
8771 /* 33222222222211111111110000000000
8772 10987654321098765432109876543210
8773 xxxxxxxxxxxxx110xxxxx0001x100101
8774 ldff1sh. */
8775 return 1568;
8776 }
8777 }
8778 }
8779 else
8780 {
8781 /* 33222222222211111111110000000000
8782 10987654321098765432109876543210
8783 xxxxxxxxxxxxxx10xxxxx0001x10011x
8784 stnt1w. */
8785 return 1825;
8786 }
8787 }
8788 else
8789 {
8790 if (((word >> 30) & 0x1) == 0)
8791 {
8792 if (((word >> 31) & 0x1) == 0)
8793 {
8794 if (((word >> 4) & 0x1) == 0)
8795 {
8796 if (((word >> 9) & 0x1) == 0)
8797 {
8798 if (((word >> 20) & 0x1) == 0)
8799 {
8800 /* 33222222222211111111110000000000
8801 10987654321098765432109876543210
8802 xxxx0xxxx0xxxx10xxxx00101x100100
8803 ands. */
8804 return 1221;
8805 }
8806 else
8807 {
8808 if (((word >> 19) & 0x1) == 0)
8809 {
8810 /* 33222222222211111111110000000000
8811 10987654321098765432109876543210
8812 xxxx0xxxx0xxxx10xxx010101x100100
8813 brkas. */
8814 return 1235;
8815 }
8816 else
8817 {
8818 /* 33222222222211111111110000000000
8819 10987654321098765432109876543210
8820 xxxx0xxxx0xxxx10xxx110101x100100
8821 brkns. */
8822 return 1239;
8823 }
8824 }
8825 }
8826 else
8827 {
8828 /* 33222222222211111111110000000000
8829 10987654321098765432109876543210
8830 xxxx0xxxx1xxxx10xxxxx0101x100100
8831 eors. */
8832 return 1308;
8833 }
8834 }
8835 else
8836 {
8837 /* 33222222222211111111110000000000
8838 10987654321098765432109876543210
8839 xxxx1xxxxxxxxx10xxxxx0101x100100
8840 bics. */
8841 return 1233;
8842 }
8843 }
8844 else
8845 {
8846 if (((word >> 13) & 0x1) == 0)
8847 {
8848 /* 33222222222211111111110000000000
8849 10987654321098765432109876543210
8850 xxxxxxxxxxxxx010xxxxx0101x100101
8851 ld1w. */
8852 return 1498;
8853 }
8854 else
8855 {
8856 /* 33222222222211111111110000000000
8857 10987654321098765432109876543210
8858 xxxxxxxxxxxxx110xxxxx0101x100101
8859 ldff1w. */
8860 return 1584;
8861 }
8862 }
8863 }
8864 else
8865 {
8866 if (((word >> 13) & 0x1) == 0)
8867 {
8868 /* 33222222222211111111110000000000
8869 10987654321098765432109876543210
8870 xxxxxxxxxxxxx010xxxxx0101x10011x
8871 st1w. */
8872 return 1787;
8873 }
8874 else
8875 {
8876 /* 33222222222211111111110000000000
8877 10987654321098765432109876543210
8878 xxxxxxxxxxxxx110xxxxx0101x10011x
8879 st3w. */
8880 return 1809;
8881 }
8882 }
8883 }
8884 }
8885 else
8886 {
8887 if (((word >> 13) & 0x1) == 0)
8888 {
8889 if (((word >> 22) & 0x1) == 0)
8890 {
8891 /* 33222222222211111111110000000000
8892 10987654321098765432109876543210
8893 xxxxxxxxxxxxx010xxxxx1001x1001xx
8894 ld1sh. */
8895 return 1480;
8896 }
8897 else
8898 {
8899 if (((word >> 30) & 0x1) == 0)
8900 {
8901 /* 33222222222211111111110000000000
8902 10987654321098765432109876543210
8903 xxxxxxxxxxxxx010xxxxx1101x10010x
8904 ld1w. */
8905 return 1499;
8906 }
8907 else
8908 {
8909 /* 33222222222211111111110000000000
8910 10987654321098765432109876543210
8911 xxxxxxxxxxxxx010xxxxx1101x10011x
8912 st1w. */
8913 return 1789;
8914 }
8915 }
8916 }
8917 else
8918 {
8919 if (((word >> 22) & 0x1) == 0)
8920 {
8921 if (((word >> 30) & 0x1) == 0)
8922 {
8923 /* 33222222222211111111110000000000
8924 10987654321098765432109876543210
8925 xxxxxxxxxxxxx110xxxxx1001x10010x
8926 ldff1sh. */
8927 return 1569;
8928 }
8929 else
8930 {
8931 /* 33222222222211111111110000000000
8932 10987654321098765432109876543210
8933 xxxxxxxxxxxxx110xxxxx1001x10011x
8934 st2w. */
8935 return 1801;
8936 }
8937 }
8938 else
8939 {
8940 if (((word >> 30) & 0x1) == 0)
8941 {
8942 /* 33222222222211111111110000000000
8943 10987654321098765432109876543210
8944 xxxxxxxxxxxxx110xxxxx1101x10010x
8945 ldff1w. */
8946 return 1585;
8947 }
8948 else
8949 {
8950 /* 33222222222211111111110000000000
8951 10987654321098765432109876543210
8952 xxxxxxxxxxxxx110xxxxx1101x10011x
8953 st4w. */
8954 return 1817;
8955 }
8956 }
8957 }
8958 }
8959 }
8960 else
8961 {
8962 if (((word >> 30) & 0x1) == 0)
8963 {
8964 if (((word >> 21) & 0x1) == 0)
8965 {
8966 if (((word >> 22) & 0x1) == 0)
8967 {
8968 if (((word >> 31) & 0x1) == 0)
8969 {
8970 if (((word >> 9) & 0x1) == 0)
8971 {
8972 if (((word >> 20) & 0x1) == 0)
8973 {
8974 if (((word >> 4) & 0x1) == 0)
8975 {
8976 /* 33222222222211111111110000000000
8977 10987654321098765432109876543210
8978 xxxx0xxxx0xxxx10xxxx00011x100100
8979 orr. */
8980 return 1649;
8981 }
8982 else
8983 {
8984 /* 33222222222211111111110000000000
8985 10987654321098765432109876543210
8986 xxxx1xxxx0xxxx10xxxx00011x100100
8987 orn. */
8988 return 1644;
8989 }
8990 }
8991 else
8992 {
8993 /* 33222222222211111111110000000000
8994 10987654321098765432109876543210
8995 xxxxxxxxx0xxxx10xxxx10011x100100
8996 brkb. */
8997 return 1236;
8998 }
8999 }
9000 else
9001 {
9002 if (((word >> 4) & 0x1) == 0)
9003 {
9004 /* 33222222222211111111110000000000
9005 10987654321098765432109876543210
9006 xxxx0xxxx1xxxx10xxxxx0011x100100
9007 nor. */
9008 return 1641;
9009 }
9010 else
9011 {
9012 /* 33222222222211111111110000000000
9013 10987654321098765432109876543210
9014 xxxx1xxxx1xxxx10xxxxx0011x100100
9015 nand. */
9016 return 1638;
9017 }
9018 }
9019 }
9020 else
9021 {
9022 if (((word >> 13) & 0x1) == 0)
9023 {
9024 /* 33222222222211111111110000000000
9025 10987654321098765432109876543210
9026 xxxxxxxxxxxxx010xxxxx0011x100101
9027 ld1sb. */
9028 return 1467;
9029 }
9030 else
9031 {
9032 /* 33222222222211111111110000000000
9033 10987654321098765432109876543210
9034 xxxxxxxxxxxxx110xxxxx0011x100101
9035 ldff1sb. */
9036 return 1559;
9037 }
9038 }
9039 }
9040 else
9041 {
9042 if (((word >> 31) & 0x1) == 0)
9043 {
9044 if (((word >> 4) & 0x1) == 0)
9045 {
9046 if (((word >> 9) & 0x1) == 0)
9047 {
9048 if (((word >> 20) & 0x1) == 0)
9049 {
9050 /* 33222222222211111111110000000000
9051 10987654321098765432109876543210
9052 xxxx0xxxx0xxxx10xxxx00111x100100
9053 orrs. */
9054 return 1650;
9055 }
9056 else
9057 {
9058 /* 33222222222211111111110000000000
9059 10987654321098765432109876543210
9060 xxxx0xxxx0xxxx10xxxx10111x100100
9061 brkbs. */
9062 return 1237;
9063 }
9064 }
9065 else
9066 {
9067 /* 33222222222211111111110000000000
9068 10987654321098765432109876543210
9069 xxxx0xxxx1xxxx10xxxxx0111x100100
9070 nors. */
9071 return 1642;
9072 }
9073 }
9074 else
9075 {
9076 if (((word >> 9) & 0x1) == 0)
9077 {
9078 /* 33222222222211111111110000000000
9079 10987654321098765432109876543210
9080 xxxx1xxxx0xxxx10xxxxx0111x100100
9081 orns. */
9082 return 1645;
9083 }
9084 else
9085 {
9086 /* 33222222222211111111110000000000
9087 10987654321098765432109876543210
9088 xxxx1xxxx1xxxx10xxxxx0111x100100
9089 nands. */
9090 return 1639;
9091 }
9092 }
9093 }
9094 else
9095 {
9096 if (((word >> 13) & 0x1) == 0)
9097 {
9098 /* 33222222222211111111110000000000
9099 10987654321098765432109876543210
9100 xxxxxxxxxxxxx010xxxxx0111x100101
9101 ld1sb. */
9102 return 1469;
9103 }
9104 else
9105 {
9106 /* 33222222222211111111110000000000
9107 10987654321098765432109876543210
9108 xxxxxxxxxxxxx110xxxxx0111x100101
9109 ldff1sb. */
9110 return 1561;
9111 }
9112 }
9113 }
9114 }
9115 else
9116 {
9117 if (((word >> 13) & 0x1) == 0)
9118 {
9119 if (((word >> 22) & 0x1) == 0)
9120 {
9121 /* 33222222222211111111110000000000
9122 10987654321098765432109876543210
9123 xxxxxxxxxxxxx010xxxxx1011x10010x
9124 ld1sb. */
9125 return 1468;
9126 }
9127 else
9128 {
9129 /* 33222222222211111111110000000000
9130 10987654321098765432109876543210
9131 xxxxxxxxxxxxx010xxxxx1111x10010x
9132 ld1d. */
9133 return 1429;
9134 }
9135 }
9136 else
9137 {
9138 if (((word >> 22) & 0x1) == 0)
9139 {
9140 /* 33222222222211111111110000000000
9141 10987654321098765432109876543210
9142 xxxxxxxxxxxxx110xxxxx1011x10010x
9143 ldff1sb. */
9144 return 1560;
9145 }
9146 else
9147 {
9148 /* 33222222222211111111110000000000
9149 10987654321098765432109876543210
9150 xxxxxxxxxxxxx110xxxxx1111x10010x
9151 ldff1d. */
9152 return 1541;
9153 }
9154 }
9155 }
9156 }
9157 else
9158 {
9159 if (((word >> 13) & 0x1) == 0)
9160 {
9161 if (((word >> 31) & 0x1) == 0)
9162 {
9163 if (((word >> 21) & 0x1) == 0)
9164 {
9165 if (((word >> 4) & 0x1) == 0)
9166 {
9167 /* 33222222222211111111110000000000
9168 10987654321098765432109876543210
9169 xxxx0xxxxxxxx010xxxxx0x11x100110
9170 fcmge. */
9171 return 1323;
9172 }
9173 else
9174 {
9175 /* 33222222222211111111110000000000
9176 10987654321098765432109876543210
9177 xxxx1xxxxxxxx010xxxxx0x11x100110
9178 fcmgt. */
9179 return 1325;
9180 }
9181 }
9182 else
9183 {
9184 /* 33222222222211111111110000000000
9185 10987654321098765432109876543210
9186 xxxxxxxxxxxxx010xxxxx1x11x100110
9187 fnmla. */
9188 return 1372;
9189 }
9190 }
9191 else
9192 {
9193 if (((word >> 22) & 0x1) == 0)
9194 {
9195 /* 33222222222211111111110000000000
9196 10987654321098765432109876543210
9197 xxxxxxxxxxxxx010xxxxxx011x100111
9198 str. */
9199 return 1828;
9200 }
9201 else
9202 {
9203 /* 33222222222211111111110000000000
9204 10987654321098765432109876543210
9205 xxxxxxxxxxxxx010xxxxxx111x100111
9206 st1d. */
9207 return 1766;
9208 }
9209 }
9210 }
9211 else
9212 {
9213 if (((word >> 21) & 0x1) == 0)
9214 {
9215 if (((word >> 31) & 0x1) == 0)
9216 {
9217 if (((word >> 4) & 0x1) == 0)
9218 {
9219 /* 33222222222211111111110000000000
9220 10987654321098765432109876543210
9221 xxxx0xxxxxxxx110xxxxx0x11x100110
9222 fcmeq. */
9223 return 1321;
9224 }
9225 else
9226 {
9227 /* 33222222222211111111110000000000
9228 10987654321098765432109876543210
9229 xxxx1xxxxxxxx110xxxxx0x11x100110
9230 fcmne. */
9231 return 1329;
9232 }
9233 }
9234 else
9235 {
9236 if (((word >> 22) & 0x1) == 0)
9237 {
9238 /* 33222222222211111111110000000000
9239 10987654321098765432109876543210
9240 xxxxxxxxxxxxx110xxxxx0011x100111
9241 stnt1d. */
9242 return 1821;
9243 }
9244 else
9245 {
9246 /* 33222222222211111111110000000000
9247 10987654321098765432109876543210
9248 xxxxxxxxxxxxx110xxxxx0111x100111
9249 st3d. */
9250 return 1805;
9251 }
9252 }
9253 }
9254 else
9255 {
9256 if (((word >> 31) & 0x1) == 0)
9257 {
9258 /* 33222222222211111111110000000000
9259 10987654321098765432109876543210
9260 xxxxxxxxxxxxx110xxxxx1x11x100110
9261 fnmls. */
9262 return 1373;
9263 }
9264 else
9265 {
9266 if (((word >> 22) & 0x1) == 0)
9267 {
9268 /* 33222222222211111111110000000000
9269 10987654321098765432109876543210
9270 xxxxxxxxxxxxx110xxxxx1011x100111
9271 st2d. */
9272 return 1797;
9273 }
9274 else
9275 {
9276 /* 33222222222211111111110000000000
9277 10987654321098765432109876543210
9278 xxxxxxxxxxxxx110xxxxx1111x100111
9279 st4d. */
9280 return 1813;
9281 }
9282 }
9283 }
9284 }
9285 }
9286 }
9287 }
9288 }
9289 else
9290 {
9291 if (((word >> 21) & 0x1) == 0)
9292 {
9293 if (((word >> 30) & 0x1) == 0)
9294 {
9295 if (((word >> 14) & 0x1) == 0)
9296 {
9297 if (((word >> 13) & 0x1) == 0)
9298 {
9299 if (((word >> 4) & 0x1) == 0)
9300 {
9301 /* 33222222222211111111110000000000
9302 10987654321098765432109876543210
9303 xxxx0xxxxxxxx001xxxxx0xx1x10010x
9304 cmpeq. */
9305 return 1254;
9306 }
9307 else
9308 {
9309 /* 33222222222211111111110000000000
9310 10987654321098765432109876543210
9311 xxxx1xxxxxxxx001xxxxx0xx1x10010x
9312 cmpne. */
9313 return 1277;
9314 }
9315 }
9316 else
9317 {
9318 if (((word >> 20) & 0x1) == 0)
9319 {
9320 if (((word >> 22) & 0x1) == 0)
9321 {
9322 if (((word >> 23) & 0x1) == 0)
9323 {
9324 /* 33222222222211111111110000000000
9325 10987654321098765432109876543210
9326 xxxxxxxxxxxxx101xxxx00001x10010x
9327 ld1sh. */
9328 return 1486;
9329 }
9330 else
9331 {
9332 /* 33222222222211111111110000000000
9333 10987654321098765432109876543210
9334 xxxxxxxxxxxxx101xxxx00011x10010x
9335 ld1sb. */
9336 return 1473;
9337 }
9338 }
9339 else
9340 {
9341 if (((word >> 23) & 0x1) == 0)
9342 {
9343 /* 33222222222211111111110000000000
9344 10987654321098765432109876543210
9345 xxxxxxxxxxxxx101xxxx00101x10010x
9346 ld1w. */
9347 return 1505;
9348 }
9349 else
9350 {
9351 /* 33222222222211111111110000000000
9352 10987654321098765432109876543210
9353 xxxxxxxxxxxxx101xxxx00111x10010x
9354 ld1sb. */
9355 return 1475;
9356 }
9357 }
9358 }
9359 else
9360 {
9361 if (((word >> 22) & 0x1) == 0)
9362 {
9363 if (((word >> 23) & 0x1) == 0)
9364 {
9365 /* 33222222222211111111110000000000
9366 10987654321098765432109876543210
9367 xxxxxxxxxxxxx101xxxx10001x10010x
9368 ldnf1sh. */
9369 return 1603;
9370 }
9371 else
9372 {
9373 /* 33222222222211111111110000000000
9374 10987654321098765432109876543210
9375 xxxxxxxxxxxxx101xxxx10011x10010x
9376 ldnf1sb. */
9377 return 1600;
9378 }
9379 }
9380 else
9381 {
9382 if (((word >> 23) & 0x1) == 0)
9383 {
9384 /* 33222222222211111111110000000000
9385 10987654321098765432109876543210
9386 xxxxxxxxxxxxx101xxxx10101x10010x
9387 ldnf1w. */
9388 return 1606;
9389 }
9390 else
9391 {
9392 /* 33222222222211111111110000000000
9393 10987654321098765432109876543210
9394 xxxxxxxxxxxxx101xxxx10111x10010x
9395 ldnf1sb. */
9396 return 1602;
9397 }
9398 }
9399 }
9400 }
9401 }
9402 else
9403 {
9404 if (((word >> 31) & 0x1) == 0)
9405 {
9406 if (((word >> 4) & 0x1) == 0)
9407 {
9408 if (((word >> 20) & 0x1) == 0)
9409 {
9410 if (((word >> 22) & 0x1) == 0)
9411 {
9412 /* 33222222222211111111110000000000
9413 10987654321098765432109876543210
9414 xxxx0xxxxxxxxx11xxxx000x1x100100
9415 brkpa. */
9416 return 1240;
9417 }
9418 else
9419 {
9420 /* 33222222222211111111110000000000
9421 10987654321098765432109876543210
9422 xxxx0xxxxxxxxx11xxxx001x1x100100
9423 brkpas. */
9424 return 1241;
9425 }
9426 }
9427 else
9428 {
9429 if (((word >> 16) & 0x1) == 0)
9430 {
9431 if (((word >> 19) & 0x1) == 0)
9432 {
9433 /* 33222222222211111111110000000000
9434 10987654321098765432109876543210
9435 xxxx0xxxxxxxxx110xx010xx1x100100
9436 ptest. */
9437 return 1683;
9438 }
9439 else
9440 {
9441 if (((word >> 10) & 0x1) == 0)
9442 {
9443 if (((word >> 12) & 0x1) == 0)
9444 {
9445 if (((word >> 13) & 0x1) == 0)
9446 {
9447 /* 33222222222211111111110000000000
9448 10987654321098765432109876543210
9449 xxxx0xxxxx0x00110xx110xx1x100100
9450 pfirst. */
9451 return 1653;
9452 }
9453 else
9454 {
9455 /* 33222222222211111111110000000000
9456 10987654321098765432109876543210
9457 xxxx0xxxxx0x01110xx110xx1x100100
9458 ptrue. */
9459 return 1684;
9460 }
9461 }
9462 else
9463 {
9464 if (((word >> 22) & 0x1) == 0)
9465 {
9466 /* 33222222222211111111110000000000
9467 10987654321098765432109876543210
9468 xxxx0xxxxx0x1x110xx1100x1x100100
9469 rdffr. */
9470 return 1690;
9471 }
9472 else
9473 {
9474 /* 33222222222211111111110000000000
9475 10987654321098765432109876543210
9476 xxxx0xxxxx0x1x110xx1101x1x100100
9477 rdffrs. */
9478 return 1691;
9479 }
9480 }
9481 }
9482 else
9483 {
9484 /* 33222222222211111111110000000000
9485 10987654321098765432109876543210
9486 xxxx0xxxxx1xxx110xx110xx1x100100
9487 pfalse. */
9488 return 1652;
9489 }
9490 }
9491 }
9492 else
9493 {
9494 if (((word >> 10) & 0x1) == 0)
9495 {
9496 if (((word >> 12) & 0x1) == 0)
9497 {
9498 /* 33222222222211111111110000000000
9499 10987654321098765432109876543210
9500 xxxx0xxxxx0x0x111xxx10xx1x100100
9501 ptrues. */
9502 return 1685;
9503 }
9504 else
9505 {
9506 /* 33222222222211111111110000000000
9507 10987654321098765432109876543210
9508 xxxx0xxxxx0x1x111xxx10xx1x100100
9509 rdffr. */
9510 return 1689;
9511 }
9512 }
9513 else
9514 {
9515 /* 33222222222211111111110000000000
9516 10987654321098765432109876543210
9517 xxxx0xxxxx1xxx111xxx10xx1x100100
9518 pnext. */
9519 return 1654;
9520 }
9521 }
9522 }
9523 }
9524 else
9525 {
9526 if (((word >> 22) & 0x1) == 0)
9527 {
9528 /* 33222222222211111111110000000000
9529 10987654321098765432109876543210
9530 xxxx1xxxxxxxxx11xxxxx00x1x100100
9531 brkpb. */
9532 return 1242;
9533 }
9534 else
9535 {
9536 /* 33222222222211111111110000000000
9537 10987654321098765432109876543210
9538 xxxx1xxxxxxxxx11xxxxx01x1x100100
9539 brkpbs. */
9540 return 1243;
9541 }
9542 }
9543 }
9544 else
9545 {
9546 if (((word >> 13) & 0x1) == 0)
9547 {
9548 if (((word >> 22) & 0x1) == 0)
9549 {
9550 if (((word >> 23) & 0x1) == 0)
9551 {
9552 /* 33222222222211111111110000000000
9553 10987654321098765432109876543210
9554 xxxxxxxxxxxxx011xxxxx0001x100101
9555 ldnt1w. */
9556 return 1614;
9557 }
9558 else
9559 {
9560 /* 33222222222211111111110000000000
9561 10987654321098765432109876543210
9562 xxxxxxxxxxxxx011xxxxx0011x100101
9563 ldnt1d. */
9564 return 1610;
9565 }
9566 }
9567 else
9568 {
9569 if (((word >> 23) & 0x1) == 0)
9570 {
9571 /* 33222222222211111111110000000000
9572 10987654321098765432109876543210
9573 xxxxxxxxxxxxx011xxxxx0101x100101
9574 ld3w. */
9575 return 1522;
9576 }
9577 else
9578 {
9579 /* 33222222222211111111110000000000
9580 10987654321098765432109876543210
9581 xxxxxxxxxxxxx011xxxxx0111x100101
9582 ld3d. */
9583 return 1518;
9584 }
9585 }
9586 }
9587 else
9588 {
9589 if (((word >> 22) & 0x1) == 0)
9590 {
9591 if (((word >> 23) & 0x1) == 0)
9592 {
9593 /* 33222222222211111111110000000000
9594 10987654321098765432109876543210
9595 xxxxxxxxxxxxx111xxxxx0001x100101
9596 ldnt1w. */
9597 return 1615;
9598 }
9599 else
9600 {
9601 /* 33222222222211111111110000000000
9602 10987654321098765432109876543210
9603 xxxxxxxxxxxxx111xxxxx0011x100101
9604 ldnt1d. */
9605 return 1611;
9606 }
9607 }
9608 else
9609 {
9610 if (((word >> 23) & 0x1) == 0)
9611 {
9612 /* 33222222222211111111110000000000
9613 10987654321098765432109876543210
9614 xxxxxxxxxxxxx111xxxxx0101x100101
9615 ld3w. */
9616 return 1523;
9617 }
9618 else
9619 {
9620 /* 33222222222211111111110000000000
9621 10987654321098765432109876543210
9622 xxxxxxxxxxxxx111xxxxx0111x100101
9623 ld3d. */
9624 return 1519;
9625 }
9626 }
9627 }
9628 }
9629 }
9630 }
9631 else
9632 {
9633 if (((word >> 13) & 0x1) == 0)
9634 {
9635 if (((word >> 23) & 0x1) == 0)
9636 {
9637 if (((word >> 22) & 0x1) == 0)
9638 {
9639 /* 33222222222211111111110000000000
9640 10987654321098765432109876543210
9641 xxxxxxxxxxxxx0x1xxxxx0001x10011x
9642 st1w. */
9643 return 1783;
9644 }
9645 else
9646 {
9647 /* 33222222222211111111110000000000
9648 10987654321098765432109876543210
9649 xxxxxxxxxxxxx0x1xxxxx0101x10011x
9650 st1w. */
9651 return 1788;
9652 }
9653 }
9654 else
9655 {
9656 if (((word >> 31) & 0x1) == 0)
9657 {
9658 if (((word >> 14) & 0x1) == 0)
9659 {
9660 if (((word >> 19) & 0x1) == 0)
9661 {
9662 if (((word >> 20) & 0x1) == 0)
9663 {
9664 if (((word >> 16) & 0x1) == 0)
9665 {
9666 if (((word >> 17) & 0x1) == 0)
9667 {
9668 if (((word >> 18) & 0x1) == 0)
9669 {
9670 /* 33222222222211111111110000000000
9671 10987654321098765432109876543210
9672 xxxxxxxxxxxxx001000000x11x100110
9673 fadd. */
9674 return 1316;
9675 }
9676 else
9677 {
9678 /* 33222222222211111111110000000000
9679 10987654321098765432109876543210
9680 xxxxxxxxxxxxx001001000x11x100110
9681 fmaxnm. */
9682 return 1353;
9683 }
9684 }
9685 else
9686 {
9687 if (((word >> 18) & 0x1) == 0)
9688 {
9689 /* 33222222222211111111110000000000
9690 10987654321098765432109876543210
9691 xxxxxxxxxxxxx001010000x11x100110
9692 fmul. */
9693 return 1367;
9694 }
9695 else
9696 {
9697 /* 33222222222211111111110000000000
9698 10987654321098765432109876543210
9699 xxxxxxxxxxxxx001011000x11x100110
9700 fmax. */
9701 return 1351;
9702 }
9703 }
9704 }
9705 else
9706 {
9707 if (((word >> 17) & 0x1) == 0)
9708 {
9709 if (((word >> 18) & 0x1) == 0)
9710 {
9711 /* 33222222222211111111110000000000
9712 10987654321098765432109876543210
9713 xxxxxxxxxxxxx001100000x11x100110
9714 fsub. */
9715 return 1390;
9716 }
9717 else
9718 {
9719 /* 33222222222211111111110000000000
9720 10987654321098765432109876543210
9721 xxxxxxxxxxxxx001101000x11x100110
9722 fminnm. */
9723 return 1359;
9724 }
9725 }
9726 else
9727 {
9728 if (((word >> 18) & 0x1) == 0)
9729 {
9730 /* 33222222222211111111110000000000
9731 10987654321098765432109876543210
9732 xxxxxxxxxxxxx001110000x11x100110
9733 fsubr. */
9734 return 1392;
9735 }
9736 else
9737 {
9738 /* 33222222222211111111110000000000
9739 10987654321098765432109876543210
9740 xxxxxxxxxxxxx001111000x11x100110
9741 fmin. */
9742 return 1357;
9743 }
9744 }
9745 }
9746 }
9747 else
9748 {
9749 /* 33222222222211111111110000000000
9750 10987654321098765432109876543210
9751 xxxxxxxxxxxxx001xxx010x11x100110
9752 ftmad. */
9753 return 1394;
9754 }
9755 }
9756 else
9757 {
9758 if (((word >> 16) & 0x1) == 0)
9759 {
9760 if (((word >> 17) & 0x1) == 0)
9761 {
9762 if (((word >> 18) & 0x1) == 0)
9763 {
9764 if (((word >> 20) & 0x1) == 0)
9765 {
9766 /* 33222222222211111111110000000000
9767 10987654321098765432109876543210
9768 xxxxxxxxxxxxx001000100x11x100110
9769 fabd. */
9770 return 1311;
9771 }
9772 else
9773 {
9774 /* 33222222222211111111110000000000
9775 10987654321098765432109876543210
9776 xxxxxxxxxxxxx001000110x11x100110
9777 fadd. */
9778 return 1317;
9779 }
9780 }
9781 else
9782 {
9783 if (((word >> 20) & 0x1) == 0)
9784 {
9785 /* 33222222222211111111110000000000
9786 10987654321098765432109876543210
9787 xxxxxxxxxxxxx001001100x11x100110
9788 fdivr. */
9789 return 1347;
9790 }
9791 else
9792 {
9793 /* 33222222222211111111110000000000
9794 10987654321098765432109876543210
9795 xxxxxxxxxxxxx001001110x11x100110
9796 fmaxnm. */
9797 return 1354;
9798 }
9799 }
9800 }
9801 else
9802 {
9803 if (((word >> 18) & 0x1) == 0)
9804 {
9805 if (((word >> 20) & 0x1) == 0)
9806 {
9807 /* 33222222222211111111110000000000
9808 10987654321098765432109876543210
9809 xxxxxxxxxxxxx001010100x11x100110
9810 fmulx. */
9811 return 1369;
9812 }
9813 else
9814 {
9815 /* 33222222222211111111110000000000
9816 10987654321098765432109876543210
9817 xxxxxxxxxxxxx001010110x11x100110
9818 fmul. */
9819 return 1368;
9820 }
9821 }
9822 else
9823 {
9824 /* 33222222222211111111110000000000
9825 10987654321098765432109876543210
9826 xxxxxxxxxxxxx0010111x0x11x100110
9827 fmax. */
9828 return 1352;
9829 }
9830 }
9831 }
9832 else
9833 {
9834 if (((word >> 17) & 0x1) == 0)
9835 {
9836 if (((word >> 18) & 0x1) == 0)
9837 {
9838 if (((word >> 20) & 0x1) == 0)
9839 {
9840 /* 33222222222211111111110000000000
9841 10987654321098765432109876543210
9842 xxxxxxxxxxxxx001100100x11x100110
9843 fscale. */
9844 return 1387;
9845 }
9846 else
9847 {
9848 /* 33222222222211111111110000000000
9849 10987654321098765432109876543210
9850 xxxxxxxxxxxxx001100110x11x100110
9851 fsub. */
9852 return 1391;
9853 }
9854 }
9855 else
9856 {
9857 if (((word >> 20) & 0x1) == 0)
9858 {
9859 /* 33222222222211111111110000000000
9860 10987654321098765432109876543210
9861 xxxxxxxxxxxxx001101100x11x100110
9862 fdiv. */
9863 return 1346;
9864 }
9865 else
9866 {
9867 /* 33222222222211111111110000000000
9868 10987654321098765432109876543210
9869 xxxxxxxxxxxxx001101110x11x100110
9870 fminnm. */
9871 return 1360;
9872 }
9873 }
9874 }
9875 else
9876 {
9877 if (((word >> 18) & 0x1) == 0)
9878 {
9879 /* 33222222222211111111110000000000
9880 10987654321098765432109876543210
9881 xxxxxxxxxxxxx0011101x0x11x100110
9882 fsubr. */
9883 return 1393;
9884 }
9885 else
9886 {
9887 /* 33222222222211111111110000000000
9888 10987654321098765432109876543210
9889 xxxxxxxxxxxxx0011111x0x11x100110
9890 fmin. */
9891 return 1358;
9892 }
9893 }
9894 }
9895 }
9896 }
9897 else
9898 {
9899 if (((word >> 4) & 0x1) == 0)
9900 {
9901 /* 33222222222211111111110000000000
9902 10987654321098765432109876543210
9903 xxxx0xxxxxxxx011xxxxx0x11x100110
9904 fcmuo. */
9905 return 1330;
9906 }
9907 else
9908 {
9909 /* 33222222222211111111110000000000
9910 10987654321098765432109876543210
9911 xxxx1xxxxxxxx011xxxxx0x11x100110
9912 facge. */
9913 return 1313;
9914 }
9915 }
9916 }
9917 else
9918 {
9919 /* 33222222222211111111110000000000
9920 10987654321098765432109876543210
9921 xxxxxxxxxxxxx0x1xxxxx0x11x100111
9922 st1d. */
9923 return 1762;
9924 }
9925 }
9926 }
9927 else
9928 {
9929 if (((word >> 14) & 0x1) == 0)
9930 {
9931 if (((word >> 23) & 0x1) == 0)
9932 {
9933 if (((word >> 22) & 0x1) == 0)
9934 {
9935 /* 33222222222211111111110000000000
9936 10987654321098765432109876543210
9937 xxxxxxxxxxxxx101xxxxx0001x10011x
9938 st1w. */
9939 return 1784;
9940 }
9941 else
9942 {
9943 /* 33222222222211111111110000000000
9944 10987654321098765432109876543210
9945 xxxxxxxxxxxxx101xxxxx0101x10011x
9946 st1w. */
9947 return 1791;
9948 }
9949 }
9950 else
9951 {
9952 if (((word >> 31) & 0x1) == 0)
9953 {
9954 if (((word >> 16) & 0x1) == 0)
9955 {
9956 if (((word >> 17) & 0x1) == 0)
9957 {
9958 if (((word >> 18) & 0x1) == 0)
9959 {
9960 if (((word >> 19) & 0x1) == 0)
9961 {
9962 if (((word >> 20) & 0x1) == 0)
9963 {
9964 /* 33222222222211111111110000000000
9965 10987654321098765432109876543210
9966 xxxxxxxxxxxxx101000000x11x100110
9967 frintn. */
9968 return 1381;
9969 }
9970 else
9971 {
9972 /* 33222222222211111111110000000000
9973 10987654321098765432109876543210
9974 xxxxxxxxxxxxx101000010x11x100110
9975 scvtf. */
9976 return 1701;
9977 }
9978 }
9979 else
9980 {
9981 if (((word >> 20) & 0x1) == 0)
9982 {
9983 if (((word >> 22) & 0x1) == 0)
9984 {
9985 /* 33222222222211111111110000000000
9986 10987654321098765432109876543210
9987 xxxxxxxxxxxxx101000100011x100110
9988 fcvt. */
9989 return 1332;
9990 }
9991 else
9992 {
9993 /* 33222222222211111111110000000000
9994 10987654321098765432109876543210
9995 xxxxxxxxxxxxx101000100111x100110
9996 fcvt. */
9997 return 1334;
9998 }
9999 }
10000 else
10001 {
10002 /* 33222222222211111111110000000000
10003 10987654321098765432109876543210
10004 xxxxxxxxxxxxx101000110x11x100110
10005 fcvtzs. */
10006 return 1339;
10007 }
10008 }
10009 }
10010 else
10011 {
10012 if (((word >> 19) & 0x1) == 0)
10013 {
10014 if (((word >> 20) & 0x1) == 0)
10015 {
10016 /* 33222222222211111111110000000000
10017 10987654321098765432109876543210
10018 xxxxxxxxxxxxx101001000x11x100110
10019 frinta. */
10020 return 1378;
10021 }
10022 else
10023 {
10024 if (((word >> 22) & 0x1) == 0)
10025 {
10026 /* 33222222222211111111110000000000
10027 10987654321098765432109876543210
10028 xxxxxxxxxxxxx101001010011x100110
10029 scvtf. */
10030 return 1700;
10031 }
10032 else
10033 {
10034 /* 33222222222211111111110000000000
10035 10987654321098765432109876543210
10036 xxxxxxxxxxxxx101001010111x100110
10037 scvtf. */
10038 return 1702;
10039 }
10040 }
10041 }
10042 else
10043 {
10044 if (((word >> 20) & 0x1) == 0)
10045 {
10046 /* 33222222222211111111110000000000
10047 10987654321098765432109876543210
10048 xxxxxxxxxxxxx101001100x11x100110
10049 frecpx. */
10050 return 1377;
10051 }
10052 else
10053 {
10054 if (((word >> 22) & 0x1) == 0)
10055 {
10056 /* 33222222222211111111110000000000
10057 10987654321098765432109876543210
10058 xxxxxxxxxxxxx101001110011x100110
10059 fcvtzs. */
10060 return 1338;
10061 }
10062 else
10063 {
10064 /* 33222222222211111111110000000000
10065 10987654321098765432109876543210
10066 xxxxxxxxxxxxx101001110111x100110
10067 fcvtzs. */
10068 return 1340;
10069 }
10070 }
10071 }
10072 }
10073 }
10074 else
10075 {
10076 if (((word >> 18) & 0x1) == 0)
10077 {
10078 if (((word >> 19) & 0x1) == 0)
10079 {
10080 /* 33222222222211111111110000000000
10081 10987654321098765432109876543210
10082 xxxxxxxxxxxxx1010100x0x11x100110
10083 frintm. */
10084 return 1380;
10085 }
10086 else
10087 {
10088 /* 33222222222211111111110000000000
10089 10987654321098765432109876543210
10090 xxxxxxxxxxxxx1010101x0x11x100110
10091 fcvt. */
10092 return 1336;
10093 }
10094 }
10095 else
10096 {
10097 if (((word >> 19) & 0x1) == 0)
10098 {
10099 if (((word >> 20) & 0x1) == 0)
10100 {
10101 /* 33222222222211111111110000000000
10102 10987654321098765432109876543210
10103 xxxxxxxxxxxxx101011000x11x100110
10104 frintx. */
10105 return 1383;
10106 }
10107 else
10108 {
10109 /* 33222222222211111111110000000000
10110 10987654321098765432109876543210
10111 xxxxxxxxxxxxx101011010x11x100110
10112 scvtf. */
10113 return 1703;
10114 }
10115 }
10116 else
10117 {
10118 /* 33222222222211111111110000000000
10119 10987654321098765432109876543210
10120 xxxxxxxxxxxxx1010111x0x11x100110
10121 fcvtzs. */
10122 return 1341;
10123 }
10124 }
10125 }
10126 }
10127 else
10128 {
10129 if (((word >> 17) & 0x1) == 0)
10130 {
10131 if (((word >> 18) & 0x1) == 0)
10132 {
10133 if (((word >> 19) & 0x1) == 0)
10134 {
10135 if (((word >> 20) & 0x1) == 0)
10136 {
10137 /* 33222222222211111111110000000000
10138 10987654321098765432109876543210
10139 xxxxxxxxxxxxx101100000x11x100110
10140 frintp. */
10141 return 1382;
10142 }
10143 else
10144 {
10145 /* 33222222222211111111110000000000
10146 10987654321098765432109876543210
10147 xxxxxxxxxxxxx101100010x11x100110
10148 ucvtf. */
10149 return 1847;
10150 }
10151 }
10152 else
10153 {
10154 if (((word >> 20) & 0x1) == 0)
10155 {
10156 if (((word >> 22) & 0x1) == 0)
10157 {
10158 /* 33222222222211111111110000000000
10159 10987654321098765432109876543210
10160 xxxxxxxxxxxxx101100100011x100110
10161 fcvt. */
10162 return 1333;
10163 }
10164 else
10165 {
10166 /* 33222222222211111111110000000000
10167 10987654321098765432109876543210
10168 xxxxxxxxxxxxx101100100111x100110
10169 fcvt. */
10170 return 1335;
10171 }
10172 }
10173 else
10174 {
10175 /* 33222222222211111111110000000000
10176 10987654321098765432109876543210
10177 xxxxxxxxxxxxx101100110x11x100110
10178 fcvtzu. */
10179 return 1343;
10180 }
10181 }
10182 }
10183 else
10184 {
10185 if (((word >> 19) & 0x1) == 0)
10186 {
10187 if (((word >> 22) & 0x1) == 0)
10188 {
10189 /* 33222222222211111111110000000000
10190 10987654321098765432109876543210
10191 xxxxxxxxxxxxx1011010x0011x100110
10192 ucvtf. */
10193 return 1846;
10194 }
10195 else
10196 {
10197 /* 33222222222211111111110000000000
10198 10987654321098765432109876543210
10199 xxxxxxxxxxxxx1011010x0111x100110
10200 ucvtf. */
10201 return 1848;
10202 }
10203 }
10204 else
10205 {
10206 if (((word >> 20) & 0x1) == 0)
10207 {
10208 /* 33222222222211111111110000000000
10209 10987654321098765432109876543210
10210 xxxxxxxxxxxxx101101100x11x100110
10211 fsqrt. */
10212 return 1388;
10213 }
10214 else
10215 {
10216 if (((word >> 22) & 0x1) == 0)
10217 {
10218 /* 33222222222211111111110000000000
10219 10987654321098765432109876543210
10220 xxxxxxxxxxxxx101101110011x100110
10221 fcvtzu. */
10222 return 1342;
10223 }
10224 else
10225 {
10226 /* 33222222222211111111110000000000
10227 10987654321098765432109876543210
10228 xxxxxxxxxxxxx101101110111x100110
10229 fcvtzu. */
10230 return 1344;
10231 }
10232 }
10233 }
10234 }
10235 }
10236 else
10237 {
10238 if (((word >> 18) & 0x1) == 0)
10239 {
10240 if (((word >> 19) & 0x1) == 0)
10241 {
10242 /* 33222222222211111111110000000000
10243 10987654321098765432109876543210
10244 xxxxxxxxxxxxx1011100x0x11x100110
10245 frintz. */
10246 return 1384;
10247 }
10248 else
10249 {
10250 /* 33222222222211111111110000000000
10251 10987654321098765432109876543210
10252 xxxxxxxxxxxxx1011101x0x11x100110
10253 fcvt. */
10254 return 1337;
10255 }
10256 }
10257 else
10258 {
10259 if (((word >> 19) & 0x1) == 0)
10260 {
10261 if (((word >> 20) & 0x1) == 0)
10262 {
10263 /* 33222222222211111111110000000000
10264 10987654321098765432109876543210
10265 xxxxxxxxxxxxx101111000x11x100110
10266 frinti. */
10267 return 1379;
10268 }
10269 else
10270 {
10271 /* 33222222222211111111110000000000
10272 10987654321098765432109876543210
10273 xxxxxxxxxxxxx101111010x11x100110
10274 ucvtf. */
10275 return 1849;
10276 }
10277 }
10278 else
10279 {
10280 /* 33222222222211111111110000000000
10281 10987654321098765432109876543210
10282 xxxxxxxxxxxxx1011111x0x11x100110
10283 fcvtzu. */
10284 return 1345;
10285 }
10286 }
10287 }
10288 }
10289 }
10290 else
10291 {
10292 if (((word >> 22) & 0x1) == 0)
10293 {
10294 /* 33222222222211111111110000000000
10295 10987654321098765432109876543210
10296 xxxxxxxxxxxxx101xxxxx0011x100111
10297 st1d. */
10298 return 1763;
10299 }
10300 else
10301 {
10302 /* 33222222222211111111110000000000
10303 10987654321098765432109876543210
10304 xxxxxxxxxxxxx101xxxxx0111x100111
10305 st1d. */
10306 return 1767;
10307 }
10308 }
10309 }
10310 }
10311 else
10312 {
10313 if (((word >> 23) & 0x1) == 0)
10314 {
10315 if (((word >> 20) & 0x1) == 0)
10316 {
10317 /* 33222222222211111111110000000000
10318 10987654321098765432109876543210
10319 xxxxxxxxxxxxx111xxxx00x01x10011x
10320 st1w. */
10321 return 1792;
10322 }
10323 else
10324 {
10325 if (((word >> 22) & 0x1) == 0)
10326 {
10327 /* 33222222222211111111110000000000
10328 10987654321098765432109876543210
10329 xxxxxxxxxxxxx111xxxx10001x10011x
10330 stnt1w. */
10331 return 1826;
10332 }
10333 else
10334 {
10335 /* 33222222222211111111110000000000
10336 10987654321098765432109876543210
10337 xxxxxxxxxxxxx111xxxx10101x10011x
10338 st3w. */
10339 return 1810;
10340 }
10341 }
10342 }
10343 else
10344 {
10345 if (((word >> 31) & 0x1) == 0)
10346 {
10347 /* 33222222222211111111110000000000
10348 10987654321098765432109876543210
10349 xxxxxxxxxxxxx111xxxxx0x11x100110
10350 facgt. */
10351 return 1314;
10352 }
10353 else
10354 {
10355 if (((word >> 22) & 0x1) == 0)
10356 {
10357 /* 33222222222211111111110000000000
10358 10987654321098765432109876543210
10359 xxxxxxxxxxxxx111xxxxx0011x100111
10360 stnt1d. */
10361 return 1822;
10362 }
10363 else
10364 {
10365 /* 33222222222211111111110000000000
10366 10987654321098765432109876543210
10367 xxxxxxxxxxxxx111xxxxx0111x100111
10368 st3d. */
10369 return 1806;
10370 }
10371 }
10372 }
10373 }
10374 }
10375 }
10376 }
10377 else
10378 {
10379 if (((word >> 30) & 0x1) == 0)
10380 {
10381 if (((word >> 14) & 0x1) == 0)
10382 {
10383 if (((word >> 20) & 0x1) == 0)
10384 {
10385 if (((word >> 31) & 0x1) == 0)
10386 {
10387 if (((word >> 16) & 0x1) == 0)
10388 {
10389 if (((word >> 17) & 0x1) == 0)
10390 {
10391 if (((word >> 18) & 0x1) == 0)
10392 {
10393 if (((word >> 19) & 0x1) == 0)
10394 {
10395 /* 33222222222211111111110000000000
10396 10987654321098765432109876543210
10397 xxxxxxxxxxxxxx01000001xx1x100100
10398 cntp. */
10399 return 1283;
10400 }
10401 else
10402 {
10403 if (((word >> 10) & 0x1) == 0)
10404 {
10405 if (((word >> 11) & 0x1) == 0)
10406 {
10407 if (((word >> 12) & 0x1) == 0)
10408 {
10409 /* 33222222222211111111110000000000
10410 10987654321098765432109876543210
10411 xxxxxxxxxx000x01000101xx1x100100
10412 sqincp. */
10413 return 1741;
10414 }
10415 else
10416 {
10417 /* 33222222222211111111110000000000
10418 10987654321098765432109876543210
10419 xxxxxxxxxx001x01000101xx1x100100
10420 wrffr. */
10421 return 1908;
10422 }
10423 }
10424 else
10425 {
10426 /* 33222222222211111111110000000000
10427 10987654321098765432109876543210
10428 xxxxxxxxxx01xx01000101xx1x100100
10429 sqincp. */
10430 return 1743;
10431 }
10432 }
10433 else
10434 {
10435 /* 33222222222211111111110000000000
10436 10987654321098765432109876543210
10437 xxxxxxxxxx1xxx01000101xx1x100100
10438 sqincp. */
10439 return 1742;
10440 }
10441 }
10442 }
10443 else
10444 {
10445 if (((word >> 11) & 0x1) == 0)
10446 {
10447 if (((word >> 12) & 0x1) == 0)
10448 {
10449 /* 33222222222211111111110000000000
10450 10987654321098765432109876543210
10451 xxxxxxxxxxx00x01001x01xx1x100100
10452 incp. */
10453 return 1402;
10454 }
10455 else
10456 {
10457 /* 33222222222211111111110000000000
10458 10987654321098765432109876543210
10459 xxxxxxxxxxx01x01001x01xx1x100100
10460 setffr. */
10461 return 1708;
10462 }
10463 }
10464 else
10465 {
10466 /* 33222222222211111111110000000000
10467 10987654321098765432109876543210
10468 xxxxxxxxxxx1xx01001x01xx1x100100
10469 incp. */
10470 return 1403;
10471 }
10472 }
10473 }
10474 else
10475 {
10476 if (((word >> 10) & 0x1) == 0)
10477 {
10478 if (((word >> 11) & 0x1) == 0)
10479 {
10480 /* 33222222222211111111110000000000
10481 10987654321098765432109876543210
10482 xxxxxxxxxx00xx0101xx01xx1x100100
10483 sqdecp. */
10484 return 1727;
10485 }
10486 else
10487 {
10488 /* 33222222222211111111110000000000
10489 10987654321098765432109876543210
10490 xxxxxxxxxx01xx0101xx01xx1x100100
10491 sqdecp. */
10492 return 1729;
10493 }
10494 }
10495 else
10496 {
10497 /* 33222222222211111111110000000000
10498 10987654321098765432109876543210
10499 xxxxxxxxxx1xxx0101xx01xx1x100100
10500 sqdecp. */
10501 return 1728;
10502 }
10503 }
10504 }
10505 else
10506 {
10507 if (((word >> 10) & 0x1) == 0)
10508 {
10509 if (((word >> 11) & 0x1) == 0)
10510 {
10511 if (((word >> 17) & 0x1) == 0)
10512 {
10513 if (((word >> 18) & 0x1) == 0)
10514 {
10515 /* 33222222222211111111110000000000
10516 10987654321098765432109876543210
10517 xxxxxxxxxx00xx01100x01xx1x100100
10518 uqincp. */
10519 return 1883;
10520 }
10521 else
10522 {
10523 /* 33222222222211111111110000000000
10524 10987654321098765432109876543210
10525 xxxxxxxxxx00xx01101x01xx1x100100
10526 decp. */
10527 return 1296;
10528 }
10529 }
10530 else
10531 {
10532 /* 33222222222211111111110000000000
10533 10987654321098765432109876543210
10534 xxxxxxxxxx00xx0111xx01xx1x100100
10535 uqdecp. */
10536 return 1869;
10537 }
10538 }
10539 else
10540 {
10541 if (((word >> 17) & 0x1) == 0)
10542 {
10543 if (((word >> 18) & 0x1) == 0)
10544 {
10545 /* 33222222222211111111110000000000
10546 10987654321098765432109876543210
10547 xxxxxxxxxx01xx01100x01xx1x100100
10548 uqincp. */
10549 return 1884;
10550 }
10551 else
10552 {
10553 /* 33222222222211111111110000000000
10554 10987654321098765432109876543210
10555 xxxxxxxxxx01xx01101x01xx1x100100
10556 decp. */
10557 return 1297;
10558 }
10559 }
10560 else
10561 {
10562 /* 33222222222211111111110000000000
10563 10987654321098765432109876543210
10564 xxxxxxxxxx01xx0111xx01xx1x100100
10565 uqdecp. */
10566 return 1870;
10567 }
10568 }
10569 }
10570 else
10571 {
10572 if (((word >> 17) & 0x1) == 0)
10573 {
10574 /* 33222222222211111111110000000000
10575 10987654321098765432109876543210
10576 xxxxxxxxxx1xxx0110xx01xx1x100100
10577 uqincp. */
10578 return 1885;
10579 }
10580 else
10581 {
10582 /* 33222222222211111111110000000000
10583 10987654321098765432109876543210
10584 xxxxxxxxxx1xxx0111xx01xx1x100100
10585 uqdecp. */
10586 return 1871;
10587 }
10588 }
10589 }
10590 }
10591 else
10592 {
10593 if (((word >> 22) & 0x1) == 0)
10594 {
10595 if (((word >> 23) & 0x1) == 0)
10596 {
10597 /* 33222222222211111111110000000000
10598 10987654321098765432109876543210
10599 xxxxxxxxxxxxxx01xxxx01001x100101
10600 ld1sh. */
10601 return 1487;
10602 }
10603 else
10604 {
10605 /* 33222222222211111111110000000000
10606 10987654321098765432109876543210
10607 xxxxxxxxxxxxxx01xxxx01011x100101
10608 ld1sb. */
10609 return 1474;
10610 }
10611 }
10612 else
10613 {
10614 if (((word >> 23) & 0x1) == 0)
10615 {
10616 /* 33222222222211111111110000000000
10617 10987654321098765432109876543210
10618 xxxxxxxxxxxxxx01xxxx01101x100101
10619 ld1w. */
10620 return 1506;
10621 }
10622 else
10623 {
10624 /* 33222222222211111111110000000000
10625 10987654321098765432109876543210
10626 xxxxxxxxxxxxxx01xxxx01111x100101
10627 ld1d. */
10628 return 1434;
10629 }
10630 }
10631 }
10632 }
10633 else
10634 {
10635 if (((word >> 22) & 0x1) == 0)
10636 {
10637 if (((word >> 23) & 0x1) == 0)
10638 {
10639 /* 33222222222211111111110000000000
10640 10987654321098765432109876543210
10641 xxxxxxxxxxxxxx01xxxx11001x10010x
10642 ldnf1sh. */
10643 return 1604;
10644 }
10645 else
10646 {
10647 /* 33222222222211111111110000000000
10648 10987654321098765432109876543210
10649 xxxxxxxxxxxxxx01xxxx11011x10010x
10650 ldnf1sb. */
10651 return 1601;
10652 }
10653 }
10654 else
10655 {
10656 if (((word >> 23) & 0x1) == 0)
10657 {
10658 /* 33222222222211111111110000000000
10659 10987654321098765432109876543210
10660 xxxxxxxxxxxxxx01xxxx11101x10010x
10661 ldnf1w. */
10662 return 1607;
10663 }
10664 else
10665 {
10666 /* 33222222222211111111110000000000
10667 10987654321098765432109876543210
10668 xxxxxxxxxxxxxx01xxxx11111x10010x
10669 ldnf1d. */
10670 return 1596;
10671 }
10672 }
10673 }
10674 }
10675 else
10676 {
10677 if (((word >> 31) & 0x1) == 0)
10678 {
10679 if (((word >> 16) & 0x1) == 0)
10680 {
10681 if (((word >> 17) & 0x1) == 0)
10682 {
10683 if (((word >> 18) & 0x1) == 0)
10684 {
10685 if (((word >> 19) & 0x1) == 0)
10686 {
10687 if (((word >> 20) & 0x1) == 0)
10688 {
10689 /* 33222222222211111111110000000000
10690 10987654321098765432109876543210
10691 xxxxxxxxxxxxxx11000001xx1x100100
10692 add. */
10693 return 1210;
10694 }
10695 else
10696 {
10697 /* 33222222222211111111110000000000
10698 10987654321098765432109876543210
10699 xxxxxxxxxxxxxx11000011xx1x100100
10700 mul. */
10701 return 1636;
10702 }
10703 }
10704 else
10705 {
10706 if (((word >> 20) & 0x1) == 0)
10707 {
10708 /* 33222222222211111111110000000000
10709 10987654321098765432109876543210
10710 xxxxxxxxxxxxxx11000101xx1x100100
10711 smax. */
10712 return 1709;
10713 }
10714 else
10715 {
10716 /* 33222222222211111111110000000000
10717 10987654321098765432109876543210
10718 xxxxxxxxxxxxxx11000111xx1x100100
10719 dup. */
10720 return 1302;
10721 }
10722 }
10723 }
10724 else
10725 {
10726 /* 33222222222211111111110000000000
10727 10987654321098765432109876543210
10728 xxxxxxxxxxxxxx11001xx1xx1x100100
10729 sqadd. */
10730 return 1718;
10731 }
10732 }
10733 else
10734 {
10735 if (((word >> 18) & 0x1) == 0)
10736 {
10737 /* 33222222222211111111110000000000
10738 10987654321098765432109876543210
10739 xxxxxxxxxxxxxx11010xx1xx1x100100
10740 smin. */
10741 return 1712;
10742 }
10743 else
10744 {
10745 /* 33222222222211111111110000000000
10746 10987654321098765432109876543210
10747 xxxxxxxxxxxxxx11011xx1xx1x100100
10748 sqsub. */
10749 return 1748;
10750 }
10751 }
10752 }
10753 else
10754 {
10755 if (((word >> 17) & 0x1) == 0)
10756 {
10757 if (((word >> 18) & 0x1) == 0)
10758 {
10759 if (((word >> 19) & 0x1) == 0)
10760 {
10761 /* 33222222222211111111110000000000
10762 10987654321098765432109876543210
10763 xxxxxxxxxxxxxx111000x1xx1x100100
10764 sub. */
10765 return 1830;
10766 }
10767 else
10768 {
10769 if (((word >> 20) & 0x1) == 0)
10770 {
10771 /* 33222222222211111111110000000000
10772 10987654321098765432109876543210
10773 xxxxxxxxxxxxxx11100101xx1x100100
10774 umax. */
10775 return 1852;
10776 }
10777 else
10778 {
10779 /* 33222222222211111111110000000000
10780 10987654321098765432109876543210
10781 xxxxxxxxxxxxxx11100111xx1x100100
10782 fdup. */
10783 return 1348;
10784 }
10785 }
10786 }
10787 else
10788 {
10789 /* 33222222222211111111110000000000
10790 10987654321098765432109876543210
10791 xxxxxxxxxxxxxx11101xx1xx1x100100
10792 uqadd. */
10793 return 1860;
10794 }
10795 }
10796 else
10797 {
10798 if (((word >> 18) & 0x1) == 0)
10799 {
10800 if (((word >> 19) & 0x1) == 0)
10801 {
10802 /* 33222222222211111111110000000000
10803 10987654321098765432109876543210
10804 xxxxxxxxxxxxxx111100x1xx1x100100
10805 subr. */
10806 return 1832;
10807 }
10808 else
10809 {
10810 /* 33222222222211111111110000000000
10811 10987654321098765432109876543210
10812 xxxxxxxxxxxxxx111101x1xx1x100100
10813 umin. */
10814 return 1855;
10815 }
10816 }
10817 else
10818 {
10819 /* 33222222222211111111110000000000
10820 10987654321098765432109876543210
10821 xxxxxxxxxxxxxx11111xx1xx1x100100
10822 uqsub. */
10823 return 1890;
10824 }
10825 }
10826 }
10827 }
10828 else
10829 {
10830 if (((word >> 13) & 0x1) == 0)
10831 {
10832 if (((word >> 22) & 0x1) == 0)
10833 {
10834 if (((word >> 23) & 0x1) == 0)
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
10838 xxxxxxxxxxxxx011xxxxx1001x100101
10839 ld2w. */
10840 return 1514;
10841 }
10842 else
10843 {
10844 /* 33222222222211111111110000000000
10845 10987654321098765432109876543210
10846 xxxxxxxxxxxxx011xxxxx1011x100101
10847 ld2d. */
10848 return 1510;
10849 }
10850 }
10851 else
10852 {
10853 if (((word >> 23) & 0x1) == 0)
10854 {
10855 /* 33222222222211111111110000000000
10856 10987654321098765432109876543210
10857 xxxxxxxxxxxxx011xxxxx1101x100101
10858 ld4w. */
10859 return 1530;
10860 }
10861 else
10862 {
10863 /* 33222222222211111111110000000000
10864 10987654321098765432109876543210
10865 xxxxxxxxxxxxx011xxxxx1111x100101
10866 ld4d. */
10867 return 1526;
10868 }
10869 }
10870 }
10871 else
10872 {
10873 if (((word >> 22) & 0x1) == 0)
10874 {
10875 if (((word >> 23) & 0x1) == 0)
10876 {
10877 /* 33222222222211111111110000000000
10878 10987654321098765432109876543210
10879 xxxxxxxxxxxxx111xxxxx1001x100101
10880 ld2w. */
10881 return 1515;
10882 }
10883 else
10884 {
10885 /* 33222222222211111111110000000000
10886 10987654321098765432109876543210
10887 xxxxxxxxxxxxx111xxxxx1011x100101
10888 ld2d. */
10889 return 1511;
10890 }
10891 }
10892 else
10893 {
10894 if (((word >> 23) & 0x1) == 0)
10895 {
10896 /* 33222222222211111111110000000000
10897 10987654321098765432109876543210
10898 xxxxxxxxxxxxx111xxxxx1101x100101
10899 ld4w. */
10900 return 1531;
10901 }
10902 else
10903 {
10904 /* 33222222222211111111110000000000
10905 10987654321098765432109876543210
10906 xxxxxxxxxxxxx111xxxxx1111x100101
10907 ld4d. */
10908 return 1527;
10909 }
10910 }
10911 }
10912 }
10913 }
10914 }
10915 else
10916 {
10917 if (((word >> 13) & 0x1) == 0)
10918 {
10919 if (((word >> 23) & 0x1) == 0)
10920 {
10921 if (((word >> 22) & 0x1) == 0)
10922 {
10923 /* 33222222222211111111110000000000
10924 10987654321098765432109876543210
10925 xxxxxxxxxxxxx0x1xxxxx1001x10011x
10926 st1w. */
10927 return 1785;
10928 }
10929 else
10930 {
10931 /* 33222222222211111111110000000000
10932 10987654321098765432109876543210
10933 xxxxxxxxxxxxx0x1xxxxx1101x10011x
10934 st1w. */
10935 return 1790;
10936 }
10937 }
10938 else
10939 {
10940 if (((word >> 31) & 0x1) == 0)
10941 {
10942 if (((word >> 14) & 0x1) == 0)
10943 {
10944 /* 33222222222211111111110000000000
10945 10987654321098765432109876543210
10946 xxxxxxxxxxxxx001xxxxx1x11x100110
10947 fmad. */
10948 return 1350;
10949 }
10950 else
10951 {
10952 /* 33222222222211111111110000000000
10953 10987654321098765432109876543210
10954 xxxxxxxxxxxxx011xxxxx1x11x100110
10955 fnmad. */
10956 return 1371;
10957 }
10958 }
10959 else
10960 {
10961 /* 33222222222211111111110000000000
10962 10987654321098765432109876543210
10963 xxxxxxxxxxxxx0x1xxxxx1x11x100111
10964 st1d. */
10965 return 1764;
10966 }
10967 }
10968 }
10969 else
10970 {
10971 if (((word >> 14) & 0x1) == 0)
10972 {
10973 if (((word >> 23) & 0x1) == 0)
10974 {
10975 if (((word >> 22) & 0x1) == 0)
10976 {
10977 /* 33222222222211111111110000000000
10978 10987654321098765432109876543210
10979 xxxxxxxxxxxxx101xxxxx1001x10011x
10980 st1w. */
10981 return 1786;
10982 }
10983 else
10984 {
10985 /* 33222222222211111111110000000000
10986 10987654321098765432109876543210
10987 xxxxxxxxxxxxx101xxxxx1101x10011x
10988 st1w. */
10989 return 1793;
10990 }
10991 }
10992 else
10993 {
10994 if (((word >> 31) & 0x1) == 0)
10995 {
10996 /* 33222222222211111111110000000000
10997 10987654321098765432109876543210
10998 xxxxxxxxxxxxx101xxxxx1x11x100110
10999 fmsb. */
11000 return 1365;
11001 }
11002 else
11003 {
11004 /* 33222222222211111111110000000000
11005 10987654321098765432109876543210
11006 xxxxxxxxxxxxx101xxxxx1x11x100111
11007 st1d. */
11008 return 1765;
11009 }
11010 }
11011 }
11012 else
11013 {
11014 if (((word >> 23) & 0x1) == 0)
11015 {
11016 if (((word >> 20) & 0x1) == 0)
11017 {
11018 /* 33222222222211111111110000000000
11019 10987654321098765432109876543210
11020 xxxxxxxxxxxxx111xxxx01x01x10011x
11021 st1w. */
11022 return 1794;
11023 }
11024 else
11025 {
11026 if (((word >> 22) & 0x1) == 0)
11027 {
11028 /* 33222222222211111111110000000000
11029 10987654321098765432109876543210
11030 xxxxxxxxxxxxx111xxxx11001x10011x
11031 st2w. */
11032 return 1802;
11033 }
11034 else
11035 {
11036 /* 33222222222211111111110000000000
11037 10987654321098765432109876543210
11038 xxxxxxxxxxxxx111xxxx11101x10011x
11039 st4w. */
11040 return 1818;
11041 }
11042 }
11043 }
11044 else
11045 {
11046 if (((word >> 31) & 0x1) == 0)
11047 {
11048 /* 33222222222211111111110000000000
11049 10987654321098765432109876543210
11050 xxxxxxxxxxxxx111xxxxx1x11x100110
11051 fnmsb. */
11052 return 1374;
11053 }
11054 else
11055 {
11056 if (((word >> 20) & 0x1) == 0)
11057 {
11058 /* 33222222222211111111110000000000
11059 10987654321098765432109876543210
11060 xxxxxxxxxxxxx111xxxx01x11x100111
11061 st1d. */
11062 return 1768;
11063 }
11064 else
11065 {
11066 if (((word >> 22) & 0x1) == 0)
11067 {
11068 /* 33222222222211111111110000000000
11069 10987654321098765432109876543210
11070 xxxxxxxxxxxxx111xxxx11011x100111
11071 st2d. */
11072 return 1798;
11073 }
11074 else
11075 {
11076 /* 33222222222211111111110000000000
11077 10987654321098765432109876543210
11078 xxxxxxxxxxxxx111xxxx11111x100111
11079 st4d. */
11080 return 1814;
11081 }
11082 }
11083 }
11084 }
11085 }
11086 }
11087 }
11088 }
11089 }
11090 }
11091 }
11092 }
11093 else
11094 {
11095 if (((word >> 29) & 0x1) == 0)
11096 {
11097 if (((word >> 30) & 0x1) == 0)
11098 {
11099 if (((word >> 31) & 0x1) == 0)
11100 {
11101 /* 33222222222211111111110000000000
11102 10987654321098765432109876543210
11103 xxxxxxxxxxxxxxxxxxxxxxxxxx101000
11104 b. */
11105 return 627;
11106 }
11107 else
11108 {
11109 /* 33222222222211111111110000000000
11110 10987654321098765432109876543210
11111 xxxxxxxxxxxxxxxxxxxxxxxxxx101001
11112 bl. */
11113 return 628;
11114 }
11115 }
11116 else
11117 {
11118 if (((word >> 24) & 0x1) == 0)
11119 {
11120 if (((word >> 25) & 0x1) == 0)
11121 {
11122 if (((word >> 31) & 0x1) == 0)
11123 {
11124 /* 33222222222211111111110000000000
11125 10987654321098765432109876543210
11126 xxxxxxxxxxxxxxxxxxxxxxxx00101010
11127 b.c. */
11128 return 636;
11129 }
11130 else
11131 {
11132 if (((word >> 0) & 0x1) == 0)
11133 {
11134 if (((word >> 1) & 0x1) == 0)
11135 {
11136 if (((word >> 21) & 0x1) == 0)
11137 {
11138 /* 33222222222211111111110000000000
11139 10987654321098765432109876543210
11140 00xxxxxxxxxxxxxxxxxxx0xx00101011
11141 hlt. */
11142 return 727;
11143 }
11144 else
11145 {
11146 /* 33222222222211111111110000000000
11147 10987654321098765432109876543210
11148 00xxxxxxxxxxxxxxxxxxx1xx00101011
11149 brk. */
11150 return 726;
11151 }
11152 }
11153 else
11154 {
11155 if (((word >> 21) & 0x1) == 0)
11156 {
11157 /* 33222222222211111111110000000000
11158 10987654321098765432109876543210
11159 01xxxxxxxxxxxxxxxxxxx0xx00101011
11160 hvc. */
11161 return 724;
11162 }
11163 else
11164 {
11165 /* 33222222222211111111110000000000
11166 10987654321098765432109876543210
11167 01xxxxxxxxxxxxxxxxxxx1xx00101011
11168 dcps2. */
11169 return 729;
11170 }
11171 }
11172 }
11173 else
11174 {
11175 if (((word >> 1) & 0x1) == 0)
11176 {
11177 if (((word >> 21) & 0x1) == 0)
11178 {
11179 /* 33222222222211111111110000000000
11180 10987654321098765432109876543210
11181 10xxxxxxxxxxxxxxxxxxx0xx00101011
11182 svc. */
11183 return 723;
11184 }
11185 else
11186 {
11187 /* 33222222222211111111110000000000
11188 10987654321098765432109876543210
11189 10xxxxxxxxxxxxxxxxxxx1xx00101011
11190 dcps1. */
11191 return 728;
11192 }
11193 }
11194 else
11195 {
11196 if (((word >> 21) & 0x1) == 0)
11197 {
11198 /* 33222222222211111111110000000000
11199 10987654321098765432109876543210
11200 11xxxxxxxxxxxxxxxxxxx0xx00101011
11201 smc. */
11202 return 725;
11203 }
11204 else
11205 {
11206 /* 33222222222211111111110000000000
11207 10987654321098765432109876543210
11208 11xxxxxxxxxxxxxxxxxxx1xx00101011
11209 dcps3. */
11210 return 730;
11211 }
11212 }
11213 }
11214 }
11215 }
11216 else
11217 {
11218 if (((word >> 21) & 0x1) == 0)
11219 {
11220 if (((word >> 22) & 0x1) == 0)
11221 {
11222 if (((word >> 23) & 0x1) == 0)
11223 {
11224 /* 33222222222211111111110000000000
11225 10987654321098765432109876543210
11226 xxxxxxxxxxxxxxxxxxxxx0000110101x
11227 br. */
11228 return 629;
11229 }
11230 else
11231 {
11232 /* 33222222222211111111110000000000
11233 10987654321098765432109876543210
11234 xxxxxxxxxxxxxxxxxxxxx0010110101x
11235 eret. */
11236 return 632;
11237 }
11238 }
11239 else
11240 {
11241 /* 33222222222211111111110000000000
11242 10987654321098765432109876543210
11243 xxxxxxxxxxxxxxxxxxxxx01x0110101x
11244 ret. */
11245 return 631;
11246 }
11247 }
11248 else
11249 {
11250 if (((word >> 23) & 0x1) == 0)
11251 {
11252 /* 33222222222211111111110000000000
11253 10987654321098765432109876543210
11254 xxxxxxxxxxxxxxxxxxxxx1x00110101x
11255 blr. */
11256 return 630;
11257 }
11258 else
11259 {
11260 /* 33222222222211111111110000000000
11261 10987654321098765432109876543210
11262 xxxxxxxxxxxxxxxxxxxxx1x10110101x
11263 drps. */
11264 return 633;
11265 }
11266 }
11267 }
11268 }
11269 else
11270 {
11271 if (((word >> 21) & 0x1) == 0)
11272 {
11273 /* 33222222222211111111110000000000
11274 10987654321098765432109876543210
11275 xxxxxxxxxxxxxxxxxxxxx0xx1x10101x
11276 msr. */
11277 return 1136;
11278 }
11279 else
11280 {
11281 /* 33222222222211111111110000000000
11282 10987654321098765432109876543210
11283 xxxxxxxxxxxxxxxxxxxxx1xx1x10101x
11284 sysl. */
11285 return 1161;
11286 }
11287 }
11288 }
11289 }
11290 else
11291 {
11292 if (((word >> 24) & 0x1) == 0)
11293 {
11294 if (((word >> 25) & 0x1) == 0)
11295 {
11296 /* 33222222222211111111110000000000
11297 10987654321098765432109876543210
11298 xxxxxxxxxxxxxxxxxxxxxxxx001011xx
11299 cbz. */
11300 return 634;
11301 }
11302 else
11303 {
11304 /* 33222222222211111111110000000000
11305 10987654321098765432109876543210
11306 xxxxxxxxxxxxxxxxxxxxxxxx011011xx
11307 tbz. */
11308 return 1171;
11309 }
11310 }
11311 else
11312 {
11313 if (((word >> 25) & 0x1) == 0)
11314 {
11315 /* 33222222222211111111110000000000
11316 10987654321098765432109876543210
11317 xxxxxxxxxxxxxxxxxxxxxxxx101011xx
11318 cbnz. */
11319 return 635;
11320 }
11321 else
11322 {
11323 /* 33222222222211111111110000000000
11324 10987654321098765432109876543210
11325 xxxxxxxxxxxxxxxxxxxxxxxx111011xx
11326 tbnz. */
11327 return 1172;
11328 }
11329 }
11330 }
11331 }
11332 }
11333 else
11334 {
11335 if (((word >> 25) & 0x1) == 0)
11336 {
11337 if (((word >> 28) & 0x1) == 0)
11338 {
11339 if (((word >> 22) & 0x1) == 0)
11340 {
11341 if (((word >> 23) & 0x1) == 0)
11342 {
11343 if (((word >> 24) & 0x1) == 0)
11344 {
11345 if (((word >> 29) & 0x1) == 0)
11346 {
11347 /* 33222222222211111111110000000000
11348 10987654321098765432109876543210
11349 xxxxxxxxxxxxxxxxxxxxxx00001100xx
11350 st4. */
11351 return 431;
11352 }
11353 else
11354 {
11355 /* 33222222222211111111110000000000
11356 10987654321098765432109876543210
11357 xxxxxxxxxxxxxxxxxxxxxx00001101xx
11358 stnp. */
11359 return 922;
11360 }
11361 }
11362 else
11363 {
11364 if (((word >> 29) & 0x1) == 0)
11365 {
11366 if (((word >> 13) & 0x1) == 0)
11367 {
11368 if (((word >> 21) & 0x1) == 0)
11369 {
11370 /* 33222222222211111111110000000000
11371 10987654321098765432109876543210
11372 xxxxxxxxxxxxx0xxxxxxx000101100xx
11373 st1. */
11374 return 447;
11375 }
11376 else
11377 {
11378 /* 33222222222211111111110000000000
11379 10987654321098765432109876543210
11380 xxxxxxxxxxxxx0xxxxxxx100101100xx
11381 st2. */
11382 return 449;
11383 }
11384 }
11385 else
11386 {
11387 if (((word >> 21) & 0x1) == 0)
11388 {
11389 /* 33222222222211111111110000000000
11390 10987654321098765432109876543210
11391 xxxxxxxxxxxxx1xxxxxxx000101100xx
11392 st3. */
11393 return 448;
11394 }
11395 else
11396 {
11397 /* 33222222222211111111110000000000
11398 10987654321098765432109876543210
11399 xxxxxxxxxxxxx1xxxxxxx100101100xx
11400 st4. */
11401 return 450;
11402 }
11403 }
11404 }
11405 else
11406 {
11407 /* 33222222222211111111110000000000
11408 10987654321098765432109876543210
11409 xxxxxxxxxxxxxxxxxxxxxx00101101xx
11410 stp. */
11411 return 926;
11412 }
11413 }
11414 }
11415 else
11416 {
11417 if (((word >> 29) & 0x1) == 0)
11418 {
11419 if (((word >> 21) & 0x1) == 0)
11420 {
11421 if (((word >> 24) & 0x1) == 0)
11422 {
11423 /* 33222222222211111111110000000000
11424 10987654321098765432109876543210
11425 xxxxxxxxxxxxxxxxxxxxx001001100xx
11426 st4. */
11427 return 439;
11428 }
11429 else
11430 {
11431 if (((word >> 13) & 0x1) == 0)
11432 {
11433 /* 33222222222211111111110000000000
11434 10987654321098765432109876543210
11435 xxxxxxxxxxxxx0xxxxxxx001101100xx
11436 st1. */
11437 return 459;
11438 }
11439 else
11440 {
11441 /* 33222222222211111111110000000000
11442 10987654321098765432109876543210
11443 xxxxxxxxxxxxx1xxxxxxx001101100xx
11444 st3. */
11445 return 460;
11446 }
11447 }
11448 }
11449 else
11450 {
11451 if (((word >> 13) & 0x1) == 0)
11452 {
11453 /* 33222222222211111111110000000000
11454 10987654321098765432109876543210
11455 xxxxxxxxxxxxx0xxxxxxx101x01100xx
11456 st2. */
11457 return 461;
11458 }
11459 else
11460 {
11461 /* 33222222222211111111110000000000
11462 10987654321098765432109876543210
11463 xxxxxxxxxxxxx1xxxxxxx101x01100xx
11464 st4. */
11465 return 462;
11466 }
11467 }
11468 }
11469 else
11470 {
11471 /* 33222222222211111111110000000000
11472 10987654321098765432109876543210
11473 xxxxxxxxxxxxxxxxxxxxxx01x01101xx
11474 stp. */
11475 return 931;
11476 }
11477 }
11478 }
11479 else
11480 {
11481 if (((word >> 23) & 0x1) == 0)
11482 {
11483 if (((word >> 24) & 0x1) == 0)
11484 {
11485 if (((word >> 29) & 0x1) == 0)
11486 {
11487 /* 33222222222211111111110000000000
11488 10987654321098765432109876543210
11489 xxxxxxxxxxxxxxxxxxxxxx10001100xx
11490 ld4. */
11491 return 435;
11492 }
11493 else
11494 {
11495 /* 33222222222211111111110000000000
11496 10987654321098765432109876543210
11497 xxxxxxxxxxxxxxxxxxxxxx10001101xx
11498 ldnp. */
11499 return 923;
11500 }
11501 }
11502 else
11503 {
11504 if (((word >> 29) & 0x1) == 0)
11505 {
11506 if (((word >> 13) & 0x1) == 0)
11507 {
11508 if (((word >> 21) & 0x1) == 0)
11509 {
11510 /* 33222222222211111111110000000000
11511 10987654321098765432109876543210
11512 xxxxxxxxxxxxx0xxxxxxx010101100xx
11513 ld1. */
11514 return 451;
11515 }
11516 else
11517 {
11518 /* 33222222222211111111110000000000
11519 10987654321098765432109876543210
11520 xxxxxxxxxxxxx0xxxxxxx110101100xx
11521 ld2. */
11522 return 455;
11523 }
11524 }
11525 else
11526 {
11527 if (((word >> 21) & 0x1) == 0)
11528 {
11529 /* 33222222222211111111110000000000
11530 10987654321098765432109876543210
11531 xxxxxxxxxxxxx1xxxxxxx010101100xx
11532 ld3. */
11533 return 452;
11534 }
11535 else
11536 {
11537 /* 33222222222211111111110000000000
11538 10987654321098765432109876543210
11539 xxxxxxxxxxxxx1xxxxxxx110101100xx
11540 ld4. */
11541 return 456;
11542 }
11543 }
11544 }
11545 else
11546 {
11547 /* 33222222222211111111110000000000
11548 10987654321098765432109876543210
11549 xxxxxxxxxxxxxxxxxxxxxx10101101xx
11550 ldp. */
11551 return 927;
11552 }
11553 }
11554 }
11555 else
11556 {
11557 if (((word >> 29) & 0x1) == 0)
11558 {
11559 if (((word >> 21) & 0x1) == 0)
11560 {
11561 if (((word >> 24) & 0x1) == 0)
11562 {
11563 /* 33222222222211111111110000000000
11564 10987654321098765432109876543210
11565 xxxxxxxxxxxxxxxxxxxxx011001100xx
11566 ld4. */
11567 return 443;
11568 }
11569 else
11570 {
11571 if (((word >> 13) & 0x1) == 0)
11572 {
11573 /* 33222222222211111111110000000000
11574 10987654321098765432109876543210
11575 xxxxxxxxxxxxx0xxxxxxx011101100xx
11576 ld1. */
11577 return 463;
11578 }
11579 else
11580 {
11581 /* 33222222222211111111110000000000
11582 10987654321098765432109876543210
11583 xxxxxxxxxxxxx1xxxxxxx011101100xx
11584 ld3. */
11585 return 464;
11586 }
11587 }
11588 }
11589 else
11590 {
11591 if (((word >> 13) & 0x1) == 0)
11592 {
11593 /* 33222222222211111111110000000000
11594 10987654321098765432109876543210
11595 xxxxxxxxxxxxx0xxxxxxx111x01100xx
11596 ld2. */
11597 return 467;
11598 }
11599 else
11600 {
11601 /* 33222222222211111111110000000000
11602 10987654321098765432109876543210
11603 xxxxxxxxxxxxx1xxxxxxx111x01100xx
11604 ld4. */
11605 return 468;
11606 }
11607 }
11608 }
11609 else
11610 {
11611 /* 33222222222211111111110000000000
11612 10987654321098765432109876543210
11613 xxxxxxxxxxxxxxxxxxxxxx11x01101xx
11614 ldp. */
11615 return 932;
11616 }
11617 }
11618 }
11619 }
11620 else
11621 {
11622 if (((word >> 24) & 0x1) == 0)
11623 {
11624 if (((word >> 29) & 0x1) == 0)
11625 {
11626 /* 33222222222211111111110000000000
11627 10987654321098765432109876543210
11628 xxxxxxxxxxxxxxxxxxxxxxxx001110xx
11629 ldr. */
11630 return 935;
11631 }
11632 else
11633 {
11634 if (((word >> 10) & 0x1) == 0)
11635 {
11636 if (((word >> 11) & 0x1) == 0)
11637 {
11638 if (((word >> 22) & 0x1) == 0)
11639 {
11640 /* 33222222222211111111110000000000
11641 10987654321098765432109876543210
11642 xxxxxxxxxx00xxxxxxxxxx0x001111xx
11643 stur. */
11644 return 883;
11645 }
11646 else
11647 {
11648 /* 33222222222211111111110000000000
11649 10987654321098765432109876543210
11650 xxxxxxxxxx00xxxxxxxxxx1x001111xx
11651 ldur. */
11652 return 884;
11653 }
11654 }
11655 else
11656 {
11657 if (((word >> 22) & 0x1) == 0)
11658 {
11659 /* 33222222222211111111110000000000
11660 10987654321098765432109876543210
11661 xxxxxxxxxx01xxxxxxxxxx0x001111xx
11662 str. */
11663 return 862;
11664 }
11665 else
11666 {
11667 /* 33222222222211111111110000000000
11668 10987654321098765432109876543210
11669 xxxxxxxxxx01xxxxxxxxxx1x001111xx
11670 ldr. */
11671 return 863;
11672 }
11673 }
11674 }
11675 else
11676 {
11677 if (((word >> 22) & 0x1) == 0)
11678 {
11679 /* 33222222222211111111110000000000
11680 10987654321098765432109876543210
11681 xxxxxxxxxx1xxxxxxxxxxx0x001111xx
11682 str. */
11683 return 839;
11684 }
11685 else
11686 {
11687 /* 33222222222211111111110000000000
11688 10987654321098765432109876543210
11689 xxxxxxxxxx1xxxxxxxxxxx1x001111xx
11690 ldr. */
11691 return 840;
11692 }
11693 }
11694 }
11695 }
11696 else
11697 {
11698 if (((word >> 22) & 0x1) == 0)
11699 {
11700 /* 33222222222211111111110000000000
11701 10987654321098765432109876543210
11702 xxxxxxxxxxxxxxxxxxxxxx0x10111xxx
11703 str. */
11704 return 850;
11705 }
11706 else
11707 {
11708 /* 33222222222211111111110000000000
11709 10987654321098765432109876543210
11710 xxxxxxxxxxxxxxxxxxxxxx1x10111xxx
11711 ldr. */
11712 return 851;
11713 }
11714 }
11715 }
11716 }
11717 else
11718 {
11719 if (((word >> 24) & 0x1) == 0)
11720 {
11721 if (((word >> 21) & 0x1) == 0)
11722 {
11723 if (((word >> 28) & 0x1) == 0)
11724 {
11725 if (((word >> 10) & 0x1) == 0)
11726 {
11727 if (((word >> 29) & 0x1) == 0)
11728 {
11729 if (((word >> 11) & 0x1) == 0)
11730 {
11731 if (((word >> 12) & 0x1) == 0)
11732 {
11733 /* 33222222222211111111110000000000
11734 10987654321098765432109876543210
11735 xxxxxxxxxx000xxxxxxxx0xx011100xx
11736 tbl. */
11737 return 411;
11738 }
11739 else
11740 {
11741 /* 33222222222211111111110000000000
11742 10987654321098765432109876543210
11743 xxxxxxxxxx001xxxxxxxx0xx011100xx
11744 tbx. */
11745 return 412;
11746 }
11747 }
11748 else
11749 {
11750 if (((word >> 12) & 0x1) == 0)
11751 {
11752 if (((word >> 14) & 0x1) == 0)
11753 {
11754 /* 33222222222211111111110000000000
11755 10987654321098765432109876543210
11756 xxxxxxxxxx010x0xxxxxx0xx011100xx
11757 trn1. */
11758 return 256;
11759 }
11760 else
11761 {
11762 /* 33222222222211111111110000000000
11763 10987654321098765432109876543210
11764 xxxxxxxxxx010x1xxxxxx0xx011100xx
11765 trn2. */
11766 return 259;
11767 }
11768 }
11769 else
11770 {
11771 if (((word >> 13) & 0x1) == 0)
11772 {
11773 if (((word >> 14) & 0x1) == 0)
11774 {
11775 /* 33222222222211111111110000000000
11776 10987654321098765432109876543210
11777 xxxxxxxxxx01100xxxxxx0xx011100xx
11778 uzp1. */
11779 return 255;
11780 }
11781 else
11782 {
11783 /* 33222222222211111111110000000000
11784 10987654321098765432109876543210
11785 xxxxxxxxxx01101xxxxxx0xx011100xx
11786 uzp2. */
11787 return 258;
11788 }
11789 }
11790 else
11791 {
11792 if (((word >> 14) & 0x1) == 0)
11793 {
11794 /* 33222222222211111111110000000000
11795 10987654321098765432109876543210
11796 xxxxxxxxxx01110xxxxxx0xx011100xx
11797 zip1. */
11798 return 257;
11799 }
11800 else
11801 {
11802 /* 33222222222211111111110000000000
11803 10987654321098765432109876543210
11804 xxxxxxxxxx01111xxxxxx0xx011100xx
11805 zip2. */
11806 return 260;
11807 }
11808 }
11809 }
11810 }
11811 }
11812 else
11813 {
11814 /* 33222222222211111111110000000000
11815 10987654321098765432109876543210
11816 xxxxxxxxxx0xxxxxxxxxx0xx011101xx
11817 ext. */
11818 return 129;
11819 }
11820 }
11821 else
11822 {
11823 if (((word >> 15) & 0x1) == 0)
11824 {
11825 if (((word >> 22) & 0x1) == 0)
11826 {
11827 if (((word >> 29) & 0x1) == 0)
11828 {
11829 if (((word >> 11) & 0x1) == 0)
11830 {
11831 /* 33222222222211111111110000000000
11832 10987654321098765432109876543210
11833 xxxxxxxxxx10xxx0xxxxx00x011100xx
11834 dup. */
11835 return 146;
11836 }
11837 else
11838 {
11839 if (((word >> 12) & 0x1) == 0)
11840 {
11841 if (((word >> 13) & 0x1) == 0)
11842 {
11843 /* 33222222222211111111110000000000
11844 10987654321098765432109876543210
11845 xxxxxxxxxx1100x0xxxxx00x011100xx
11846 dup. */
11847 return 147;
11848 }
11849 else
11850 {
11851 /* 33222222222211111111110000000000
11852 10987654321098765432109876543210
11853 xxxxxxxxxx1101x0xxxxx00x011100xx
11854 smov. */
11855 return 148;
11856 }
11857 }
11858 else
11859 {
11860 if (((word >> 13) & 0x1) == 0)
11861 {
11862 /* 33222222222211111111110000000000
11863 10987654321098765432109876543210
11864 xxxxxxxxxx1110x0xxxxx00x011100xx
11865 ins. */
11866 return 151;
11867 }
11868 else
11869 {
11870 /* 33222222222211111111110000000000
11871 10987654321098765432109876543210
11872 xxxxxxxxxx1111x0xxxxx00x011100xx
11873 umov. */
11874 return 149;
11875 }
11876 }
11877 }
11878 }
11879 else
11880 {
11881 /* 33222222222211111111110000000000
11882 10987654321098765432109876543210
11883 xxxxxxxxxx1xxxx0xxxxx00x011101xx
11884 ins. */
11885 return 153;
11886 }
11887 }
11888 else
11889 {
11890 if (((word >> 11) & 0x1) == 0)
11891 {
11892 if (((word >> 12) & 0x1) == 0)
11893 {
11894 if (((word >> 13) & 0x1) == 0)
11895 {
11896 if (((word >> 23) & 0x1) == 0)
11897 {
11898 if (((word >> 29) & 0x1) == 0)
11899 {
11900 /* 33222222222211111111110000000000
11901 10987654321098765432109876543210
11902 xxxxxxxxxx1000x0xxxxx010011100xx
11903 fmaxnm. */
11904 return 285;
11905 }
11906 else
11907 {
11908 /* 33222222222211111111110000000000
11909 10987654321098765432109876543210
11910 xxxxxxxxxx1000x0xxxxx010011101xx
11911 fmaxnmp. */
11912 return 336;
11913 }
11914 }
11915 else
11916 {
11917 if (((word >> 29) & 0x1) == 0)
11918 {
11919 /* 33222222222211111111110000000000
11920 10987654321098765432109876543210
11921 xxxxxxxxxx1000x0xxxxx011011100xx
11922 fminnm. */
11923 return 301;
11924 }
11925 else
11926 {
11927 /* 33222222222211111111110000000000
11928 10987654321098765432109876543210
11929 xxxxxxxxxx1000x0xxxxx011011101xx
11930 fminnmp. */
11931 return 352;
11932 }
11933 }
11934 }
11935 else
11936 {
11937 if (((word >> 23) & 0x1) == 0)
11938 {
11939 if (((word >> 29) & 0x1) == 0)
11940 {
11941 /* 33222222222211111111110000000000
11942 10987654321098765432109876543210
11943 xxxxxxxxxx1001x0xxxxx010011100xx
11944 fcmeq. */
11945 return 293;
11946 }
11947 else
11948 {
11949 /* 33222222222211111111110000000000
11950 10987654321098765432109876543210
11951 xxxxxxxxxx1001x0xxxxx010011101xx
11952 fcmge. */
11953 return 342;
11954 }
11955 }
11956 else
11957 {
11958 /* 33222222222211111111110000000000
11959 10987654321098765432109876543210
11960 xxxxxxxxxx1001x0xxxxx01101110xxx
11961 fcmgt. */
11962 return 356;
11963 }
11964 }
11965 }
11966 else
11967 {
11968 if (((word >> 13) & 0x1) == 0)
11969 {
11970 if (((word >> 23) & 0x1) == 0)
11971 {
11972 if (((word >> 29) & 0x1) == 0)
11973 {
11974 /* 33222222222211111111110000000000
11975 10987654321098765432109876543210
11976 xxxxxxxxxx1010x0xxxxx010011100xx
11977 fadd. */
11978 return 289;
11979 }
11980 else
11981 {
11982 /* 33222222222211111111110000000000
11983 10987654321098765432109876543210
11984 xxxxxxxxxx1010x0xxxxx010011101xx
11985 faddp. */
11986 return 338;
11987 }
11988 }
11989 else
11990 {
11991 if (((word >> 29) & 0x1) == 0)
11992 {
11993 /* 33222222222211111111110000000000
11994 10987654321098765432109876543210
11995 xxxxxxxxxx1010x0xxxxx011011100xx
11996 fsub. */
11997 return 305;
11998 }
11999 else
12000 {
12001 /* 33222222222211111111110000000000
12002 10987654321098765432109876543210
12003 xxxxxxxxxx1010x0xxxxx011011101xx
12004 fabd. */
12005 return 354;
12006 }
12007 }
12008 }
12009 else
12010 {
12011 if (((word >> 23) & 0x1) == 0)
12012 {
12013 if (((word >> 29) & 0x1) == 0)
12014 {
12015 /* 33222222222211111111110000000000
12016 10987654321098765432109876543210
12017 xxxxxxxxxx1011x0xxxxx010011100xx
12018 fmax. */
12019 return 295;
12020 }
12021 else
12022 {
12023 /* 33222222222211111111110000000000
12024 10987654321098765432109876543210
12025 xxxxxxxxxx1011x0xxxxx010011101xx
12026 fmaxp. */
12027 return 346;
12028 }
12029 }
12030 else
12031 {
12032 if (((word >> 29) & 0x1) == 0)
12033 {
12034 /* 33222222222211111111110000000000
12035 10987654321098765432109876543210
12036 xxxxxxxxxx1011x0xxxxx011011100xx
12037 fmin. */
12038 return 307;
12039 }
12040 else
12041 {
12042 /* 33222222222211111111110000000000
12043 10987654321098765432109876543210
12044 xxxxxxxxxx1011x0xxxxx011011101xx
12045 fminp. */
12046 return 360;
12047 }
12048 }
12049 }
12050 }
12051 }
12052 else
12053 {
12054 if (((word >> 12) & 0x1) == 0)
12055 {
12056 if (((word >> 13) & 0x1) == 0)
12057 {
12058 if (((word >> 23) & 0x1) == 0)
12059 {
12060 /* 33222222222211111111110000000000
12061 10987654321098765432109876543210
12062 xxxxxxxxxx1100x0xxxxx01001110xxx
12063 fmla. */
12064 return 287;
12065 }
12066 else
12067 {
12068 /* 33222222222211111111110000000000
12069 10987654321098765432109876543210
12070 xxxxxxxxxx1100x0xxxxx01101110xxx
12071 fmls. */
12072 return 303;
12073 }
12074 }
12075 else
12076 {
12077 if (((word >> 23) & 0x1) == 0)
12078 {
12079 /* 33222222222211111111110000000000
12080 10987654321098765432109876543210
12081 xxxxxxxxxx1101x0xxxxx01001110xxx
12082 facge. */
12083 return 344;
12084 }
12085 else
12086 {
12087 /* 33222222222211111111110000000000
12088 10987654321098765432109876543210
12089 xxxxxxxxxx1101x0xxxxx01101110xxx
12090 facgt. */
12091 return 358;
12092 }
12093 }
12094 }
12095 else
12096 {
12097 if (((word >> 13) & 0x1) == 0)
12098 {
12099 if (((word >> 29) & 0x1) == 0)
12100 {
12101 /* 33222222222211111111110000000000
12102 10987654321098765432109876543210
12103 xxxxxxxxxx1110x0xxxxx01x011100xx
12104 fmulx. */
12105 return 291;
12106 }
12107 else
12108 {
12109 /* 33222222222211111111110000000000
12110 10987654321098765432109876543210
12111 xxxxxxxxxx1110x0xxxxx01x011101xx
12112 fmul. */
12113 return 340;
12114 }
12115 }
12116 else
12117 {
12118 if (((word >> 23) & 0x1) == 0)
12119 {
12120 if (((word >> 29) & 0x1) == 0)
12121 {
12122 /* 33222222222211111111110000000000
12123 10987654321098765432109876543210
12124 xxxxxxxxxx1111x0xxxxx010011100xx
12125 frecps. */
12126 return 297;
12127 }
12128 else
12129 {
12130 /* 33222222222211111111110000000000
12131 10987654321098765432109876543210
12132 xxxxxxxxxx1111x0xxxxx010011101xx
12133 fdiv. */
12134 return 348;
12135 }
12136 }
12137 else
12138 {
12139 /* 33222222222211111111110000000000
12140 10987654321098765432109876543210
12141 xxxxxxxxxx1111x0xxxxx01101110xxx
12142 frsqrts. */
12143 return 309;
12144 }
12145 }
12146 }
12147 }
12148 }
12149 }
12150 else
12151 {
12152 if (((word >> 11) & 0x1) == 0)
12153 {
12154 /* 33222222222211111111110000000000
12155 10987654321098765432109876543210
12156 xxxxxxxxxx10xxx1xxxxx0xx01110xxx
12157 sqrdmlah. */
12158 return 363;
12159 }
12160 else
12161 {
12162 /* 33222222222211111111110000000000
12163 10987654321098765432109876543210
12164 xxxxxxxxxx11xxx1xxxxx0xx01110xxx
12165 sqrdmlsh. */
12166 return 364;
12167 }
12168 }
12169 }
12170 }
12171 else
12172 {
12173 if (((word >> 29) & 0x1) == 0)
12174 {
12175 if (((word >> 30) & 0x1) == 0)
12176 {
12177 if (((word >> 16) & 0x1) == 0)
12178 {
12179 if (((word >> 17) & 0x1) == 0)
12180 {
12181 /* 33222222222211111111110000000000
12182 10987654321098765432109876543210
12183 xxxxxxxxxxxxxxxx00xxx0xx0111100x
12184 fcvtzs. */
12185 return 737;
12186 }
12187 else
12188 {
12189 /* 33222222222211111111110000000000
12190 10987654321098765432109876543210
12191 xxxxxxxxxxxxxxxx01xxx0xx0111100x
12192 scvtf. */
12193 return 733;
12194 }
12195 }
12196 else
12197 {
12198 if (((word >> 17) & 0x1) == 0)
12199 {
12200 /* 33222222222211111111110000000000
12201 10987654321098765432109876543210
12202 xxxxxxxxxxxxxxxx10xxx0xx0111100x
12203 fcvtzu. */
12204 return 739;
12205 }
12206 else
12207 {
12208 /* 33222222222211111111110000000000
12209 10987654321098765432109876543210
12210 xxxxxxxxxxxxxxxx11xxx0xx0111100x
12211 ucvtf. */
12212 return 735;
12213 }
12214 }
12215 }
12216 else
12217 {
12218 if (((word >> 10) & 0x1) == 0)
12219 {
12220 if (((word >> 12) & 0x1) == 0)
12221 {
12222 if (((word >> 13) & 0x1) == 0)
12223 {
12224 if (((word >> 14) & 0x1) == 0)
12225 {
12226 /* 33222222222211111111110000000000
12227 10987654321098765432109876543210
12228 xxxxxxxxxx0x000xxxxxx0xx0111101x
12229 sha1c. */
12230 return 657;
12231 }
12232 else
12233 {
12234 /* 33222222222211111111110000000000
12235 10987654321098765432109876543210
12236 xxxxxxxxxx0x001xxxxxx0xx0111101x
12237 sha256h. */
12238 return 661;
12239 }
12240 }
12241 else
12242 {
12243 if (((word >> 14) & 0x1) == 0)
12244 {
12245 /* 33222222222211111111110000000000
12246 10987654321098765432109876543210
12247 xxxxxxxxxx0x010xxxxxx0xx0111101x
12248 sha1m. */
12249 return 659;
12250 }
12251 else
12252 {
12253 /* 33222222222211111111110000000000
12254 10987654321098765432109876543210
12255 xxxxxxxxxx0x011xxxxxx0xx0111101x
12256 sha256su1. */
12257 return 663;
12258 }
12259 }
12260 }
12261 else
12262 {
12263 if (((word >> 13) & 0x1) == 0)
12264 {
12265 if (((word >> 14) & 0x1) == 0)
12266 {
12267 /* 33222222222211111111110000000000
12268 10987654321098765432109876543210
12269 xxxxxxxxxx0x100xxxxxx0xx0111101x
12270 sha1p. */
12271 return 658;
12272 }
12273 else
12274 {
12275 /* 33222222222211111111110000000000
12276 10987654321098765432109876543210
12277 xxxxxxxxxx0x101xxxxxx0xx0111101x
12278 sha256h2. */
12279 return 662;
12280 }
12281 }
12282 else
12283 {
12284 /* 33222222222211111111110000000000
12285 10987654321098765432109876543210
12286 xxxxxxxxxx0x11xxxxxxx0xx0111101x
12287 sha1su0. */
12288 return 660;
12289 }
12290 }
12291 }
12292 else
12293 {
12294 if (((word >> 11) & 0x1) == 0)
12295 {
12296 if (((word >> 13) & 0x1) == 0)
12297 {
12298 /* 33222222222211111111110000000000
12299 10987654321098765432109876543210
12300 xxxxxxxxxx10x0xxxxxxx0xx0111101x
12301 dup. */
12302 return 526;
12303 }
12304 else
12305 {
12306 /* 33222222222211111111110000000000
12307 10987654321098765432109876543210
12308 xxxxxxxxxx10x1xxxxxxx0xx0111101x
12309 fcmeq. */
12310 return 547;
12311 }
12312 }
12313 else
12314 {
12315 if (((word >> 13) & 0x1) == 0)
12316 {
12317 /* 33222222222211111111110000000000
12318 10987654321098765432109876543210
12319 xxxxxxxxxx11x0xxxxxxx0xx0111101x
12320 fmulx. */
12321 return 545;
12322 }
12323 else
12324 {
12325 if (((word >> 23) & 0x1) == 0)
12326 {
12327 /* 33222222222211111111110000000000
12328 10987654321098765432109876543210
12329 xxxxxxxxxx11x1xxxxxxx0x00111101x
12330 frecps. */
12331 return 549;
12332 }
12333 else
12334 {
12335 /* 33222222222211111111110000000000
12336 10987654321098765432109876543210
12337 xxxxxxxxxx11x1xxxxxxx0x10111101x
12338 frsqrts. */
12339 return 551;
12340 }
12341 }
12342 }
12343 }
12344 }
12345 }
12346 else
12347 {
12348 if (((word >> 11) & 0x1) == 0)
12349 {
12350 if (((word >> 12) & 0x1) == 0)
12351 {
12352 if (((word >> 13) & 0x1) == 0)
12353 {
12354 /* 33222222222211111111110000000000
12355 10987654321098765432109876543210
12356 xxxxxxxxxxx000xxxxxxx0xx011111xx
12357 sqrdmlah. */
12358 return 579;
12359 }
12360 else
12361 {
12362 if (((word >> 23) & 0x1) == 0)
12363 {
12364 /* 33222222222211111111110000000000
12365 10987654321098765432109876543210
12366 xxxxxxxxxxx001xxxxxxx0x0011111xx
12367 fcmge. */
12368 return 564;
12369 }
12370 else
12371 {
12372 /* 33222222222211111111110000000000
12373 10987654321098765432109876543210
12374 xxxxxxxxxxx001xxxxxxx0x1011111xx
12375 fcmgt. */
12376 return 570;
12377 }
12378 }
12379 }
12380 else
12381 {
12382 /* 33222222222211111111110000000000
12383 10987654321098765432109876543210
12384 xxxxxxxxxxx01xxxxxxxx0xx011111xx
12385 fabd. */
12386 return 568;
12387 }
12388 }
12389 else
12390 {
12391 if (((word >> 13) & 0x1) == 0)
12392 {
12393 /* 33222222222211111111110000000000
12394 10987654321098765432109876543210
12395 xxxxxxxxxxx1x0xxxxxxx0xx011111xx
12396 sqrdmlsh. */
12397 return 580;
12398 }
12399 else
12400 {
12401 if (((word >> 23) & 0x1) == 0)
12402 {
12403 /* 33222222222211111111110000000000
12404 10987654321098765432109876543210
12405 xxxxxxxxxxx1x1xxxxxxx0x0011111xx
12406 facge. */
12407 return 566;
12408 }
12409 else
12410 {
12411 /* 33222222222211111111110000000000
12412 10987654321098765432109876543210
12413 xxxxxxxxxxx1x1xxxxxxx0x1011111xx
12414 facgt. */
12415 return 572;
12416 }
12417 }
12418 }
12419 }
12420 }
12421 }
12422 else
12423 {
12424 if (((word >> 10) & 0x1) == 0)
12425 {
12426 if (((word >> 11) & 0x1) == 0)
12427 {
12428 if (((word >> 12) & 0x1) == 0)
12429 {
12430 if (((word >> 13) & 0x1) == 0)
12431 {
12432 if (((word >> 14) & 0x1) == 0)
12433 {
12434 if (((word >> 15) & 0x1) == 0)
12435 {
12436 if (((word >> 28) & 0x1) == 0)
12437 {
12438 if (((word >> 29) & 0x1) == 0)
12439 {
12440 if (((word >> 30) & 0x1) == 0)
12441 {
12442 /* 33222222222211111111110000000000
12443 10987654321098765432109876543210
12444 xxxxxxxxxx000000xxxxx1xx0111000x
12445 saddl. */
12446 return 42;
12447 }
12448 else
12449 {
12450 /* 33222222222211111111110000000000
12451 10987654321098765432109876543210
12452 xxxxxxxxxx000000xxxxx1xx0111001x
12453 saddl2. */
12454 return 43;
12455 }
12456 }
12457 else
12458 {
12459 if (((word >> 30) & 0x1) == 0)
12460 {
12461 /* 33222222222211111111110000000000
12462 10987654321098765432109876543210
12463 xxxxxxxxxx000000xxxxx1xx0111010x
12464 uaddl. */
12465 return 74;
12466 }
12467 else
12468 {
12469 /* 33222222222211111111110000000000
12470 10987654321098765432109876543210
12471 xxxxxxxxxx000000xxxxx1xx0111011x
12472 uaddl2. */
12473 return 75;
12474 }
12475 }
12476 }
12477 else
12478 {
12479 if (((word >> 16) & 0x1) == 0)
12480 {
12481 if (((word >> 17) & 0x1) == 0)
12482 {
12483 if (((word >> 18) & 0x1) == 0)
12484 {
12485 if (((word >> 19) & 0x1) == 0)
12486 {
12487 if (((word >> 20) & 0x1) == 0)
12488 {
12489 /* 33222222222211111111110000000000
12490 10987654321098765432109876543210
12491 xxxxxxxxxx000000000001xx01111xxx
12492 fcvtns. */
12493 return 741;
12494 }
12495 else
12496 {
12497 /* 33222222222211111111110000000000
12498 10987654321098765432109876543210
12499 xxxxxxxxxx000000000011xx01111xxx
12500 fcvtms. */
12501 return 761;
12502 }
12503 }
12504 else
12505 {
12506 if (((word >> 20) & 0x1) == 0)
12507 {
12508 /* 33222222222211111111110000000000
12509 10987654321098765432109876543210
12510 xxxxxxxxxx000000000101xx01111xxx
12511 fcvtps. */
12512 return 757;
12513 }
12514 else
12515 {
12516 /* 33222222222211111111110000000000
12517 10987654321098765432109876543210
12518 xxxxxxxxxx000000000111xx01111xxx
12519 fcvtzs. */
12520 return 765;
12521 }
12522 }
12523 }
12524 else
12525 {
12526 /* 33222222222211111111110000000000
12527 10987654321098765432109876543210
12528 xxxxxxxxxx000000001xx1xx01111xxx
12529 fcvtas. */
12530 return 749;
12531 }
12532 }
12533 else
12534 {
12535 if (((word >> 18) & 0x1) == 0)
12536 {
12537 /* 33222222222211111111110000000000
12538 10987654321098765432109876543210
12539 xxxxxxxxxx000000010xx1xx01111xxx
12540 scvtf. */
12541 return 745;
12542 }
12543 else
12544 {
12545 if (((word >> 19) & 0x1) == 0)
12546 {
12547 /* 33222222222211111111110000000000
12548 10987654321098765432109876543210
12549 xxxxxxxxxx0000000110x1xx01111xxx
12550 fmov. */
12551 return 753;
12552 }
12553 else
12554 {
12555 /* 33222222222211111111110000000000
12556 10987654321098765432109876543210
12557 xxxxxxxxxx0000000111x1xx01111xxx
12558 fmov. */
12559 return 769;
12560 }
12561 }
12562 }
12563 }
12564 else
12565 {
12566 if (((word >> 17) & 0x1) == 0)
12567 {
12568 if (((word >> 18) & 0x1) == 0)
12569 {
12570 if (((word >> 19) & 0x1) == 0)
12571 {
12572 if (((word >> 20) & 0x1) == 0)
12573 {
12574 /* 33222222222211111111110000000000
12575 10987654321098765432109876543210
12576 xxxxxxxxxx000000100001xx01111xxx
12577 fcvtnu. */
12578 return 743;
12579 }
12580 else
12581 {
12582 /* 33222222222211111111110000000000
12583 10987654321098765432109876543210
12584 xxxxxxxxxx000000100011xx01111xxx
12585 fcvtmu. */
12586 return 763;
12587 }
12588 }
12589 else
12590 {
12591 if (((word >> 20) & 0x1) == 0)
12592 {
12593 /* 33222222222211111111110000000000
12594 10987654321098765432109876543210
12595 xxxxxxxxxx000000100101xx01111xxx
12596 fcvtpu. */
12597 return 759;
12598 }
12599 else
12600 {
12601 /* 33222222222211111111110000000000
12602 10987654321098765432109876543210
12603 xxxxxxxxxx000000100111xx01111xxx
12604 fcvtzu. */
12605 return 767;
12606 }
12607 }
12608 }
12609 else
12610 {
12611 /* 33222222222211111111110000000000
12612 10987654321098765432109876543210
12613 xxxxxxxxxx000000101xx1xx01111xxx
12614 fcvtau. */
12615 return 751;
12616 }
12617 }
12618 else
12619 {
12620 if (((word >> 18) & 0x1) == 0)
12621 {
12622 /* 33222222222211111111110000000000
12623 10987654321098765432109876543210
12624 xxxxxxxxxx000000110xx1xx01111xxx
12625 ucvtf. */
12626 return 747;
12627 }
12628 else
12629 {
12630 if (((word >> 19) & 0x1) == 0)
12631 {
12632 /* 33222222222211111111110000000000
12633 10987654321098765432109876543210
12634 xxxxxxxxxx0000001110x1xx01111xxx
12635 fmov. */
12636 return 755;
12637 }
12638 else
12639 {
12640 /* 33222222222211111111110000000000
12641 10987654321098765432109876543210
12642 xxxxxxxxxx0000001111x1xx01111xxx
12643 fmov. */
12644 return 770;
12645 }
12646 }
12647 }
12648 }
12649 }
12650 }
12651 else
12652 {
12653 if (((word >> 29) & 0x1) == 0)
12654 {
12655 if (((word >> 30) & 0x1) == 0)
12656 {
12657 /* 33222222222211111111110000000000
12658 10987654321098765432109876543210
12659 xxxxxxxxxx000001xxxxx1xx0111x00x
12660 smlal. */
12661 return 58;
12662 }
12663 else
12664 {
12665 /* 33222222222211111111110000000000
12666 10987654321098765432109876543210
12667 xxxxxxxxxx000001xxxxx1xx0111x01x
12668 smlal2. */
12669 return 59;
12670 }
12671 }
12672 else
12673 {
12674 if (((word >> 30) & 0x1) == 0)
12675 {
12676 /* 33222222222211111111110000000000
12677 10987654321098765432109876543210
12678 xxxxxxxxxx000001xxxxx1xx0111x10x
12679 umlal. */
12680 return 90;
12681 }
12682 else
12683 {
12684 /* 33222222222211111111110000000000
12685 10987654321098765432109876543210
12686 xxxxxxxxxx000001xxxxx1xx0111x11x
12687 umlal2. */
12688 return 91;
12689 }
12690 }
12691 }
12692 }
12693 else
12694 {
12695 if (((word >> 28) & 0x1) == 0)
12696 {
12697 if (((word >> 15) & 0x1) == 0)
12698 {
12699 if (((word >> 29) & 0x1) == 0)
12700 {
12701 if (((word >> 30) & 0x1) == 0)
12702 {
12703 /* 33222222222211111111110000000000
12704 10987654321098765432109876543210
12705 xxxxxxxxxx000010xxxxx1xx0111000x
12706 addhn. */
12707 return 50;
12708 }
12709 else
12710 {
12711 /* 33222222222211111111110000000000
12712 10987654321098765432109876543210
12713 xxxxxxxxxx000010xxxxx1xx0111001x
12714 addhn2. */
12715 return 51;
12716 }
12717 }
12718 else
12719 {
12720 if (((word >> 30) & 0x1) == 0)
12721 {
12722 /* 33222222222211111111110000000000
12723 10987654321098765432109876543210
12724 xxxxxxxxxx000010xxxxx1xx0111010x
12725 raddhn. */
12726 return 82;
12727 }
12728 else
12729 {
12730 /* 33222222222211111111110000000000
12731 10987654321098765432109876543210
12732 xxxxxxxxxx000010xxxxx1xx0111011x
12733 raddhn2. */
12734 return 83;
12735 }
12736 }
12737 }
12738 else
12739 {
12740 if (((word >> 29) & 0x1) == 0)
12741 {
12742 if (((word >> 30) & 0x1) == 0)
12743 {
12744 /* 33222222222211111111110000000000
12745 10987654321098765432109876543210
12746 xxxxxxxxxx000011xxxxx1xx0111000x
12747 smull. */
12748 return 66;
12749 }
12750 else
12751 {
12752 /* 33222222222211111111110000000000
12753 10987654321098765432109876543210
12754 xxxxxxxxxx000011xxxxx1xx0111001x
12755 smull2. */
12756 return 67;
12757 }
12758 }
12759 else
12760 {
12761 if (((word >> 30) & 0x1) == 0)
12762 {
12763 /* 33222222222211111111110000000000
12764 10987654321098765432109876543210
12765 xxxxxxxxxx000011xxxxx1xx0111010x
12766 umull. */
12767 return 94;
12768 }
12769 else
12770 {
12771 /* 33222222222211111111110000000000
12772 10987654321098765432109876543210
12773 xxxxxxxxxx000011xxxxx1xx0111011x
12774 umull2. */
12775 return 95;
12776 }
12777 }
12778 }
12779 }
12780 else
12781 {
12782 if (((word >> 17) & 0x1) == 0)
12783 {
12784 if (((word >> 15) & 0x1) == 0)
12785 {
12786 if (((word >> 16) & 0x1) == 0)
12787 {
12788 if (((word >> 18) & 0x1) == 0)
12789 {
12790 /* 33222222222211111111110000000000
12791 10987654321098765432109876543210
12792 xxxxxxxxxx000010000xx1xx01111xxx
12793 fmov. */
12794 return 783;
12795 }
12796 else
12797 {
12798 /* 33222222222211111111110000000000
12799 10987654321098765432109876543210
12800 xxxxxxxxxx000010001xx1xx01111xxx
12801 frintn. */
12802 return 792;
12803 }
12804 }
12805 else
12806 {
12807 if (((word >> 18) & 0x1) == 0)
12808 {
12809 /* 33222222222211111111110000000000
12810 10987654321098765432109876543210
12811 xxxxxxxxxx000010100xx1xx01111xxx
12812 fneg. */
12813 return 787;
12814 }
12815 else
12816 {
12817 /* 33222222222211111111110000000000
12818 10987654321098765432109876543210
12819 xxxxxxxxxx000010101xx1xx01111xxx
12820 frintm. */
12821 return 796;
12822 }
12823 }
12824 }
12825 else
12826 {
12827 if (((word >> 16) & 0x1) == 0)
12828 {
12829 if (((word >> 18) & 0x1) == 0)
12830 {
12831 /* 33222222222211111111110000000000
12832 10987654321098765432109876543210
12833 xxxxxxxxxx000011000xx1xx01111xxx
12834 fabs. */
12835 return 785;
12836 }
12837 else
12838 {
12839 /* 33222222222211111111110000000000
12840 10987654321098765432109876543210
12841 xxxxxxxxxx000011001xx1xx01111xxx
12842 frintp. */
12843 return 794;
12844 }
12845 }
12846 else
12847 {
12848 if (((word >> 18) & 0x1) == 0)
12849 {
12850 /* 33222222222211111111110000000000
12851 10987654321098765432109876543210
12852 xxxxxxxxxx000011100xx1xx01111xxx
12853 fsqrt. */
12854 return 789;
12855 }
12856 else
12857 {
12858 /* 33222222222211111111110000000000
12859 10987654321098765432109876543210
12860 xxxxxxxxxx000011101xx1xx01111xxx
12861 frintz. */
12862 return 798;
12863 }
12864 }
12865 }
12866 }
12867 else
12868 {
12869 if (((word >> 18) & 0x1) == 0)
12870 {
12871 /* 33222222222211111111110000000000
12872 10987654321098765432109876543210
12873 xxxxxxxxxx00001xx10xx1xx01111xxx
12874 fcvt. */
12875 return 791;
12876 }
12877 else
12878 {
12879 if (((word >> 15) & 0x1) == 0)
12880 {
12881 if (((word >> 16) & 0x1) == 0)
12882 {
12883 /* 33222222222211111111110000000000
12884 10987654321098765432109876543210
12885 xxxxxxxxxx000010011xx1xx01111xxx
12886 frinta. */
12887 return 800;
12888 }
12889 else
12890 {
12891 /* 33222222222211111111110000000000
12892 10987654321098765432109876543210
12893 xxxxxxxxxx000010111xx1xx01111xxx
12894 frintx. */
12895 return 802;
12896 }
12897 }
12898 else
12899 {
12900 /* 33222222222211111111110000000000
12901 10987654321098765432109876543210
12902 xxxxxxxxxx000011x11xx1xx01111xxx
12903 frinti. */
12904 return 804;
12905 }
12906 }
12907 }
12908 }
12909 }
12910 }
12911 else
12912 {
12913 if (((word >> 14) & 0x1) == 0)
12914 {
12915 if (((word >> 15) & 0x1) == 0)
12916 {
12917 if (((word >> 28) & 0x1) == 0)
12918 {
12919 if (((word >> 29) & 0x1) == 0)
12920 {
12921 if (((word >> 30) & 0x1) == 0)
12922 {
12923 /* 33222222222211111111110000000000
12924 10987654321098765432109876543210
12925 xxxxxxxxxx000100xxxxx1xx0111000x
12926 ssubl. */
12927 return 46;
12928 }
12929 else
12930 {
12931 /* 33222222222211111111110000000000
12932 10987654321098765432109876543210
12933 xxxxxxxxxx000100xxxxx1xx0111001x
12934 ssubl2. */
12935 return 47;
12936 }
12937 }
12938 else
12939 {
12940 if (((word >> 30) & 0x1) == 0)
12941 {
12942 /* 33222222222211111111110000000000
12943 10987654321098765432109876543210
12944 xxxxxxxxxx000100xxxxx1xx0111010x
12945 usubl. */
12946 return 78;
12947 }
12948 else
12949 {
12950 /* 33222222222211111111110000000000
12951 10987654321098765432109876543210
12952 xxxxxxxxxx000100xxxxx1xx0111011x
12953 usubl2. */
12954 return 79;
12955 }
12956 }
12957 }
12958 else
12959 {
12960 if (((word >> 3) & 0x1) == 0)
12961 {
12962 if (((word >> 4) & 0x1) == 0)
12963 {
12964 /* 33222222222211111111110000000000
12965 10987654321098765432109876543210
12966 xxx00xxxxx000100xxxxx1xx01111xxx
12967 fcmp. */
12968 return 775;
12969 }
12970 else
12971 {
12972 /* 33222222222211111111110000000000
12973 10987654321098765432109876543210
12974 xxx01xxxxx000100xxxxx1xx01111xxx
12975 fcmpe. */
12976 return 777;
12977 }
12978 }
12979 else
12980 {
12981 if (((word >> 4) & 0x1) == 0)
12982 {
12983 /* 33222222222211111111110000000000
12984 10987654321098765432109876543210
12985 xxx10xxxxx000100xxxxx1xx01111xxx
12986 fcmp. */
12987 return 779;
12988 }
12989 else
12990 {
12991 /* 33222222222211111111110000000000
12992 10987654321098765432109876543210
12993 xxx11xxxxx000100xxxxx1xx01111xxx
12994 fcmpe. */
12995 return 781;
12996 }
12997 }
12998 }
12999 }
13000 else
13001 {
13002 if (((word >> 29) & 0x1) == 0)
13003 {
13004 if (((word >> 30) & 0x1) == 0)
13005 {
13006 /* 33222222222211111111110000000000
13007 10987654321098765432109876543210
13008 xxxxxxxxxx000101xxxxx1xx0111x00x
13009 smlsl. */
13010 return 62;
13011 }
13012 else
13013 {
13014 /* 33222222222211111111110000000000
13015 10987654321098765432109876543210
13016 xxxxxxxxxx000101xxxxx1xx0111x01x
13017 smlsl2. */
13018 return 63;
13019 }
13020 }
13021 else
13022 {
13023 if (((word >> 30) & 0x1) == 0)
13024 {
13025 /* 33222222222211111111110000000000
13026 10987654321098765432109876543210
13027 xxxxxxxxxx000101xxxxx1xx0111x10x
13028 umlsl. */
13029 return 92;
13030 }
13031 else
13032 {
13033 /* 33222222222211111111110000000000
13034 10987654321098765432109876543210
13035 xxxxxxxxxx000101xxxxx1xx0111x11x
13036 umlsl2. */
13037 return 93;
13038 }
13039 }
13040 }
13041 }
13042 else
13043 {
13044 if (((word >> 15) & 0x1) == 0)
13045 {
13046 if (((word >> 29) & 0x1) == 0)
13047 {
13048 if (((word >> 30) & 0x1) == 0)
13049 {
13050 /* 33222222222211111111110000000000
13051 10987654321098765432109876543210
13052 xxxxxxxxxx000110xxxxx1xx0111x00x
13053 subhn. */
13054 return 54;
13055 }
13056 else
13057 {
13058 /* 33222222222211111111110000000000
13059 10987654321098765432109876543210
13060 xxxxxxxxxx000110xxxxx1xx0111x01x
13061 subhn2. */
13062 return 55;
13063 }
13064 }
13065 else
13066 {
13067 if (((word >> 30) & 0x1) == 0)
13068 {
13069 /* 33222222222211111111110000000000
13070 10987654321098765432109876543210
13071 xxxxxxxxxx000110xxxxx1xx0111x10x
13072 rsubhn. */
13073 return 86;
13074 }
13075 else
13076 {
13077 /* 33222222222211111111110000000000
13078 10987654321098765432109876543210
13079 xxxxxxxxxx000110xxxxx1xx0111x11x
13080 rsubhn2. */
13081 return 87;
13082 }
13083 }
13084 }
13085 else
13086 {
13087 if (((word >> 22) & 0x1) == 0)
13088 {
13089 if (((word >> 30) & 0x1) == 0)
13090 {
13091 /* 33222222222211111111110000000000
13092 10987654321098765432109876543210
13093 xxxxxxxxxx000111xxxxx10x0111xx0x
13094 pmull. */
13095 return 70;
13096 }
13097 else
13098 {
13099 /* 33222222222211111111110000000000
13100 10987654321098765432109876543210
13101 xxxxxxxxxx000111xxxxx10x0111xx1x
13102 pmull2. */
13103 return 72;
13104 }
13105 }
13106 else
13107 {
13108 if (((word >> 30) & 0x1) == 0)
13109 {
13110 /* 33222222222211111111110000000000
13111 10987654321098765432109876543210
13112 xxxxxxxxxx000111xxxxx11x0111xx0x
13113 pmull. */
13114 return 71;
13115 }
13116 else
13117 {
13118 /* 33222222222211111111110000000000
13119 10987654321098765432109876543210
13120 xxxxxxxxxx000111xxxxx11x0111xx1x
13121 pmull2. */
13122 return 73;
13123 }
13124 }
13125 }
13126 }
13127 }
13128 }
13129 else
13130 {
13131 if (((word >> 28) & 0x1) == 0)
13132 {
13133 if (((word >> 13) & 0x1) == 0)
13134 {
13135 if (((word >> 14) & 0x1) == 0)
13136 {
13137 if (((word >> 15) & 0x1) == 0)
13138 {
13139 if (((word >> 29) & 0x1) == 0)
13140 {
13141 if (((word >> 30) & 0x1) == 0)
13142 {
13143 /* 33222222222211111111110000000000
13144 10987654321098765432109876543210
13145 xxxxxxxxxx001000xxxxx1xx0111000x
13146 saddw. */
13147 return 44;
13148 }
13149 else
13150 {
13151 /* 33222222222211111111110000000000
13152 10987654321098765432109876543210
13153 xxxxxxxxxx001000xxxxx1xx0111001x
13154 saddw2. */
13155 return 45;
13156 }
13157 }
13158 else
13159 {
13160 if (((word >> 30) & 0x1) == 0)
13161 {
13162 /* 33222222222211111111110000000000
13163 10987654321098765432109876543210
13164 xxxxxxxxxx001000xxxxx1xx0111010x
13165 uaddw. */
13166 return 76;
13167 }
13168 else
13169 {
13170 /* 33222222222211111111110000000000
13171 10987654321098765432109876543210
13172 xxxxxxxxxx001000xxxxx1xx0111011x
13173 uaddw2. */
13174 return 77;
13175 }
13176 }
13177 }
13178 else
13179 {
13180 if (((word >> 30) & 0x1) == 0)
13181 {
13182 /* 33222222222211111111110000000000
13183 10987654321098765432109876543210
13184 xxxxxxxxxx001001xxxxx1xx01110x0x
13185 sqdmlal. */
13186 return 60;
13187 }
13188 else
13189 {
13190 /* 33222222222211111111110000000000
13191 10987654321098765432109876543210
13192 xxxxxxxxxx001001xxxxx1xx01110x1x
13193 sqdmlal2. */
13194 return 61;
13195 }
13196 }
13197 }
13198 else
13199 {
13200 if (((word >> 15) & 0x1) == 0)
13201 {
13202 if (((word >> 29) & 0x1) == 0)
13203 {
13204 if (((word >> 30) & 0x1) == 0)
13205 {
13206 /* 33222222222211111111110000000000
13207 10987654321098765432109876543210
13208 xxxxxxxxxx001010xxxxx1xx0111000x
13209 sabal. */
13210 return 52;
13211 }
13212 else
13213 {
13214 /* 33222222222211111111110000000000
13215 10987654321098765432109876543210
13216 xxxxxxxxxx001010xxxxx1xx0111001x
13217 sabal2. */
13218 return 53;
13219 }
13220 }
13221 else
13222 {
13223 if (((word >> 30) & 0x1) == 0)
13224 {
13225 /* 33222222222211111111110000000000
13226 10987654321098765432109876543210
13227 xxxxxxxxxx001010xxxxx1xx0111010x
13228 uabal. */
13229 return 84;
13230 }
13231 else
13232 {
13233 /* 33222222222211111111110000000000
13234 10987654321098765432109876543210
13235 xxxxxxxxxx001010xxxxx1xx0111011x
13236 uabal2. */
13237 return 85;
13238 }
13239 }
13240 }
13241 else
13242 {
13243 if (((word >> 30) & 0x1) == 0)
13244 {
13245 /* 33222222222211111111110000000000
13246 10987654321098765432109876543210
13247 xxxxxxxxxx001011xxxxx1xx01110x0x
13248 sqdmull. */
13249 return 68;
13250 }
13251 else
13252 {
13253 /* 33222222222211111111110000000000
13254 10987654321098765432109876543210
13255 xxxxxxxxxx001011xxxxx1xx01110x1x
13256 sqdmull2. */
13257 return 69;
13258 }
13259 }
13260 }
13261 }
13262 else
13263 {
13264 if (((word >> 14) & 0x1) == 0)
13265 {
13266 if (((word >> 15) & 0x1) == 0)
13267 {
13268 if (((word >> 29) & 0x1) == 0)
13269 {
13270 if (((word >> 30) & 0x1) == 0)
13271 {
13272 /* 33222222222211111111110000000000
13273 10987654321098765432109876543210
13274 xxxxxxxxxx001100xxxxx1xx0111000x
13275 ssubw. */
13276 return 48;
13277 }
13278 else
13279 {
13280 /* 33222222222211111111110000000000
13281 10987654321098765432109876543210
13282 xxxxxxxxxx001100xxxxx1xx0111001x
13283 ssubw2. */
13284 return 49;
13285 }
13286 }
13287 else
13288 {
13289 if (((word >> 30) & 0x1) == 0)
13290 {
13291 /* 33222222222211111111110000000000
13292 10987654321098765432109876543210
13293 xxxxxxxxxx001100xxxxx1xx0111010x
13294 usubw. */
13295 return 80;
13296 }
13297 else
13298 {
13299 /* 33222222222211111111110000000000
13300 10987654321098765432109876543210
13301 xxxxxxxxxx001100xxxxx1xx0111011x
13302 usubw2. */
13303 return 81;
13304 }
13305 }
13306 }
13307 else
13308 {
13309 if (((word >> 30) & 0x1) == 0)
13310 {
13311 /* 33222222222211111111110000000000
13312 10987654321098765432109876543210
13313 xxxxxxxxxx001101xxxxx1xx01110x0x
13314 sqdmlsl. */
13315 return 64;
13316 }
13317 else
13318 {
13319 /* 33222222222211111111110000000000
13320 10987654321098765432109876543210
13321 xxxxxxxxxx001101xxxxx1xx01110x1x
13322 sqdmlsl2. */
13323 return 65;
13324 }
13325 }
13326 }
13327 else
13328 {
13329 if (((word >> 29) & 0x1) == 0)
13330 {
13331 if (((word >> 30) & 0x1) == 0)
13332 {
13333 /* 33222222222211111111110000000000
13334 10987654321098765432109876543210
13335 xxxxxxxxxx00111xxxxxx1xx0111000x
13336 sabdl. */
13337 return 56;
13338 }
13339 else
13340 {
13341 /* 33222222222211111111110000000000
13342 10987654321098765432109876543210
13343 xxxxxxxxxx00111xxxxxx1xx0111001x
13344 sabdl2. */
13345 return 57;
13346 }
13347 }
13348 else
13349 {
13350 if (((word >> 30) & 0x1) == 0)
13351 {
13352 /* 33222222222211111111110000000000
13353 10987654321098765432109876543210
13354 xxxxxxxxxx00111xxxxxx1xx0111010x
13355 uabdl. */
13356 return 88;
13357 }
13358 else
13359 {
13360 /* 33222222222211111111110000000000
13361 10987654321098765432109876543210
13362 xxxxxxxxxx00111xxxxxx1xx0111011x
13363 uabdl2. */
13364 return 89;
13365 }
13366 }
13367 }
13368 }
13369 }
13370 else
13371 {
13372 if (((word >> 30) & 0x1) == 0)
13373 {
13374 /* 33222222222211111111110000000000
13375 10987654321098765432109876543210
13376 xxxxxxxxxx001xxxxxxxx1xx01111x0x
13377 fmov. */
13378 return 832;
13379 }
13380 else
13381 {
13382 if (((word >> 13) & 0x1) == 0)
13383 {
13384 if (((word >> 14) & 0x1) == 0)
13385 {
13386 /* 33222222222211111111110000000000
13387 10987654321098765432109876543210
13388 xxxxxxxxxx00100xxxxxx1xx01111x1x
13389 sqdmlal. */
13390 return 413;
13391 }
13392 else
13393 {
13394 /* 33222222222211111111110000000000
13395 10987654321098765432109876543210
13396 xxxxxxxxxx00101xxxxxx1xx01111x1x
13397 sqdmull. */
13398 return 415;
13399 }
13400 }
13401 else
13402 {
13403 /* 33222222222211111111110000000000
13404 10987654321098765432109876543210
13405 xxxxxxxxxx0011xxxxxxx1xx01111x1x
13406 sqdmlsl. */
13407 return 414;
13408 }
13409 }
13410 }
13411 }
13412 }
13413 else
13414 {
13415 if (((word >> 12) & 0x1) == 0)
13416 {
13417 if (((word >> 13) & 0x1) == 0)
13418 {
13419 if (((word >> 14) & 0x1) == 0)
13420 {
13421 if (((word >> 15) & 0x1) == 0)
13422 {
13423 if (((word >> 28) & 0x1) == 0)
13424 {
13425 if (((word >> 29) & 0x1) == 0)
13426 {
13427 /* 33222222222211111111110000000000
13428 10987654321098765432109876543210
13429 xxxxxxxxxx010000xxxxx1xx011100xx
13430 rev64. */
13431 return 155;
13432 }
13433 else
13434 {
13435 /* 33222222222211111111110000000000
13436 10987654321098765432109876543210
13437 xxxxxxxxxx010000xxxxx1xx011101xx
13438 rev32. */
13439 return 206;
13440 }
13441 }
13442 else
13443 {
13444 if (((word >> 30) & 0x1) == 0)
13445 {
13446 /* 33222222222211111111110000000000
13447 10987654321098765432109876543210
13448 xxxxxxxxxx010000xxxxx1xx01111x0x
13449 fmul. */
13450 return 806;
13451 }
13452 else
13453 {
13454 /* 33222222222211111111110000000000
13455 10987654321098765432109876543210
13456 xxxxxxxxxx010000xxxxx1xx01111x1x
13457 sha1h. */
13458 return 654;
13459 }
13460 }
13461 }
13462 else
13463 {
13464 if (((word >> 28) & 0x1) == 0)
13465 {
13466 if (((word >> 16) & 0x1) == 0)
13467 {
13468 if (((word >> 29) & 0x1) == 0)
13469 {
13470 /* 33222222222211111111110000000000
13471 10987654321098765432109876543210
13472 xxxxxxxxxx0100010xxxx1xx011100xx
13473 cmgt. */
13474 return 163;
13475 }
13476 else
13477 {
13478 /* 33222222222211111111110000000000
13479 10987654321098765432109876543210
13480 xxxxxxxxxx0100010xxxx1xx011101xx
13481 cmge. */
13482 return 212;
13483 }
13484 }
13485 else
13486 {
13487 if (((word >> 19) & 0x1) == 0)
13488 {
13489 if (((word >> 23) & 0x1) == 0)
13490 {
13491 if (((word >> 29) & 0x1) == 0)
13492 {
13493 /* 33222222222211111111110000000000
13494 10987654321098765432109876543210
13495 xxxxxxxxxx0100011xx0x1x0011100xx
13496 frintn. */
13497 return 175;
13498 }
13499 else
13500 {
13501 /* 33222222222211111111110000000000
13502 10987654321098765432109876543210
13503 xxxxxxxxxx0100011xx0x1x0011101xx
13504 frinta. */
13505 return 223;
13506 }
13507 }
13508 else
13509 {
13510 /* 33222222222211111111110000000000
13511 10987654321098765432109876543210
13512 xxxxxxxxxx0100011xx0x1x101110xxx
13513 frintp. */
13514 return 195;
13515 }
13516 }
13517 else
13518 {
13519 if (((word >> 23) & 0x1) == 0)
13520 {
13521 if (((word >> 29) & 0x1) == 0)
13522 {
13523 /* 33222222222211111111110000000000
13524 10987654321098765432109876543210
13525 xxxxxxxxxx0100011xx1x1x0011100xx
13526 frintn. */
13527 return 176;
13528 }
13529 else
13530 {
13531 /* 33222222222211111111110000000000
13532 10987654321098765432109876543210
13533 xxxxxxxxxx0100011xx1x1x0011101xx
13534 frinta. */
13535 return 224;
13536 }
13537 }
13538 else
13539 {
13540 /* 33222222222211111111110000000000
13541 10987654321098765432109876543210
13542 xxxxxxxxxx0100011xx1x1x101110xxx
13543 frintp. */
13544 return 196;
13545 }
13546 }
13547 }
13548 }
13549 else
13550 {
13551 if (((word >> 29) & 0x1) == 0)
13552 {
13553 if (((word >> 30) & 0x1) == 0)
13554 {
13555 /* 33222222222211111111110000000000
13556 10987654321098765432109876543210
13557 xxxxxxxxxx010001xxxxx1xx0111100x
13558 fnmul. */
13559 return 822;
13560 }
13561 else
13562 {
13563 /* 33222222222211111111110000000000
13564 10987654321098765432109876543210
13565 xxxxxxxxxx010001xxxxx1xx0111101x
13566 cmgt. */
13567 return 473;
13568 }
13569 }
13570 else
13571 {
13572 /* 33222222222211111111110000000000
13573 10987654321098765432109876543210
13574 xxxxxxxxxx010001xxxxx1xx011111xx
13575 cmge. */
13576 return 502;
13577 }
13578 }
13579 }
13580 }
13581 else
13582 {
13583 if (((word >> 15) & 0x1) == 0)
13584 {
13585 if (((word >> 28) & 0x1) == 0)
13586 {
13587 if (((word >> 16) & 0x1) == 0)
13588 {
13589 if (((word >> 19) & 0x1) == 0)
13590 {
13591 if (((word >> 29) & 0x1) == 0)
13592 {
13593 /* 33222222222211111111110000000000
13594 10987654321098765432109876543210
13595 xxxxxxxxxx0100100xx0x1xx011100xx
13596 cls. */
13597 return 159;
13598 }
13599 else
13600 {
13601 /* 33222222222211111111110000000000
13602 10987654321098765432109876543210
13603 xxxxxxxxxx0100100xx0x1xx011101xx
13604 clz. */
13605 return 209;
13606 }
13607 }
13608 else
13609 {
13610 /* 33222222222211111111110000000000
13611 10987654321098765432109876543210
13612 xxxxxxxxxx0100100xx1x1xx01110xxx
13613 aese. */
13614 return 650;
13615 }
13616 }
13617 else
13618 {
13619 if (((word >> 29) & 0x1) == 0)
13620 {
13621 if (((word >> 30) & 0x1) == 0)
13622 {
13623 /* 33222222222211111111110000000000
13624 10987654321098765432109876543210
13625 xxxxxxxxxx0100101xxxx1xx0111000x
13626 sqxtn. */
13627 return 169;
13628 }
13629 else
13630 {
13631 /* 33222222222211111111110000000000
13632 10987654321098765432109876543210
13633 xxxxxxxxxx0100101xxxx1xx0111001x
13634 sqxtn2. */
13635 return 170;
13636 }
13637 }
13638 else
13639 {
13640 if (((word >> 30) & 0x1) == 0)
13641 {
13642 /* 33222222222211111111110000000000
13643 10987654321098765432109876543210
13644 xxxxxxxxxx0100101xxxx1xx0111010x
13645 uqxtn. */
13646 return 219;
13647 }
13648 else
13649 {
13650 /* 33222222222211111111110000000000
13651 10987654321098765432109876543210
13652 xxxxxxxxxx0100101xxxx1xx0111011x
13653 uqxtn2. */
13654 return 220;
13655 }
13656 }
13657 }
13658 }
13659 else
13660 {
13661 if (((word >> 29) & 0x1) == 0)
13662 {
13663 if (((word >> 30) & 0x1) == 0)
13664 {
13665 /* 33222222222211111111110000000000
13666 10987654321098765432109876543210
13667 xxxxxxxxxx010010xxxxx1xx0111100x
13668 fmax. */
13669 return 814;
13670 }
13671 else
13672 {
13673 /* 33222222222211111111110000000000
13674 10987654321098765432109876543210
13675 xxxxxxxxxx010010xxxxx1xx0111101x
13676 sqxtn. */
13677 return 477;
13678 }
13679 }
13680 else
13681 {
13682 /* 33222222222211111111110000000000
13683 10987654321098765432109876543210
13684 xxxxxxxxxx010010xxxxx1xx011111xx
13685 uqxtn. */
13686 return 506;
13687 }
13688 }
13689 }
13690 else
13691 {
13692 if (((word >> 16) & 0x1) == 0)
13693 {
13694 if (((word >> 19) & 0x1) == 0)
13695 {
13696 if (((word >> 20) & 0x1) == 0)
13697 {
13698 if (((word >> 28) & 0x1) == 0)
13699 {
13700 if (((word >> 29) & 0x1) == 0)
13701 {
13702 /* 33222222222211111111110000000000
13703 10987654321098765432109876543210
13704 xxxxxxxxxx0100110xx001xx011100xx
13705 fcmgt. */
13706 return 187;
13707 }
13708 else
13709 {
13710 /* 33222222222211111111110000000000
13711 10987654321098765432109876543210
13712 xxxxxxxxxx0100110xx001xx011101xx
13713 fcmge. */
13714 return 238;
13715 }
13716 }
13717 else
13718 {
13719 if (((word >> 29) & 0x1) == 0)
13720 {
13721 /* 33222222222211111111110000000000
13722 10987654321098765432109876543210
13723 xxxxxxxxxx0100110xx001xx011110xx
13724 fcmgt. */
13725 return 486;
13726 }
13727 else
13728 {
13729 /* 33222222222211111111110000000000
13730 10987654321098765432109876543210
13731 xxxxxxxxxx0100110xx001xx011111xx
13732 fcmge. */
13733 return 516;
13734 }
13735 }
13736 }
13737 else
13738 {
13739 if (((word >> 23) & 0x1) == 0)
13740 {
13741 if (((word >> 28) & 0x1) == 0)
13742 {
13743 if (((word >> 29) & 0x1) == 0)
13744 {
13745 /* 33222222222211111111110000000000
13746 10987654321098765432109876543210
13747 xxxxxxxxxx0100110xx011x0011100xx
13748 fmaxnmv. */
13749 return 35;
13750 }
13751 else
13752 {
13753 /* 33222222222211111111110000000000
13754 10987654321098765432109876543210
13755 xxxxxxxxxx0100110xx011x0011101xx
13756 fmaxnmv. */
13757 return 34;
13758 }
13759 }
13760 else
13761 {
13762 if (((word >> 29) & 0x1) == 0)
13763 {
13764 /* 33222222222211111111110000000000
13765 10987654321098765432109876543210
13766 xxxxxxxxxx0100110xx011x0011110xx
13767 fmaxnmp. */
13768 return 530;
13769 }
13770 else
13771 {
13772 /* 33222222222211111111110000000000
13773 10987654321098765432109876543210
13774 xxxxxxxxxx0100110xx011x0011111xx
13775 fmaxnmp. */
13776 return 529;
13777 }
13778 }
13779 }
13780 else
13781 {
13782 if (((word >> 28) & 0x1) == 0)
13783 {
13784 if (((word >> 29) & 0x1) == 0)
13785 {
13786 /* 33222222222211111111110000000000
13787 10987654321098765432109876543210
13788 xxxxxxxxxx0100110xx011x1011100xx
13789 fminnmv. */
13790 return 39;
13791 }
13792 else
13793 {
13794 /* 33222222222211111111110000000000
13795 10987654321098765432109876543210
13796 xxxxxxxxxx0100110xx011x1011101xx
13797 fminnmv. */
13798 return 38;
13799 }
13800 }
13801 else
13802 {
13803 if (((word >> 29) & 0x1) == 0)
13804 {
13805 /* 33222222222211111111110000000000
13806 10987654321098765432109876543210
13807 xxxxxxxxxx0100110xx011x1011110xx
13808 fminnmp. */
13809 return 536;
13810 }
13811 else
13812 {
13813 /* 33222222222211111111110000000000
13814 10987654321098765432109876543210
13815 xxxxxxxxxx0100110xx011x1011111xx
13816 fminnmp. */
13817 return 535;
13818 }
13819 }
13820 }
13821 }
13822 }
13823 else
13824 {
13825 if (((word >> 28) & 0x1) == 0)
13826 {
13827 if (((word >> 29) & 0x1) == 0)
13828 {
13829 /* 33222222222211111111110000000000
13830 10987654321098765432109876543210
13831 xxxxxxxxxx0100110xx1x1xx011100xx
13832 fcmgt. */
13833 return 188;
13834 }
13835 else
13836 {
13837 /* 33222222222211111111110000000000
13838 10987654321098765432109876543210
13839 xxxxxxxxxx0100110xx1x1xx011101xx
13840 fcmge. */
13841 return 239;
13842 }
13843 }
13844 else
13845 {
13846 if (((word >> 29) & 0x1) == 0)
13847 {
13848 /* 33222222222211111111110000000000
13849 10987654321098765432109876543210
13850 xxxxxxxxxx0100110xx1x1xx011110xx
13851 fcmgt. */
13852 return 487;
13853 }
13854 else
13855 {
13856 /* 33222222222211111111110000000000
13857 10987654321098765432109876543210
13858 xxxxxxxxxx0100110xx1x1xx011111xx
13859 fcmge. */
13860 return 517;
13861 }
13862 }
13863 }
13864 }
13865 else
13866 {
13867 if (((word >> 19) & 0x1) == 0)
13868 {
13869 if (((word >> 23) & 0x1) == 0)
13870 {
13871 if (((word >> 28) & 0x1) == 0)
13872 {
13873 if (((word >> 29) & 0x1) == 0)
13874 {
13875 /* 33222222222211111111110000000000
13876 10987654321098765432109876543210
13877 xxxxxxxxxx0100111xx0x1x0011100xx
13878 fcvtas. */
13879 return 183;
13880 }
13881 else
13882 {
13883 /* 33222222222211111111110000000000
13884 10987654321098765432109876543210
13885 xxxxxxxxxx0100111xx0x1x0011101xx
13886 fcvtau. */
13887 return 231;
13888 }
13889 }
13890 else
13891 {
13892 if (((word >> 29) & 0x1) == 0)
13893 {
13894 /* 33222222222211111111110000000000
13895 10987654321098765432109876543210
13896 xxxxxxxxxx0100111xx0x1x0011110xx
13897 fcvtas. */
13898 return 482;
13899 }
13900 else
13901 {
13902 /* 33222222222211111111110000000000
13903 10987654321098765432109876543210
13904 xxxxxxxxxx0100111xx0x1x0011111xx
13905 fcvtau. */
13906 return 512;
13907 }
13908 }
13909 }
13910 else
13911 {
13912 if (((word >> 29) & 0x1) == 0)
13913 {
13914 /* 33222222222211111111110000000000
13915 10987654321098765432109876543210
13916 xxxxxxxxxx0100111xx0x1x10111x0xx
13917 urecpe. */
13918 return 203;
13919 }
13920 else
13921 {
13922 /* 33222222222211111111110000000000
13923 10987654321098765432109876543210
13924 xxxxxxxxxx0100111xx0x1x10111x1xx
13925 ursqrte. */
13926 return 250;
13927 }
13928 }
13929 }
13930 else
13931 {
13932 if (((word >> 28) & 0x1) == 0)
13933 {
13934 if (((word >> 29) & 0x1) == 0)
13935 {
13936 /* 33222222222211111111110000000000
13937 10987654321098765432109876543210
13938 xxxxxxxxxx0100111xx1x1xx011100xx
13939 fcvtas. */
13940 return 184;
13941 }
13942 else
13943 {
13944 /* 33222222222211111111110000000000
13945 10987654321098765432109876543210
13946 xxxxxxxxxx0100111xx1x1xx011101xx
13947 fcvtau. */
13948 return 232;
13949 }
13950 }
13951 else
13952 {
13953 if (((word >> 29) & 0x1) == 0)
13954 {
13955 /* 33222222222211111111110000000000
13956 10987654321098765432109876543210
13957 xxxxxxxxxx0100111xx1x1xx011110xx
13958 fcvtas. */
13959 return 483;
13960 }
13961 else
13962 {
13963 /* 33222222222211111111110000000000
13964 10987654321098765432109876543210
13965 xxxxxxxxxx0100111xx1x1xx011111xx
13966 fcvtau. */
13967 return 513;
13968 }
13969 }
13970 }
13971 }
13972 }
13973 }
13974 }
13975 else
13976 {
13977 if (((word >> 14) & 0x1) == 0)
13978 {
13979 if (((word >> 15) & 0x1) == 0)
13980 {
13981 if (((word >> 28) & 0x1) == 0)
13982 {
13983 if (((word >> 16) & 0x1) == 0)
13984 {
13985 if (((word >> 29) & 0x1) == 0)
13986 {
13987 /* 33222222222211111111110000000000
13988 10987654321098765432109876543210
13989 xxxxxxxxxx0101000xxxx1xx011100xx
13990 saddlp. */
13991 return 157;
13992 }
13993 else
13994 {
13995 /* 33222222222211111111110000000000
13996 10987654321098765432109876543210
13997 xxxxxxxxxx0101000xxxx1xx011101xx
13998 uaddlp. */
13999 return 207;
14000 }
14001 }
14002 else
14003 {
14004 if (((word >> 29) & 0x1) == 0)
14005 {
14006 if (((word >> 30) & 0x1) == 0)
14007 {
14008 /* 33222222222211111111110000000000
14009 10987654321098765432109876543210
14010 xxxxxxxxxx0101001xxxx1xx0111000x
14011 xtn. */
14012 return 167;
14013 }
14014 else
14015 {
14016 /* 33222222222211111111110000000000
14017 10987654321098765432109876543210
14018 xxxxxxxxxx0101001xxxx1xx0111001x
14019 xtn2. */
14020 return 168;
14021 }
14022 }
14023 else
14024 {
14025 if (((word >> 30) & 0x1) == 0)
14026 {
14027 /* 33222222222211111111110000000000
14028 10987654321098765432109876543210
14029 xxxxxxxxxx0101001xxxx1xx0111010x
14030 sqxtun. */
14031 return 215;
14032 }
14033 else
14034 {
14035 /* 33222222222211111111110000000000
14036 10987654321098765432109876543210
14037 xxxxxxxxxx0101001xxxx1xx0111011x
14038 sqxtun2. */
14039 return 216;
14040 }
14041 }
14042 }
14043 }
14044 else
14045 {
14046 if (((word >> 29) & 0x1) == 0)
14047 {
14048 if (((word >> 30) & 0x1) == 0)
14049 {
14050 /* 33222222222211111111110000000000
14051 10987654321098765432109876543210
14052 xxxxxxxxxx010100xxxxx1xx0111100x
14053 fadd. */
14054 return 810;
14055 }
14056 else
14057 {
14058 /* 33222222222211111111110000000000
14059 10987654321098765432109876543210
14060 xxxxxxxxxx010100xxxxx1xx0111101x
14061 sha256su0. */
14062 return 656;
14063 }
14064 }
14065 else
14066 {
14067 /* 33222222222211111111110000000000
14068 10987654321098765432109876543210
14069 xxxxxxxxxx010100xxxxx1xx011111xx
14070 sqxtun. */
14071 return 505;
14072 }
14073 }
14074 }
14075 else
14076 {
14077 if (((word >> 16) & 0x1) == 0)
14078 {
14079 if (((word >> 20) & 0x1) == 0)
14080 {
14081 if (((word >> 28) & 0x1) == 0)
14082 {
14083 /* 33222222222211111111110000000000
14084 10987654321098765432109876543210
14085 xxxxxxxxxx0101010xxx01xx01110xxx
14086 cmlt. */
14087 return 165;
14088 }
14089 else
14090 {
14091 /* 33222222222211111111110000000000
14092 10987654321098765432109876543210
14093 xxxxxxxxxx0101010xxx01xx01111xxx
14094 cmlt. */
14095 return 475;
14096 }
14097 }
14098 else
14099 {
14100 if (((word >> 29) & 0x1) == 0)
14101 {
14102 /* 33222222222211111111110000000000
14103 10987654321098765432109876543210
14104 xxxxxxxxxx0101010xxx11xx0111x0xx
14105 smaxv. */
14106 return 28;
14107 }
14108 else
14109 {
14110 /* 33222222222211111111110000000000
14111 10987654321098765432109876543210
14112 xxxxxxxxxx0101010xxx11xx0111x1xx
14113 umaxv. */
14114 return 32;
14115 }
14116 }
14117 }
14118 else
14119 {
14120 if (((word >> 19) & 0x1) == 0)
14121 {
14122 if (((word >> 20) & 0x1) == 0)
14123 {
14124 if (((word >> 23) & 0x1) == 0)
14125 {
14126 if (((word >> 28) & 0x1) == 0)
14127 {
14128 if (((word >> 29) & 0x1) == 0)
14129 {
14130 /* 33222222222211111111110000000000
14131 10987654321098765432109876543210
14132 xxxxxxxxxx0101011xx001x0011100xx
14133 fcvtns. */
14134 return 179;
14135 }
14136 else
14137 {
14138 /* 33222222222211111111110000000000
14139 10987654321098765432109876543210
14140 xxxxxxxxxx0101011xx001x0011101xx
14141 fcvtnu. */
14142 return 227;
14143 }
14144 }
14145 else
14146 {
14147 if (((word >> 29) & 0x1) == 0)
14148 {
14149 /* 33222222222211111111110000000000
14150 10987654321098765432109876543210
14151 xxxxxxxxxx0101011xx001x0011110xx
14152 fcvtns. */
14153 return 478;
14154 }
14155 else
14156 {
14157 /* 33222222222211111111110000000000
14158 10987654321098765432109876543210
14159 xxxxxxxxxx0101011xx001x0011111xx
14160 fcvtnu. */
14161 return 508;
14162 }
14163 }
14164 }
14165 else
14166 {
14167 if (((word >> 28) & 0x1) == 0)
14168 {
14169 if (((word >> 29) & 0x1) == 0)
14170 {
14171 /* 33222222222211111111110000000000
14172 10987654321098765432109876543210
14173 xxxxxxxxxx0101011xx001x1011100xx
14174 fcvtps. */
14175 return 199;
14176 }
14177 else
14178 {
14179 /* 33222222222211111111110000000000
14180 10987654321098765432109876543210
14181 xxxxxxxxxx0101011xx001x1011101xx
14182 fcvtpu. */
14183 return 246;
14184 }
14185 }
14186 else
14187 {
14188 if (((word >> 29) & 0x1) == 0)
14189 {
14190 /* 33222222222211111111110000000000
14191 10987654321098765432109876543210
14192 xxxxxxxxxx0101011xx001x1011110xx
14193 fcvtps. */
14194 return 492;
14195 }
14196 else
14197 {
14198 /* 33222222222211111111110000000000
14199 10987654321098765432109876543210
14200 xxxxxxxxxx0101011xx001x1011111xx
14201 fcvtpu. */
14202 return 520;
14203 }
14204 }
14205 }
14206 }
14207 else
14208 {
14209 if (((word >> 29) & 0x1) == 0)
14210 {
14211 /* 33222222222211111111110000000000
14212 10987654321098765432109876543210
14213 xxxxxxxxxx0101011xx011xx0111x0xx
14214 sminv. */
14215 return 29;
14216 }
14217 else
14218 {
14219 /* 33222222222211111111110000000000
14220 10987654321098765432109876543210
14221 xxxxxxxxxx0101011xx011xx0111x1xx
14222 uminv. */
14223 return 33;
14224 }
14225 }
14226 }
14227 else
14228 {
14229 if (((word >> 23) & 0x1) == 0)
14230 {
14231 if (((word >> 28) & 0x1) == 0)
14232 {
14233 if (((word >> 29) & 0x1) == 0)
14234 {
14235 /* 33222222222211111111110000000000
14236 10987654321098765432109876543210
14237 xxxxxxxxxx0101011xx1x1x0011100xx
14238 fcvtns. */
14239 return 180;
14240 }
14241 else
14242 {
14243 /* 33222222222211111111110000000000
14244 10987654321098765432109876543210
14245 xxxxxxxxxx0101011xx1x1x0011101xx
14246 fcvtnu. */
14247 return 228;
14248 }
14249 }
14250 else
14251 {
14252 if (((word >> 29) & 0x1) == 0)
14253 {
14254 /* 33222222222211111111110000000000
14255 10987654321098765432109876543210
14256 xxxxxxxxxx0101011xx1x1x0011110xx
14257 fcvtns. */
14258 return 479;
14259 }
14260 else
14261 {
14262 /* 33222222222211111111110000000000
14263 10987654321098765432109876543210
14264 xxxxxxxxxx0101011xx1x1x0011111xx
14265 fcvtnu. */
14266 return 509;
14267 }
14268 }
14269 }
14270 else
14271 {
14272 if (((word >> 28) & 0x1) == 0)
14273 {
14274 if (((word >> 29) & 0x1) == 0)
14275 {
14276 /* 33222222222211111111110000000000
14277 10987654321098765432109876543210
14278 xxxxxxxxxx0101011xx1x1x1011100xx
14279 fcvtps. */
14280 return 200;
14281 }
14282 else
14283 {
14284 /* 33222222222211111111110000000000
14285 10987654321098765432109876543210
14286 xxxxxxxxxx0101011xx1x1x1011101xx
14287 fcvtpu. */
14288 return 247;
14289 }
14290 }
14291 else
14292 {
14293 if (((word >> 29) & 0x1) == 0)
14294 {
14295 /* 33222222222211111111110000000000
14296 10987654321098765432109876543210
14297 xxxxxxxxxx0101011xx1x1x1011110xx
14298 fcvtps. */
14299 return 493;
14300 }
14301 else
14302 {
14303 /* 33222222222211111111110000000000
14304 10987654321098765432109876543210
14305 xxxxxxxxxx0101011xx1x1x1011111xx
14306 fcvtpu. */
14307 return 521;
14308 }
14309 }
14310 }
14311 }
14312 }
14313 }
14314 }
14315 else
14316 {
14317 if (((word >> 15) & 0x1) == 0)
14318 {
14319 if (((word >> 28) & 0x1) == 0)
14320 {
14321 if (((word >> 16) & 0x1) == 0)
14322 {
14323 if (((word >> 19) & 0x1) == 0)
14324 {
14325 if (((word >> 29) & 0x1) == 0)
14326 {
14327 /* 33222222222211111111110000000000
14328 10987654321098765432109876543210
14329 xxxxxxxxxx0101100xx0x1xx011100xx
14330 sadalp. */
14331 return 161;
14332 }
14333 else
14334 {
14335 /* 33222222222211111111110000000000
14336 10987654321098765432109876543210
14337 xxxxxxxxxx0101100xx0x1xx011101xx
14338 uadalp. */
14339 return 210;
14340 }
14341 }
14342 else
14343 {
14344 /* 33222222222211111111110000000000
14345 10987654321098765432109876543210
14346 xxxxxxxxxx0101100xx1x1xx01110xxx
14347 aesmc. */
14348 return 652;
14349 }
14350 }
14351 else
14352 {
14353 if (((word >> 29) & 0x1) == 0)
14354 {
14355 if (((word >> 30) & 0x1) == 0)
14356 {
14357 /* 33222222222211111111110000000000
14358 10987654321098765432109876543210
14359 xxxxxxxxxx0101101xxxx1xx0111000x
14360 fcvtn. */
14361 return 171;
14362 }
14363 else
14364 {
14365 /* 33222222222211111111110000000000
14366 10987654321098765432109876543210
14367 xxxxxxxxxx0101101xxxx1xx0111001x
14368 fcvtn2. */
14369 return 172;
14370 }
14371 }
14372 else
14373 {
14374 if (((word >> 30) & 0x1) == 0)
14375 {
14376 /* 33222222222211111111110000000000
14377 10987654321098765432109876543210
14378 xxxxxxxxxx0101101xxxx1xx0111010x
14379 fcvtxn. */
14380 return 221;
14381 }
14382 else
14383 {
14384 /* 33222222222211111111110000000000
14385 10987654321098765432109876543210
14386 xxxxxxxxxx0101101xxxx1xx0111011x
14387 fcvtxn2. */
14388 return 222;
14389 }
14390 }
14391 }
14392 }
14393 else
14394 {
14395 if (((word >> 29) & 0x1) == 0)
14396 {
14397 /* 33222222222211111111110000000000
14398 10987654321098765432109876543210
14399 xxxxxxxxxx010110xxxxx1xx011110xx
14400 fmaxnm. */
14401 return 818;
14402 }
14403 else
14404 {
14405 /* 33222222222211111111110000000000
14406 10987654321098765432109876543210
14407 xxxxxxxxxx010110xxxxx1xx011111xx
14408 fcvtxn. */
14409 return 507;
14410 }
14411 }
14412 }
14413 else
14414 {
14415 if (((word >> 19) & 0x1) == 0)
14416 {
14417 if (((word >> 28) & 0x1) == 0)
14418 {
14419 /* 33222222222211111111110000000000
14420 10987654321098765432109876543210
14421 xxxxxxxxxx010111xxx0x1xx01110xxx
14422 fcmlt. */
14423 return 191;
14424 }
14425 else
14426 {
14427 /* 33222222222211111111110000000000
14428 10987654321098765432109876543210
14429 xxxxxxxxxx010111xxx0x1xx01111xxx
14430 fcmlt. */
14431 return 490;
14432 }
14433 }
14434 else
14435 {
14436 if (((word >> 28) & 0x1) == 0)
14437 {
14438 /* 33222222222211111111110000000000
14439 10987654321098765432109876543210
14440 xxxxxxxxxx010111xxx1x1xx01110xxx
14441 fcmlt. */
14442 return 192;
14443 }
14444 else
14445 {
14446 /* 33222222222211111111110000000000
14447 10987654321098765432109876543210
14448 xxxxxxxxxx010111xxx1x1xx01111xxx
14449 fcmlt. */
14450 return 491;
14451 }
14452 }
14453 }
14454 }
14455 }
14456 }
14457 else
14458 {
14459 if (((word >> 13) & 0x1) == 0)
14460 {
14461 if (((word >> 14) & 0x1) == 0)
14462 {
14463 if (((word >> 15) & 0x1) == 0)
14464 {
14465 if (((word >> 28) & 0x1) == 0)
14466 {
14467 /* 33222222222211111111110000000000
14468 10987654321098765432109876543210
14469 xxxxxxxxxx011000xxxxx1xx01110xxx
14470 rev16. */
14471 return 156;
14472 }
14473 else
14474 {
14475 if (((word >> 30) & 0x1) == 0)
14476 {
14477 /* 33222222222211111111110000000000
14478 10987654321098765432109876543210
14479 xxxxxxxxxx011000xxxxx1xx01111x0x
14480 fdiv. */
14481 return 808;
14482 }
14483 else
14484 {
14485 /* 33222222222211111111110000000000
14486 10987654321098765432109876543210
14487 xxxxxxxxxx011000xxxxx1xx01111x1x
14488 sha1su1. */
14489 return 655;
14490 }
14491 }
14492 }
14493 else
14494 {
14495 if (((word >> 16) & 0x1) == 0)
14496 {
14497 if (((word >> 28) & 0x1) == 0)
14498 {
14499 if (((word >> 29) & 0x1) == 0)
14500 {
14501 /* 33222222222211111111110000000000
14502 10987654321098765432109876543210
14503 xxxxxxxxxx0110010xxxx1xx011100xx
14504 cmeq. */
14505 return 164;
14506 }
14507 else
14508 {
14509 /* 33222222222211111111110000000000
14510 10987654321098765432109876543210
14511 xxxxxxxxxx0110010xxxx1xx011101xx
14512 cmle. */
14513 return 213;
14514 }
14515 }
14516 else
14517 {
14518 if (((word >> 29) & 0x1) == 0)
14519 {
14520 /* 33222222222211111111110000000000
14521 10987654321098765432109876543210
14522 xxxxxxxxxx0110010xxxx1xx011110xx
14523 cmeq. */
14524 return 474;
14525 }
14526 else
14527 {
14528 /* 33222222222211111111110000000000
14529 10987654321098765432109876543210
14530 xxxxxxxxxx0110010xxxx1xx011111xx
14531 cmle. */
14532 return 503;
14533 }
14534 }
14535 }
14536 else
14537 {
14538 if (((word >> 19) & 0x1) == 0)
14539 {
14540 if (((word >> 23) & 0x1) == 0)
14541 {
14542 if (((word >> 29) & 0x1) == 0)
14543 {
14544 /* 33222222222211111111110000000000
14545 10987654321098765432109876543210
14546 xxxxxxxxxx0110011xx0x1x00111x0xx
14547 frintm. */
14548 return 177;
14549 }
14550 else
14551 {
14552 /* 33222222222211111111110000000000
14553 10987654321098765432109876543210
14554 xxxxxxxxxx0110011xx0x1x00111x1xx
14555 frintx. */
14556 return 225;
14557 }
14558 }
14559 else
14560 {
14561 if (((word >> 29) & 0x1) == 0)
14562 {
14563 /* 33222222222211111111110000000000
14564 10987654321098765432109876543210
14565 xxxxxxxxxx0110011xx0x1x10111x0xx
14566 frintz. */
14567 return 197;
14568 }
14569 else
14570 {
14571 /* 33222222222211111111110000000000
14572 10987654321098765432109876543210
14573 xxxxxxxxxx0110011xx0x1x10111x1xx
14574 frinti. */
14575 return 244;
14576 }
14577 }
14578 }
14579 else
14580 {
14581 if (((word >> 23) & 0x1) == 0)
14582 {
14583 if (((word >> 29) & 0x1) == 0)
14584 {
14585 /* 33222222222211111111110000000000
14586 10987654321098765432109876543210
14587 xxxxxxxxxx0110011xx1x1x00111x0xx
14588 frintm. */
14589 return 178;
14590 }
14591 else
14592 {
14593 /* 33222222222211111111110000000000
14594 10987654321098765432109876543210
14595 xxxxxxxxxx0110011xx1x1x00111x1xx
14596 frintx. */
14597 return 226;
14598 }
14599 }
14600 else
14601 {
14602 if (((word >> 29) & 0x1) == 0)
14603 {
14604 /* 33222222222211111111110000000000
14605 10987654321098765432109876543210
14606 xxxxxxxxxx0110011xx1x1x10111x0xx
14607 frintz. */
14608 return 198;
14609 }
14610 else
14611 {
14612 /* 33222222222211111111110000000000
14613 10987654321098765432109876543210
14614 xxxxxxxxxx0110011xx1x1x10111x1xx
14615 frinti. */
14616 return 245;
14617 }
14618 }
14619 }
14620 }
14621 }
14622 }
14623 else
14624 {
14625 if (((word >> 15) & 0x1) == 0)
14626 {
14627 if (((word >> 28) & 0x1) == 0)
14628 {
14629 if (((word >> 19) & 0x1) == 0)
14630 {
14631 if (((word >> 29) & 0x1) == 0)
14632 {
14633 /* 33222222222211111111110000000000
14634 10987654321098765432109876543210
14635 xxxxxxxxxx011010xxx0x1xx011100xx
14636 cnt. */
14637 return 160;
14638 }
14639 else
14640 {
14641 if (((word >> 22) & 0x1) == 0)
14642 {
14643 /* 33222222222211111111110000000000
14644 10987654321098765432109876543210
14645 xxxxxxxxxx011010xxx0x10x011101xx
14646 not. */
14647 return 235;
14648 }
14649 else
14650 {
14651 /* 33222222222211111111110000000000
14652 10987654321098765432109876543210
14653 xxxxxxxxxx011010xxx0x11x011101xx
14654 rbit. */
14655 return 237;
14656 }
14657 }
14658 }
14659 else
14660 {
14661 /* 33222222222211111111110000000000
14662 10987654321098765432109876543210
14663 xxxxxxxxxx011010xxx1x1xx01110xxx
14664 aesd. */
14665 return 651;
14666 }
14667 }
14668 else
14669 {
14670 /* 33222222222211111111110000000000
14671 10987654321098765432109876543210
14672 xxxxxxxxxx011010xxxxx1xx01111xxx
14673 fmin. */
14674 return 816;
14675 }
14676 }
14677 else
14678 {
14679 if (((word >> 16) & 0x1) == 0)
14680 {
14681 if (((word >> 19) & 0x1) == 0)
14682 {
14683 if (((word >> 20) & 0x1) == 0)
14684 {
14685 if (((word >> 28) & 0x1) == 0)
14686 {
14687 if (((word >> 29) & 0x1) == 0)
14688 {
14689 /* 33222222222211111111110000000000
14690 10987654321098765432109876543210
14691 xxxxxxxxxx0110110xx001xx011100xx
14692 fcmeq. */
14693 return 189;
14694 }
14695 else
14696 {
14697 /* 33222222222211111111110000000000
14698 10987654321098765432109876543210
14699 xxxxxxxxxx0110110xx001xx011101xx
14700 fcmle. */
14701 return 240;
14702 }
14703 }
14704 else
14705 {
14706 if (((word >> 29) & 0x1) == 0)
14707 {
14708 /* 33222222222211111111110000000000
14709 10987654321098765432109876543210
14710 xxxxxxxxxx0110110xx001xx011110xx
14711 fcmeq. */
14712 return 488;
14713 }
14714 else
14715 {
14716 /* 33222222222211111111110000000000
14717 10987654321098765432109876543210
14718 xxxxxxxxxx0110110xx001xx011111xx
14719 fcmle. */
14720 return 518;
14721 }
14722 }
14723 }
14724 else
14725 {
14726 if (((word >> 29) & 0x1) == 0)
14727 {
14728 /* 33222222222211111111110000000000
14729 10987654321098765432109876543210
14730 xxxxxxxxxx0110110xx011xx0111x0xx
14731 faddp. */
14732 return 532;
14733 }
14734 else
14735 {
14736 /* 33222222222211111111110000000000
14737 10987654321098765432109876543210
14738 xxxxxxxxxx0110110xx011xx0111x1xx
14739 faddp. */
14740 return 531;
14741 }
14742 }
14743 }
14744 else
14745 {
14746 if (((word >> 28) & 0x1) == 0)
14747 {
14748 if (((word >> 29) & 0x1) == 0)
14749 {
14750 /* 33222222222211111111110000000000
14751 10987654321098765432109876543210
14752 xxxxxxxxxx0110110xx1x1xx011100xx
14753 fcmeq. */
14754 return 190;
14755 }
14756 else
14757 {
14758 /* 33222222222211111111110000000000
14759 10987654321098765432109876543210
14760 xxxxxxxxxx0110110xx1x1xx011101xx
14761 fcmle. */
14762 return 241;
14763 }
14764 }
14765 else
14766 {
14767 if (((word >> 29) & 0x1) == 0)
14768 {
14769 /* 33222222222211111111110000000000
14770 10987654321098765432109876543210
14771 xxxxxxxxxx0110110xx1x1xx011110xx
14772 fcmeq. */
14773 return 489;
14774 }
14775 else
14776 {
14777 /* 33222222222211111111110000000000
14778 10987654321098765432109876543210
14779 xxxxxxxxxx0110110xx1x1xx011111xx
14780 fcmle. */
14781 return 519;
14782 }
14783 }
14784 }
14785 }
14786 else
14787 {
14788 if (((word >> 19) & 0x1) == 0)
14789 {
14790 if (((word >> 23) & 0x1) == 0)
14791 {
14792 if (((word >> 28) & 0x1) == 0)
14793 {
14794 if (((word >> 29) & 0x1) == 0)
14795 {
14796 /* 33222222222211111111110000000000
14797 10987654321098765432109876543210
14798 xxxxxxxxxx0110111xx0x1x0011100xx
14799 scvtf. */
14800 return 185;
14801 }
14802 else
14803 {
14804 /* 33222222222211111111110000000000
14805 10987654321098765432109876543210
14806 xxxxxxxxxx0110111xx0x1x0011101xx
14807 ucvtf. */
14808 return 233;
14809 }
14810 }
14811 else
14812 {
14813 if (((word >> 29) & 0x1) == 0)
14814 {
14815 /* 33222222222211111111110000000000
14816 10987654321098765432109876543210
14817 xxxxxxxxxx0110111xx0x1x0011110xx
14818 scvtf. */
14819 return 484;
14820 }
14821 else
14822 {
14823 /* 33222222222211111111110000000000
14824 10987654321098765432109876543210
14825 xxxxxxxxxx0110111xx0x1x0011111xx
14826 ucvtf. */
14827 return 514;
14828 }
14829 }
14830 }
14831 else
14832 {
14833 if (((word >> 28) & 0x1) == 0)
14834 {
14835 if (((word >> 29) & 0x1) == 0)
14836 {
14837 /* 33222222222211111111110000000000
14838 10987654321098765432109876543210
14839 xxxxxxxxxx0110111xx0x1x1011100xx
14840 frecpe. */
14841 return 204;
14842 }
14843 else
14844 {
14845 /* 33222222222211111111110000000000
14846 10987654321098765432109876543210
14847 xxxxxxxxxx0110111xx0x1x1011101xx
14848 frsqrte. */
14849 return 251;
14850 }
14851 }
14852 else
14853 {
14854 if (((word >> 29) & 0x1) == 0)
14855 {
14856 /* 33222222222211111111110000000000
14857 10987654321098765432109876543210
14858 xxxxxxxxxx0110111xx0x1x1011110xx
14859 frecpe. */
14860 return 496;
14861 }
14862 else
14863 {
14864 /* 33222222222211111111110000000000
14865 10987654321098765432109876543210
14866 xxxxxxxxxx0110111xx0x1x1011111xx
14867 frsqrte. */
14868 return 524;
14869 }
14870 }
14871 }
14872 }
14873 else
14874 {
14875 if (((word >> 23) & 0x1) == 0)
14876 {
14877 if (((word >> 28) & 0x1) == 0)
14878 {
14879 if (((word >> 29) & 0x1) == 0)
14880 {
14881 /* 33222222222211111111110000000000
14882 10987654321098765432109876543210
14883 xxxxxxxxxx0110111xx1x1x0011100xx
14884 scvtf. */
14885 return 186;
14886 }
14887 else
14888 {
14889 /* 33222222222211111111110000000000
14890 10987654321098765432109876543210
14891 xxxxxxxxxx0110111xx1x1x0011101xx
14892 ucvtf. */
14893 return 234;
14894 }
14895 }
14896 else
14897 {
14898 if (((word >> 29) & 0x1) == 0)
14899 {
14900 /* 33222222222211111111110000000000
14901 10987654321098765432109876543210
14902 xxxxxxxxxx0110111xx1x1x0011110xx
14903 scvtf. */
14904 return 485;
14905 }
14906 else
14907 {
14908 /* 33222222222211111111110000000000
14909 10987654321098765432109876543210
14910 xxxxxxxxxx0110111xx1x1x0011111xx
14911 ucvtf. */
14912 return 515;
14913 }
14914 }
14915 }
14916 else
14917 {
14918 if (((word >> 28) & 0x1) == 0)
14919 {
14920 if (((word >> 29) & 0x1) == 0)
14921 {
14922 /* 33222222222211111111110000000000
14923 10987654321098765432109876543210
14924 xxxxxxxxxx0110111xx1x1x1011100xx
14925 frecpe. */
14926 return 205;
14927 }
14928 else
14929 {
14930 /* 33222222222211111111110000000000
14931 10987654321098765432109876543210
14932 xxxxxxxxxx0110111xx1x1x1011101xx
14933 frsqrte. */
14934 return 252;
14935 }
14936 }
14937 else
14938 {
14939 if (((word >> 29) & 0x1) == 0)
14940 {
14941 /* 33222222222211111111110000000000
14942 10987654321098765432109876543210
14943 xxxxxxxxxx0110111xx1x1x1011110xx
14944 frecpe. */
14945 return 497;
14946 }
14947 else
14948 {
14949 /* 33222222222211111111110000000000
14950 10987654321098765432109876543210
14951 xxxxxxxxxx0110111xx1x1x1011111xx
14952 frsqrte. */
14953 return 525;
14954 }
14955 }
14956 }
14957 }
14958 }
14959 }
14960 }
14961 }
14962 else
14963 {
14964 if (((word >> 14) & 0x1) == 0)
14965 {
14966 if (((word >> 15) & 0x1) == 0)
14967 {
14968 if (((word >> 28) & 0x1) == 0)
14969 {
14970 if (((word >> 16) & 0x1) == 0)
14971 {
14972 if (((word >> 20) & 0x1) == 0)
14973 {
14974 if (((word >> 29) & 0x1) == 0)
14975 {
14976 /* 33222222222211111111110000000000
14977 10987654321098765432109876543210
14978 xxxxxxxxxx0111000xxx01xx011100xx
14979 suqadd. */
14980 return 158;
14981 }
14982 else
14983 {
14984 /* 33222222222211111111110000000000
14985 10987654321098765432109876543210
14986 xxxxxxxxxx0111000xxx01xx011101xx
14987 usqadd. */
14988 return 208;
14989 }
14990 }
14991 else
14992 {
14993 if (((word >> 29) & 0x1) == 0)
14994 {
14995 /* 33222222222211111111110000000000
14996 10987654321098765432109876543210
14997 xxxxxxxxxx0111000xxx11xx011100xx
14998 saddlv. */
14999 return 27;
15000 }
15001 else
15002 {
15003 /* 33222222222211111111110000000000
15004 10987654321098765432109876543210
15005 xxxxxxxxxx0111000xxx11xx011101xx
15006 uaddlv. */
15007 return 31;
15008 }
15009 }
15010 }
15011 else
15012 {
15013 if (((word >> 30) & 0x1) == 0)
15014 {
15015 /* 33222222222211111111110000000000
15016 10987654321098765432109876543210
15017 xxxxxxxxxx0111001xxxx1xx01110x0x
15018 shll. */
15019 return 217;
15020 }
15021 else
15022 {
15023 /* 33222222222211111111110000000000
15024 10987654321098765432109876543210
15025 xxxxxxxxxx0111001xxxx1xx01110x1x
15026 shll2. */
15027 return 218;
15028 }
15029 }
15030 }
15031 else
15032 {
15033 if (((word >> 29) & 0x1) == 0)
15034 {
15035 if (((word >> 30) & 0x1) == 0)
15036 {
15037 /* 33222222222211111111110000000000
15038 10987654321098765432109876543210
15039 xxxxxxxxxx011100xxxxx1xx0111100x
15040 fsub. */
15041 return 812;
15042 }
15043 else
15044 {
15045 /* 33222222222211111111110000000000
15046 10987654321098765432109876543210
15047 xxxxxxxxxx011100xxxxx1xx0111101x
15048 suqadd. */
15049 return 471;
15050 }
15051 }
15052 else
15053 {
15054 /* 33222222222211111111110000000000
15055 10987654321098765432109876543210
15056 xxxxxxxxxx011100xxxxx1xx011111xx
15057 usqadd. */
15058 return 500;
15059 }
15060 }
15061 }
15062 else
15063 {
15064 if (((word >> 16) & 0x1) == 0)
15065 {
15066 if (((word >> 28) & 0x1) == 0)
15067 {
15068 if (((word >> 29) & 0x1) == 0)
15069 {
15070 /* 33222222222211111111110000000000
15071 10987654321098765432109876543210
15072 xxxxxxxxxx0111010xxxx1xx011100xx
15073 abs. */
15074 return 166;
15075 }
15076 else
15077 {
15078 /* 33222222222211111111110000000000
15079 10987654321098765432109876543210
15080 xxxxxxxxxx0111010xxxx1xx011101xx
15081 neg. */
15082 return 214;
15083 }
15084 }
15085 else
15086 {
15087 if (((word >> 29) & 0x1) == 0)
15088 {
15089 /* 33222222222211111111110000000000
15090 10987654321098765432109876543210
15091 xxxxxxxxxx0111010xxxx1xx011110xx
15092 abs. */
15093 return 476;
15094 }
15095 else
15096 {
15097 /* 33222222222211111111110000000000
15098 10987654321098765432109876543210
15099 xxxxxxxxxx0111010xxxx1xx011111xx
15100 neg. */
15101 return 504;
15102 }
15103 }
15104 }
15105 else
15106 {
15107 if (((word >> 19) & 0x1) == 0)
15108 {
15109 if (((word >> 20) & 0x1) == 0)
15110 {
15111 if (((word >> 23) & 0x1) == 0)
15112 {
15113 if (((word >> 28) & 0x1) == 0)
15114 {
15115 if (((word >> 29) & 0x1) == 0)
15116 {
15117 /* 33222222222211111111110000000000
15118 10987654321098765432109876543210
15119 xxxxxxxxxx0111011xx001x0011100xx
15120 fcvtms. */
15121 return 181;
15122 }
15123 else
15124 {
15125 /* 33222222222211111111110000000000
15126 10987654321098765432109876543210
15127 xxxxxxxxxx0111011xx001x0011101xx
15128 fcvtmu. */
15129 return 229;
15130 }
15131 }
15132 else
15133 {
15134 if (((word >> 29) & 0x1) == 0)
15135 {
15136 /* 33222222222211111111110000000000
15137 10987654321098765432109876543210
15138 xxxxxxxxxx0111011xx001x0011110xx
15139 fcvtms. */
15140 return 480;
15141 }
15142 else
15143 {
15144 /* 33222222222211111111110000000000
15145 10987654321098765432109876543210
15146 xxxxxxxxxx0111011xx001x0011111xx
15147 fcvtmu. */
15148 return 510;
15149 }
15150 }
15151 }
15152 else
15153 {
15154 if (((word >> 28) & 0x1) == 0)
15155 {
15156 if (((word >> 29) & 0x1) == 0)
15157 {
15158 /* 33222222222211111111110000000000
15159 10987654321098765432109876543210
15160 xxxxxxxxxx0111011xx001x1011100xx
15161 fcvtzs. */
15162 return 201;
15163 }
15164 else
15165 {
15166 /* 33222222222211111111110000000000
15167 10987654321098765432109876543210
15168 xxxxxxxxxx0111011xx001x1011101xx
15169 fcvtzu. */
15170 return 248;
15171 }
15172 }
15173 else
15174 {
15175 if (((word >> 29) & 0x1) == 0)
15176 {
15177 /* 33222222222211111111110000000000
15178 10987654321098765432109876543210
15179 xxxxxxxxxx0111011xx001x1011110xx
15180 fcvtzs. */
15181 return 494;
15182 }
15183 else
15184 {
15185 /* 33222222222211111111110000000000
15186 10987654321098765432109876543210
15187 xxxxxxxxxx0111011xx001x1011111xx
15188 fcvtzu. */
15189 return 522;
15190 }
15191 }
15192 }
15193 }
15194 else
15195 {
15196 if (((word >> 28) & 0x1) == 0)
15197 {
15198 /* 33222222222211111111110000000000
15199 10987654321098765432109876543210
15200 xxxxxxxxxx0111011xx011xx01110xxx
15201 addv. */
15202 return 30;
15203 }
15204 else
15205 {
15206 /* 33222222222211111111110000000000
15207 10987654321098765432109876543210
15208 xxxxxxxxxx0111011xx011xx01111xxx
15209 addp. */
15210 return 528;
15211 }
15212 }
15213 }
15214 else
15215 {
15216 if (((word >> 23) & 0x1) == 0)
15217 {
15218 if (((word >> 28) & 0x1) == 0)
15219 {
15220 if (((word >> 29) & 0x1) == 0)
15221 {
15222 /* 33222222222211111111110000000000
15223 10987654321098765432109876543210
15224 xxxxxxxxxx0111011xx1x1x0011100xx
15225 fcvtms. */
15226 return 182;
15227 }
15228 else
15229 {
15230 /* 33222222222211111111110000000000
15231 10987654321098765432109876543210
15232 xxxxxxxxxx0111011xx1x1x0011101xx
15233 fcvtmu. */
15234 return 230;
15235 }
15236 }
15237 else
15238 {
15239 if (((word >> 29) & 0x1) == 0)
15240 {
15241 /* 33222222222211111111110000000000
15242 10987654321098765432109876543210
15243 xxxxxxxxxx0111011xx1x1x0011110xx
15244 fcvtms. */
15245 return 481;
15246 }
15247 else
15248 {
15249 /* 33222222222211111111110000000000
15250 10987654321098765432109876543210
15251 xxxxxxxxxx0111011xx1x1x0011111xx
15252 fcvtmu. */
15253 return 511;
15254 }
15255 }
15256 }
15257 else
15258 {
15259 if (((word >> 28) & 0x1) == 0)
15260 {
15261 if (((word >> 29) & 0x1) == 0)
15262 {
15263 /* 33222222222211111111110000000000
15264 10987654321098765432109876543210
15265 xxxxxxxxxx0111011xx1x1x1011100xx
15266 fcvtzs. */
15267 return 202;
15268 }
15269 else
15270 {
15271 /* 33222222222211111111110000000000
15272 10987654321098765432109876543210
15273 xxxxxxxxxx0111011xx1x1x1011101xx
15274 fcvtzu. */
15275 return 249;
15276 }
15277 }
15278 else
15279 {
15280 if (((word >> 29) & 0x1) == 0)
15281 {
15282 /* 33222222222211111111110000000000
15283 10987654321098765432109876543210
15284 xxxxxxxxxx0111011xx1x1x1011110xx
15285 fcvtzs. */
15286 return 495;
15287 }
15288 else
15289 {
15290 /* 33222222222211111111110000000000
15291 10987654321098765432109876543210
15292 xxxxxxxxxx0111011xx1x1x1011111xx
15293 fcvtzu. */
15294 return 523;
15295 }
15296 }
15297 }
15298 }
15299 }
15300 }
15301 }
15302 else
15303 {
15304 if (((word >> 15) & 0x1) == 0)
15305 {
15306 if (((word >> 28) & 0x1) == 0)
15307 {
15308 if (((word >> 16) & 0x1) == 0)
15309 {
15310 if (((word >> 19) & 0x1) == 0)
15311 {
15312 if (((word >> 29) & 0x1) == 0)
15313 {
15314 /* 33222222222211111111110000000000
15315 10987654321098765432109876543210
15316 xxxxxxxxxx0111100xx0x1xx011100xx
15317 sqabs. */
15318 return 162;
15319 }
15320 else
15321 {
15322 /* 33222222222211111111110000000000
15323 10987654321098765432109876543210
15324 xxxxxxxxxx0111100xx0x1xx011101xx
15325 sqneg. */
15326 return 211;
15327 }
15328 }
15329 else
15330 {
15331 /* 33222222222211111111110000000000
15332 10987654321098765432109876543210
15333 xxxxxxxxxx0111100xx1x1xx01110xxx
15334 aesimc. */
15335 return 653;
15336 }
15337 }
15338 else
15339 {
15340 if (((word >> 30) & 0x1) == 0)
15341 {
15342 /* 33222222222211111111110000000000
15343 10987654321098765432109876543210
15344 xxxxxxxxxx0111101xxxx1xx01110x0x
15345 fcvtl. */
15346 return 173;
15347 }
15348 else
15349 {
15350 /* 33222222222211111111110000000000
15351 10987654321098765432109876543210
15352 xxxxxxxxxx0111101xxxx1xx01110x1x
15353 fcvtl2. */
15354 return 174;
15355 }
15356 }
15357 }
15358 else
15359 {
15360 if (((word >> 29) & 0x1) == 0)
15361 {
15362 if (((word >> 30) & 0x1) == 0)
15363 {
15364 /* 33222222222211111111110000000000
15365 10987654321098765432109876543210
15366 xxxxxxxxxx011110xxxxx1xx0111100x
15367 fminnm. */
15368 return 820;
15369 }
15370 else
15371 {
15372 /* 33222222222211111111110000000000
15373 10987654321098765432109876543210
15374 xxxxxxxxxx011110xxxxx1xx0111101x
15375 sqabs. */
15376 return 472;
15377 }
15378 }
15379 else
15380 {
15381 /* 33222222222211111111110000000000
15382 10987654321098765432109876543210
15383 xxxxxxxxxx011110xxxxx1xx011111xx
15384 sqneg. */
15385 return 501;
15386 }
15387 }
15388 }
15389 else
15390 {
15391 if (((word >> 16) & 0x1) == 0)
15392 {
15393 if (((word >> 19) & 0x1) == 0)
15394 {
15395 if (((word >> 20) & 0x1) == 0)
15396 {
15397 if (((word >> 29) & 0x1) == 0)
15398 {
15399 /* 33222222222211111111110000000000
15400 10987654321098765432109876543210
15401 xxxxxxxxxx0111110xx001xx0111x0xx
15402 fabs. */
15403 return 193;
15404 }
15405 else
15406 {
15407 /* 33222222222211111111110000000000
15408 10987654321098765432109876543210
15409 xxxxxxxxxx0111110xx001xx0111x1xx
15410 fneg. */
15411 return 242;
15412 }
15413 }
15414 else
15415 {
15416 if (((word >> 23) & 0x1) == 0)
15417 {
15418 if (((word >> 28) & 0x1) == 0)
15419 {
15420 if (((word >> 29) & 0x1) == 0)
15421 {
15422 /* 33222222222211111111110000000000
15423 10987654321098765432109876543210
15424 xxxxxxxxxx0111110xx011x0011100xx
15425 fmaxv. */
15426 return 37;
15427 }
15428 else
15429 {
15430 /* 33222222222211111111110000000000
15431 10987654321098765432109876543210
15432 xxxxxxxxxx0111110xx011x0011101xx
15433 fmaxv. */
15434 return 36;
15435 }
15436 }
15437 else
15438 {
15439 if (((word >> 29) & 0x1) == 0)
15440 {
15441 /* 33222222222211111111110000000000
15442 10987654321098765432109876543210
15443 xxxxxxxxxx0111110xx011x0011110xx
15444 fmaxp. */
15445 return 534;
15446 }
15447 else
15448 {
15449 /* 33222222222211111111110000000000
15450 10987654321098765432109876543210
15451 xxxxxxxxxx0111110xx011x0011111xx
15452 fmaxp. */
15453 return 533;
15454 }
15455 }
15456 }
15457 else
15458 {
15459 if (((word >> 28) & 0x1) == 0)
15460 {
15461 if (((word >> 29) & 0x1) == 0)
15462 {
15463 /* 33222222222211111111110000000000
15464 10987654321098765432109876543210
15465 xxxxxxxxxx0111110xx011x1011100xx
15466 fminv. */
15467 return 41;
15468 }
15469 else
15470 {
15471 /* 33222222222211111111110000000000
15472 10987654321098765432109876543210
15473 xxxxxxxxxx0111110xx011x1011101xx
15474 fminv. */
15475 return 40;
15476 }
15477 }
15478 else
15479 {
15480 if (((word >> 29) & 0x1) == 0)
15481 {
15482 /* 33222222222211111111110000000000
15483 10987654321098765432109876543210
15484 xxxxxxxxxx0111110xx011x1011110xx
15485 fminp. */
15486 return 538;
15487 }
15488 else
15489 {
15490 /* 33222222222211111111110000000000
15491 10987654321098765432109876543210
15492 xxxxxxxxxx0111110xx011x1011111xx
15493 fminp. */
15494 return 537;
15495 }
15496 }
15497 }
15498 }
15499 }
15500 else
15501 {
15502 if (((word >> 29) & 0x1) == 0)
15503 {
15504 /* 33222222222211111111110000000000
15505 10987654321098765432109876543210
15506 xxxxxxxxxx0111110xx1x1xx0111x0xx
15507 fabs. */
15508 return 194;
15509 }
15510 else
15511 {
15512 /* 33222222222211111111110000000000
15513 10987654321098765432109876543210
15514 xxxxxxxxxx0111110xx1x1xx0111x1xx
15515 fneg. */
15516 return 243;
15517 }
15518 }
15519 }
15520 else
15521 {
15522 if (((word >> 19) & 0x1) == 0)
15523 {
15524 if (((word >> 28) & 0x1) == 0)
15525 {
15526 /* 33222222222211111111110000000000
15527 10987654321098765432109876543210
15528 xxxxxxxxxx0111111xx0x1xx01110xxx
15529 fsqrt. */
15530 return 253;
15531 }
15532 else
15533 {
15534 /* 33222222222211111111110000000000
15535 10987654321098765432109876543210
15536 xxxxxxxxxx0111111xx0x1xx01111xxx
15537 frecpx. */
15538 return 498;
15539 }
15540 }
15541 else
15542 {
15543 if (((word >> 28) & 0x1) == 0)
15544 {
15545 /* 33222222222211111111110000000000
15546 10987654321098765432109876543210
15547 xxxxxxxxxx0111111xx1x1xx01110xxx
15548 fsqrt. */
15549 return 254;
15550 }
15551 else
15552 {
15553 /* 33222222222211111111110000000000
15554 10987654321098765432109876543210
15555 xxxxxxxxxx0111111xx1x1xx01111xxx
15556 frecpx. */
15557 return 499;
15558 }
15559 }
15560 }
15561 }
15562 }
15563 }
15564 }
15565 }
15566 }
15567 else
15568 {
15569 if (((word >> 11) & 0x1) == 0)
15570 {
15571 if (((word >> 28) & 0x1) == 0)
15572 {
15573 if (((word >> 12) & 0x1) == 0)
15574 {
15575 if (((word >> 13) & 0x1) == 0)
15576 {
15577 if (((word >> 14) & 0x1) == 0)
15578 {
15579 if (((word >> 15) & 0x1) == 0)
15580 {
15581 if (((word >> 29) & 0x1) == 0)
15582 {
15583 /* 33222222222211111111110000000000
15584 10987654321098765432109876543210
15585 xxxxxxxxxx100000xxxxx1xx011100xx
15586 shadd. */
15587 return 261;
15588 }
15589 else
15590 {
15591 /* 33222222222211111111110000000000
15592 10987654321098765432109876543210
15593 xxxxxxxxxx100000xxxxx1xx011101xx
15594 uhadd. */
15595 return 313;
15596 }
15597 }
15598 else
15599 {
15600 if (((word >> 29) & 0x1) == 0)
15601 {
15602 /* 33222222222211111111110000000000
15603 10987654321098765432109876543210
15604 xxxxxxxxxx100001xxxxx1xx011100xx
15605 add. */
15606 return 276;
15607 }
15608 else
15609 {
15610 /* 33222222222211111111110000000000
15611 10987654321098765432109876543210
15612 xxxxxxxxxx100001xxxxx1xx011101xx
15613 sub. */
15614 return 328;
15615 }
15616 }
15617 }
15618 else
15619 {
15620 if (((word >> 15) & 0x1) == 0)
15621 {
15622 if (((word >> 29) & 0x1) == 0)
15623 {
15624 /* 33222222222211111111110000000000
15625 10987654321098765432109876543210
15626 xxxxxxxxxx100010xxxxx1xx011100xx
15627 sshl. */
15628 return 268;
15629 }
15630 else
15631 {
15632 /* 33222222222211111111110000000000
15633 10987654321098765432109876543210
15634 xxxxxxxxxx100010xxxxx1xx011101xx
15635 ushl. */
15636 return 320;
15637 }
15638 }
15639 else
15640 {
15641 if (((word >> 23) & 0x1) == 0)
15642 {
15643 if (((word >> 29) & 0x1) == 0)
15644 {
15645 /* 33222222222211111111110000000000
15646 10987654321098765432109876543210
15647 xxxxxxxxxx100011xxxxx1x0011100xx
15648 fmaxnm. */
15649 return 284;
15650 }
15651 else
15652 {
15653 /* 33222222222211111111110000000000
15654 10987654321098765432109876543210
15655 xxxxxxxxxx100011xxxxx1x0011101xx
15656 fmaxnmp. */
15657 return 335;
15658 }
15659 }
15660 else
15661 {
15662 if (((word >> 29) & 0x1) == 0)
15663 {
15664 /* 33222222222211111111110000000000
15665 10987654321098765432109876543210
15666 xxxxxxxxxx100011xxxxx1x1011100xx
15667 fminnm. */
15668 return 300;
15669 }
15670 else
15671 {
15672 /* 33222222222211111111110000000000
15673 10987654321098765432109876543210
15674 xxxxxxxxxx100011xxxxx1x1011101xx
15675 fminnmp. */
15676 return 351;
15677 }
15678 }
15679 }
15680 }
15681 }
15682 else
15683 {
15684 if (((word >> 14) & 0x1) == 0)
15685 {
15686 if (((word >> 15) & 0x1) == 0)
15687 {
15688 if (((word >> 29) & 0x1) == 0)
15689 {
15690 /* 33222222222211111111110000000000
15691 10987654321098765432109876543210
15692 xxxxxxxxxx100100xxxxx1xx011100xx
15693 shsub. */
15694 return 264;
15695 }
15696 else
15697 {
15698 /* 33222222222211111111110000000000
15699 10987654321098765432109876543210
15700 xxxxxxxxxx100100xxxxx1xx011101xx
15701 uhsub. */
15702 return 316;
15703 }
15704 }
15705 else
15706 {
15707 if (((word >> 29) & 0x1) == 0)
15708 {
15709 /* 33222222222211111111110000000000
15710 10987654321098765432109876543210
15711 xxxxxxxxxx100101xxxxx1xx011100xx
15712 smaxp. */
15713 return 280;
15714 }
15715 else
15716 {
15717 /* 33222222222211111111110000000000
15718 10987654321098765432109876543210
15719 xxxxxxxxxx100101xxxxx1xx011101xx
15720 umaxp. */
15721 return 332;
15722 }
15723 }
15724 }
15725 else
15726 {
15727 if (((word >> 15) & 0x1) == 0)
15728 {
15729 if (((word >> 29) & 0x1) == 0)
15730 {
15731 /* 33222222222211111111110000000000
15732 10987654321098765432109876543210
15733 xxxxxxxxxx100110xxxxx1xx011100xx
15734 smax. */
15735 return 272;
15736 }
15737 else
15738 {
15739 /* 33222222222211111111110000000000
15740 10987654321098765432109876543210
15741 xxxxxxxxxx100110xxxxx1xx011101xx
15742 umax. */
15743 return 324;
15744 }
15745 }
15746 else
15747 {
15748 if (((word >> 23) & 0x1) == 0)
15749 {
15750 if (((word >> 29) & 0x1) == 0)
15751 {
15752 /* 33222222222211111111110000000000
15753 10987654321098765432109876543210
15754 xxxxxxxxxx100111xxxxx1x0011100xx
15755 fcmeq. */
15756 return 292;
15757 }
15758 else
15759 {
15760 /* 33222222222211111111110000000000
15761 10987654321098765432109876543210
15762 xxxxxxxxxx100111xxxxx1x0011101xx
15763 fcmge. */
15764 return 341;
15765 }
15766 }
15767 else
15768 {
15769 /* 33222222222211111111110000000000
15770 10987654321098765432109876543210
15771 xxxxxxxxxx100111xxxxx1x101110xxx
15772 fcmgt. */
15773 return 355;
15774 }
15775 }
15776 }
15777 }
15778 }
15779 else
15780 {
15781 if (((word >> 13) & 0x1) == 0)
15782 {
15783 if (((word >> 14) & 0x1) == 0)
15784 {
15785 if (((word >> 15) & 0x1) == 0)
15786 {
15787 if (((word >> 29) & 0x1) == 0)
15788 {
15789 /* 33222222222211111111110000000000
15790 10987654321098765432109876543210
15791 xxxxxxxxxx101000xxxxx1xx011100xx
15792 srhadd. */
15793 return 263;
15794 }
15795 else
15796 {
15797 /* 33222222222211111111110000000000
15798 10987654321098765432109876543210
15799 xxxxxxxxxx101000xxxxx1xx011101xx
15800 urhadd. */
15801 return 315;
15802 }
15803 }
15804 else
15805 {
15806 if (((word >> 29) & 0x1) == 0)
15807 {
15808 /* 33222222222211111111110000000000
15809 10987654321098765432109876543210
15810 xxxxxxxxxx101001xxxxx1xx011100xx
15811 mla. */
15812 return 278;
15813 }
15814 else
15815 {
15816 /* 33222222222211111111110000000000
15817 10987654321098765432109876543210
15818 xxxxxxxxxx101001xxxxx1xx011101xx
15819 mls. */
15820 return 330;
15821 }
15822 }
15823 }
15824 else
15825 {
15826 if (((word >> 15) & 0x1) == 0)
15827 {
15828 if (((word >> 29) & 0x1) == 0)
15829 {
15830 /* 33222222222211111111110000000000
15831 10987654321098765432109876543210
15832 xxxxxxxxxx101010xxxxx1xx011100xx
15833 srshl. */
15834 return 270;
15835 }
15836 else
15837 {
15838 /* 33222222222211111111110000000000
15839 10987654321098765432109876543210
15840 xxxxxxxxxx101010xxxxx1xx011101xx
15841 urshl. */
15842 return 322;
15843 }
15844 }
15845 else
15846 {
15847 if (((word >> 23) & 0x1) == 0)
15848 {
15849 if (((word >> 29) & 0x1) == 0)
15850 {
15851 /* 33222222222211111111110000000000
15852 10987654321098765432109876543210
15853 xxxxxxxxxx101011xxxxx1x0011100xx
15854 fadd. */
15855 return 288;
15856 }
15857 else
15858 {
15859 /* 33222222222211111111110000000000
15860 10987654321098765432109876543210
15861 xxxxxxxxxx101011xxxxx1x0011101xx
15862 faddp. */
15863 return 337;
15864 }
15865 }
15866 else
15867 {
15868 if (((word >> 29) & 0x1) == 0)
15869 {
15870 /* 33222222222211111111110000000000
15871 10987654321098765432109876543210
15872 xxxxxxxxxx101011xxxxx1x1011100xx
15873 fsub. */
15874 return 304;
15875 }
15876 else
15877 {
15878 /* 33222222222211111111110000000000
15879 10987654321098765432109876543210
15880 xxxxxxxxxx101011xxxxx1x1011101xx
15881 fabd. */
15882 return 353;
15883 }
15884 }
15885 }
15886 }
15887 }
15888 else
15889 {
15890 if (((word >> 14) & 0x1) == 0)
15891 {
15892 if (((word >> 15) & 0x1) == 0)
15893 {
15894 if (((word >> 29) & 0x1) == 0)
15895 {
15896 /* 33222222222211111111110000000000
15897 10987654321098765432109876543210
15898 xxxxxxxxxx101100xxxxx1xx011100xx
15899 cmgt. */
15900 return 266;
15901 }
15902 else
15903 {
15904 /* 33222222222211111111110000000000
15905 10987654321098765432109876543210
15906 xxxxxxxxxx101100xxxxx1xx011101xx
15907 cmhi. */
15908 return 318;
15909 }
15910 }
15911 else
15912 {
15913 if (((word >> 29) & 0x1) == 0)
15914 {
15915 /* 33222222222211111111110000000000
15916 10987654321098765432109876543210
15917 xxxxxxxxxx101101xxxxx1xx011100xx
15918 sqdmulh. */
15919 return 282;
15920 }
15921 else
15922 {
15923 /* 33222222222211111111110000000000
15924 10987654321098765432109876543210
15925 xxxxxxxxxx101101xxxxx1xx011101xx
15926 sqrdmulh. */
15927 return 334;
15928 }
15929 }
15930 }
15931 else
15932 {
15933 if (((word >> 15) & 0x1) == 0)
15934 {
15935 if (((word >> 29) & 0x1) == 0)
15936 {
15937 /* 33222222222211111111110000000000
15938 10987654321098765432109876543210
15939 xxxxxxxxxx101110xxxxx1xx011100xx
15940 sabd. */
15941 return 274;
15942 }
15943 else
15944 {
15945 /* 33222222222211111111110000000000
15946 10987654321098765432109876543210
15947 xxxxxxxxxx101110xxxxx1xx011101xx
15948 uabd. */
15949 return 326;
15950 }
15951 }
15952 else
15953 {
15954 if (((word >> 23) & 0x1) == 0)
15955 {
15956 if (((word >> 29) & 0x1) == 0)
15957 {
15958 /* 33222222222211111111110000000000
15959 10987654321098765432109876543210
15960 xxxxxxxxxx101111xxxxx1x0011100xx
15961 fmax. */
15962 return 294;
15963 }
15964 else
15965 {
15966 /* 33222222222211111111110000000000
15967 10987654321098765432109876543210
15968 xxxxxxxxxx101111xxxxx1x0011101xx
15969 fmaxp. */
15970 return 345;
15971 }
15972 }
15973 else
15974 {
15975 if (((word >> 29) & 0x1) == 0)
15976 {
15977 /* 33222222222211111111110000000000
15978 10987654321098765432109876543210
15979 xxxxxxxxxx101111xxxxx1x1011100xx
15980 fmin. */
15981 return 306;
15982 }
15983 else
15984 {
15985 /* 33222222222211111111110000000000
15986 10987654321098765432109876543210
15987 xxxxxxxxxx101111xxxxx1x1011101xx
15988 fminp. */
15989 return 359;
15990 }
15991 }
15992 }
15993 }
15994 }
15995 }
15996 }
15997 else
15998 {
15999 if (((word >> 29) & 0x1) == 0)
16000 {
16001 if (((word >> 30) & 0x1) == 0)
16002 {
16003 if (((word >> 4) & 0x1) == 0)
16004 {
16005 /* 33222222222211111111110000000000
16006 10987654321098765432109876543210
16007 xxxx0xxxxx10xxxxxxxxx1xx0111100x
16008 fccmp. */
16009 return 771;
16010 }
16011 else
16012 {
16013 /* 33222222222211111111110000000000
16014 10987654321098765432109876543210
16015 xxxx1xxxxx10xxxxxxxxx1xx0111100x
16016 fccmpe. */
16017 return 773;
16018 }
16019 }
16020 else
16021 {
16022 if (((word >> 12) & 0x1) == 0)
16023 {
16024 if (((word >> 13) & 0x1) == 0)
16025 {
16026 if (((word >> 14) & 0x1) == 0)
16027 {
16028 /* 33222222222211111111110000000000
16029 10987654321098765432109876543210
16030 xxxxxxxxxx10000xxxxxx1xx0111101x
16031 add. */
16032 return 556;
16033 }
16034 else
16035 {
16036 /* 33222222222211111111110000000000
16037 10987654321098765432109876543210
16038 xxxxxxxxxx10001xxxxxx1xx0111101x
16039 sshl. */
16040 return 554;
16041 }
16042 }
16043 else
16044 {
16045 /* 33222222222211111111110000000000
16046 10987654321098765432109876543210
16047 xxxxxxxxxx1001xxxxxxx1xx0111101x
16048 fcmeq. */
16049 return 546;
16050 }
16051 }
16052 else
16053 {
16054 if (((word >> 13) & 0x1) == 0)
16055 {
16056 /* 33222222222211111111110000000000
16057 10987654321098765432109876543210
16058 xxxxxxxxxx1010xxxxxxx1xx0111101x
16059 srshl. */
16060 return 555;
16061 }
16062 else
16063 {
16064 if (((word >> 15) & 0x1) == 0)
16065 {
16066 /* 33222222222211111111110000000000
16067 10987654321098765432109876543210
16068 xxxxxxxxxx1011x0xxxxx1xx0111101x
16069 cmgt. */
16070 return 552;
16071 }
16072 else
16073 {
16074 /* 33222222222211111111110000000000
16075 10987654321098765432109876543210
16076 xxxxxxxxxx1011x1xxxxx1xx0111101x
16077 sqdmulh. */
16078 return 543;
16079 }
16080 }
16081 }
16082 }
16083 }
16084 else
16085 {
16086 if (((word >> 12) & 0x1) == 0)
16087 {
16088 if (((word >> 13) & 0x1) == 0)
16089 {
16090 if (((word >> 14) & 0x1) == 0)
16091 {
16092 /* 33222222222211111111110000000000
16093 10987654321098765432109876543210
16094 xxxxxxxxxx10000xxxxxx1xx011111xx
16095 sub. */
16096 return 577;
16097 }
16098 else
16099 {
16100 /* 33222222222211111111110000000000
16101 10987654321098765432109876543210
16102 xxxxxxxxxx10001xxxxxx1xx011111xx
16103 ushl. */
16104 return 575;
16105 }
16106 }
16107 else
16108 {
16109 if (((word >> 23) & 0x1) == 0)
16110 {
16111 /* 33222222222211111111110000000000
16112 10987654321098765432109876543210
16113 xxxxxxxxxx1001xxxxxxx1x0011111xx
16114 fcmge. */
16115 return 563;
16116 }
16117 else
16118 {
16119 /* 33222222222211111111110000000000
16120 10987654321098765432109876543210
16121 xxxxxxxxxx1001xxxxxxx1x1011111xx
16122 fcmgt. */
16123 return 569;
16124 }
16125 }
16126 }
16127 else
16128 {
16129 if (((word >> 13) & 0x1) == 0)
16130 {
16131 if (((word >> 15) & 0x1) == 0)
16132 {
16133 /* 33222222222211111111110000000000
16134 10987654321098765432109876543210
16135 xxxxxxxxxx1010x0xxxxx1xx011111xx
16136 urshl. */
16137 return 576;
16138 }
16139 else
16140 {
16141 /* 33222222222211111111110000000000
16142 10987654321098765432109876543210
16143 xxxxxxxxxx1010x1xxxxx1xx011111xx
16144 fabd. */
16145 return 567;
16146 }
16147 }
16148 else
16149 {
16150 if (((word >> 15) & 0x1) == 0)
16151 {
16152 /* 33222222222211111111110000000000
16153 10987654321098765432109876543210
16154 xxxxxxxxxx1011x0xxxxx1xx011111xx
16155 cmhi. */
16156 return 573;
16157 }
16158 else
16159 {
16160 /* 33222222222211111111110000000000
16161 10987654321098765432109876543210
16162 xxxxxxxxxx1011x1xxxxx1xx011111xx
16163 sqrdmulh. */
16164 return 562;
16165 }
16166 }
16167 }
16168 }
16169 }
16170 }
16171 else
16172 {
16173 if (((word >> 28) & 0x1) == 0)
16174 {
16175 if (((word >> 12) & 0x1) == 0)
16176 {
16177 if (((word >> 13) & 0x1) == 0)
16178 {
16179 if (((word >> 14) & 0x1) == 0)
16180 {
16181 if (((word >> 15) & 0x1) == 0)
16182 {
16183 if (((word >> 29) & 0x1) == 0)
16184 {
16185 /* 33222222222211111111110000000000
16186 10987654321098765432109876543210
16187 xxxxxxxxxx110000xxxxx1xx011100xx
16188 sqadd. */
16189 return 262;
16190 }
16191 else
16192 {
16193 /* 33222222222211111111110000000000
16194 10987654321098765432109876543210
16195 xxxxxxxxxx110000xxxxx1xx011101xx
16196 uqadd. */
16197 return 314;
16198 }
16199 }
16200 else
16201 {
16202 if (((word >> 29) & 0x1) == 0)
16203 {
16204 /* 33222222222211111111110000000000
16205 10987654321098765432109876543210
16206 xxxxxxxxxx110001xxxxx1xx011100xx
16207 cmtst. */
16208 return 277;
16209 }
16210 else
16211 {
16212 /* 33222222222211111111110000000000
16213 10987654321098765432109876543210
16214 xxxxxxxxxx110001xxxxx1xx011101xx
16215 cmeq. */
16216 return 329;
16217 }
16218 }
16219 }
16220 else
16221 {
16222 if (((word >> 15) & 0x1) == 0)
16223 {
16224 if (((word >> 29) & 0x1) == 0)
16225 {
16226 /* 33222222222211111111110000000000
16227 10987654321098765432109876543210
16228 xxxxxxxxxx110010xxxxx1xx011100xx
16229 sqshl. */
16230 return 269;
16231 }
16232 else
16233 {
16234 /* 33222222222211111111110000000000
16235 10987654321098765432109876543210
16236 xxxxxxxxxx110010xxxxx1xx011101xx
16237 uqshl. */
16238 return 321;
16239 }
16240 }
16241 else
16242 {
16243 if (((word >> 23) & 0x1) == 0)
16244 {
16245 /* 33222222222211111111110000000000
16246 10987654321098765432109876543210
16247 xxxxxxxxxx110011xxxxx1x001110xxx
16248 fmla. */
16249 return 286;
16250 }
16251 else
16252 {
16253 /* 33222222222211111111110000000000
16254 10987654321098765432109876543210
16255 xxxxxxxxxx110011xxxxx1x101110xxx
16256 fmls. */
16257 return 302;
16258 }
16259 }
16260 }
16261 }
16262 else
16263 {
16264 if (((word >> 14) & 0x1) == 0)
16265 {
16266 if (((word >> 15) & 0x1) == 0)
16267 {
16268 if (((word >> 29) & 0x1) == 0)
16269 {
16270 /* 33222222222211111111110000000000
16271 10987654321098765432109876543210
16272 xxxxxxxxxx110100xxxxx1xx011100xx
16273 sqsub. */
16274 return 265;
16275 }
16276 else
16277 {
16278 /* 33222222222211111111110000000000
16279 10987654321098765432109876543210
16280 xxxxxxxxxx110100xxxxx1xx011101xx
16281 uqsub. */
16282 return 317;
16283 }
16284 }
16285 else
16286 {
16287 if (((word >> 29) & 0x1) == 0)
16288 {
16289 /* 33222222222211111111110000000000
16290 10987654321098765432109876543210
16291 xxxxxxxxxx110101xxxxx1xx011100xx
16292 sminp. */
16293 return 281;
16294 }
16295 else
16296 {
16297 /* 33222222222211111111110000000000
16298 10987654321098765432109876543210
16299 xxxxxxxxxx110101xxxxx1xx011101xx
16300 uminp. */
16301 return 333;
16302 }
16303 }
16304 }
16305 else
16306 {
16307 if (((word >> 15) & 0x1) == 0)
16308 {
16309 if (((word >> 29) & 0x1) == 0)
16310 {
16311 /* 33222222222211111111110000000000
16312 10987654321098765432109876543210
16313 xxxxxxxxxx110110xxxxx1xx011100xx
16314 smin. */
16315 return 273;
16316 }
16317 else
16318 {
16319 /* 33222222222211111111110000000000
16320 10987654321098765432109876543210
16321 xxxxxxxxxx110110xxxxx1xx011101xx
16322 umin. */
16323 return 325;
16324 }
16325 }
16326 else
16327 {
16328 if (((word >> 23) & 0x1) == 0)
16329 {
16330 /* 33222222222211111111110000000000
16331 10987654321098765432109876543210
16332 xxxxxxxxxx110111xxxxx1x001110xxx
16333 facge. */
16334 return 343;
16335 }
16336 else
16337 {
16338 /* 33222222222211111111110000000000
16339 10987654321098765432109876543210
16340 xxxxxxxxxx110111xxxxx1x101110xxx
16341 facgt. */
16342 return 357;
16343 }
16344 }
16345 }
16346 }
16347 }
16348 else
16349 {
16350 if (((word >> 13) & 0x1) == 0)
16351 {
16352 if (((word >> 14) & 0x1) == 0)
16353 {
16354 if (((word >> 15) & 0x1) == 0)
16355 {
16356 if (((word >> 22) & 0x1) == 0)
16357 {
16358 if (((word >> 23) & 0x1) == 0)
16359 {
16360 if (((word >> 29) & 0x1) == 0)
16361 {
16362 /* 33222222222211111111110000000000
16363 10987654321098765432109876543210
16364 xxxxxxxxxx111000xxxxx100011100xx
16365 and. */
16366 return 298;
16367 }
16368 else
16369 {
16370 /* 33222222222211111111110000000000
16371 10987654321098765432109876543210
16372 xxxxxxxxxx111000xxxxx100011101xx
16373 eor. */
16374 return 349;
16375 }
16376 }
16377 else
16378 {
16379 if (((word >> 29) & 0x1) == 0)
16380 {
16381 /* 33222222222211111111110000000000
16382 10987654321098765432109876543210
16383 xxxxxxxxxx111000xxxxx101011100xx
16384 orr. */
16385 return 310;
16386 }
16387 else
16388 {
16389 /* 33222222222211111111110000000000
16390 10987654321098765432109876543210
16391 xxxxxxxxxx111000xxxxx101011101xx
16392 bit. */
16393 return 361;
16394 }
16395 }
16396 }
16397 else
16398 {
16399 if (((word >> 23) & 0x1) == 0)
16400 {
16401 if (((word >> 29) & 0x1) == 0)
16402 {
16403 /* 33222222222211111111110000000000
16404 10987654321098765432109876543210
16405 xxxxxxxxxx111000xxxxx110011100xx
16406 bic. */
16407 return 299;
16408 }
16409 else
16410 {
16411 /* 33222222222211111111110000000000
16412 10987654321098765432109876543210
16413 xxxxxxxxxx111000xxxxx110011101xx
16414 bsl. */
16415 return 350;
16416 }
16417 }
16418 else
16419 {
16420 if (((word >> 29) & 0x1) == 0)
16421 {
16422 /* 33222222222211111111110000000000
16423 10987654321098765432109876543210
16424 xxxxxxxxxx111000xxxxx111011100xx
16425 orn. */
16426 return 312;
16427 }
16428 else
16429 {
16430 /* 33222222222211111111110000000000
16431 10987654321098765432109876543210
16432 xxxxxxxxxx111000xxxxx111011101xx
16433 bif. */
16434 return 362;
16435 }
16436 }
16437 }
16438 }
16439 else
16440 {
16441 if (((word >> 29) & 0x1) == 0)
16442 {
16443 /* 33222222222211111111110000000000
16444 10987654321098765432109876543210
16445 xxxxxxxxxx111001xxxxx1xx011100xx
16446 mul. */
16447 return 279;
16448 }
16449 else
16450 {
16451 /* 33222222222211111111110000000000
16452 10987654321098765432109876543210
16453 xxxxxxxxxx111001xxxxx1xx011101xx
16454 pmul. */
16455 return 331;
16456 }
16457 }
16458 }
16459 else
16460 {
16461 if (((word >> 15) & 0x1) == 0)
16462 {
16463 if (((word >> 29) & 0x1) == 0)
16464 {
16465 /* 33222222222211111111110000000000
16466 10987654321098765432109876543210
16467 xxxxxxxxxx111010xxxxx1xx011100xx
16468 sqrshl. */
16469 return 271;
16470 }
16471 else
16472 {
16473 /* 33222222222211111111110000000000
16474 10987654321098765432109876543210
16475 xxxxxxxxxx111010xxxxx1xx011101xx
16476 uqrshl. */
16477 return 323;
16478 }
16479 }
16480 else
16481 {
16482 if (((word >> 29) & 0x1) == 0)
16483 {
16484 /* 33222222222211111111110000000000
16485 10987654321098765432109876543210
16486 xxxxxxxxxx111011xxxxx1xx011100xx
16487 fmulx. */
16488 return 290;
16489 }
16490 else
16491 {
16492 /* 33222222222211111111110000000000
16493 10987654321098765432109876543210
16494 xxxxxxxxxx111011xxxxx1xx011101xx
16495 fmul. */
16496 return 339;
16497 }
16498 }
16499 }
16500 }
16501 else
16502 {
16503 if (((word >> 14) & 0x1) == 0)
16504 {
16505 if (((word >> 15) & 0x1) == 0)
16506 {
16507 if (((word >> 29) & 0x1) == 0)
16508 {
16509 /* 33222222222211111111110000000000
16510 10987654321098765432109876543210
16511 xxxxxxxxxx111100xxxxx1xx011100xx
16512 cmge. */
16513 return 267;
16514 }
16515 else
16516 {
16517 /* 33222222222211111111110000000000
16518 10987654321098765432109876543210
16519 xxxxxxxxxx111100xxxxx1xx011101xx
16520 cmhs. */
16521 return 319;
16522 }
16523 }
16524 else
16525 {
16526 /* 33222222222211111111110000000000
16527 10987654321098765432109876543210
16528 xxxxxxxxxx111101xxxxx1xx01110xxx
16529 addp. */
16530 return 283;
16531 }
16532 }
16533 else
16534 {
16535 if (((word >> 15) & 0x1) == 0)
16536 {
16537 if (((word >> 29) & 0x1) == 0)
16538 {
16539 /* 33222222222211111111110000000000
16540 10987654321098765432109876543210
16541 xxxxxxxxxx111110xxxxx1xx011100xx
16542 saba. */
16543 return 275;
16544 }
16545 else
16546 {
16547 /* 33222222222211111111110000000000
16548 10987654321098765432109876543210
16549 xxxxxxxxxx111110xxxxx1xx011101xx
16550 uaba. */
16551 return 327;
16552 }
16553 }
16554 else
16555 {
16556 if (((word >> 23) & 0x1) == 0)
16557 {
16558 if (((word >> 29) & 0x1) == 0)
16559 {
16560 /* 33222222222211111111110000000000
16561 10987654321098765432109876543210
16562 xxxxxxxxxx111111xxxxx1x0011100xx
16563 frecps. */
16564 return 296;
16565 }
16566 else
16567 {
16568 /* 33222222222211111111110000000000
16569 10987654321098765432109876543210
16570 xxxxxxxxxx111111xxxxx1x0011101xx
16571 fdiv. */
16572 return 347;
16573 }
16574 }
16575 else
16576 {
16577 /* 33222222222211111111110000000000
16578 10987654321098765432109876543210
16579 xxxxxxxxxx111111xxxxx1x101110xxx
16580 frsqrts. */
16581 return 308;
16582 }
16583 }
16584 }
16585 }
16586 }
16587 }
16588 else
16589 {
16590 if (((word >> 29) & 0x1) == 0)
16591 {
16592 if (((word >> 30) & 0x1) == 0)
16593 {
16594 /* 33222222222211111111110000000000
16595 10987654321098765432109876543210
16596 xxxxxxxxxx11xxxxxxxxx1xx0111100x
16597 fcsel. */
16598 return 834;
16599 }
16600 else
16601 {
16602 if (((word >> 12) & 0x1) == 0)
16603 {
16604 if (((word >> 13) & 0x1) == 0)
16605 {
16606 if (((word >> 14) & 0x1) == 0)
16607 {
16608 if (((word >> 15) & 0x1) == 0)
16609 {
16610 /* 33222222222211111111110000000000
16611 10987654321098765432109876543210
16612 xxxxxxxxxx110000xxxxx1xx0111101x
16613 sqadd. */
16614 return 539;
16615 }
16616 else
16617 {
16618 /* 33222222222211111111110000000000
16619 10987654321098765432109876543210
16620 xxxxxxxxxx110001xxxxx1xx0111101x
16621 cmtst. */
16622 return 557;
16623 }
16624 }
16625 else
16626 {
16627 /* 33222222222211111111110000000000
16628 10987654321098765432109876543210
16629 xxxxxxxxxx11001xxxxxx1xx0111101x
16630 sqshl. */
16631 return 541;
16632 }
16633 }
16634 else
16635 {
16636 /* 33222222222211111111110000000000
16637 10987654321098765432109876543210
16638 xxxxxxxxxx1101xxxxxxx1xx0111101x
16639 sqsub. */
16640 return 540;
16641 }
16642 }
16643 else
16644 {
16645 if (((word >> 13) & 0x1) == 0)
16646 {
16647 if (((word >> 15) & 0x1) == 0)
16648 {
16649 /* 33222222222211111111110000000000
16650 10987654321098765432109876543210
16651 xxxxxxxxxx1110x0xxxxx1xx0111101x
16652 sqrshl. */
16653 return 542;
16654 }
16655 else
16656 {
16657 /* 33222222222211111111110000000000
16658 10987654321098765432109876543210
16659 xxxxxxxxxx1110x1xxxxx1xx0111101x
16660 fmulx. */
16661 return 544;
16662 }
16663 }
16664 else
16665 {
16666 if (((word >> 14) & 0x1) == 0)
16667 {
16668 /* 33222222222211111111110000000000
16669 10987654321098765432109876543210
16670 xxxxxxxxxx11110xxxxxx1xx0111101x
16671 cmge. */
16672 return 553;
16673 }
16674 else
16675 {
16676 if (((word >> 23) & 0x1) == 0)
16677 {
16678 /* 33222222222211111111110000000000
16679 10987654321098765432109876543210
16680 xxxxxxxxxx11111xxxxxx1x00111101x
16681 frecps. */
16682 return 548;
16683 }
16684 else
16685 {
16686 /* 33222222222211111111110000000000
16687 10987654321098765432109876543210
16688 xxxxxxxxxx11111xxxxxx1x10111101x
16689 frsqrts. */
16690 return 550;
16691 }
16692 }
16693 }
16694 }
16695 }
16696 }
16697 else
16698 {
16699 if (((word >> 12) & 0x1) == 0)
16700 {
16701 if (((word >> 13) & 0x1) == 0)
16702 {
16703 if (((word >> 14) & 0x1) == 0)
16704 {
16705 if (((word >> 15) & 0x1) == 0)
16706 {
16707 /* 33222222222211111111110000000000
16708 10987654321098765432109876543210
16709 xxxxxxxxxx110000xxxxx1xx011111xx
16710 uqadd. */
16711 return 558;
16712 }
16713 else
16714 {
16715 /* 33222222222211111111110000000000
16716 10987654321098765432109876543210
16717 xxxxxxxxxx110001xxxxx1xx011111xx
16718 cmeq. */
16719 return 578;
16720 }
16721 }
16722 else
16723 {
16724 /* 33222222222211111111110000000000
16725 10987654321098765432109876543210
16726 xxxxxxxxxx11001xxxxxx1xx011111xx
16727 uqshl. */
16728 return 560;
16729 }
16730 }
16731 else
16732 {
16733 if (((word >> 14) & 0x1) == 0)
16734 {
16735 /* 33222222222211111111110000000000
16736 10987654321098765432109876543210
16737 xxxxxxxxxx11010xxxxxx1xx011111xx
16738 uqsub. */
16739 return 559;
16740 }
16741 else
16742 {
16743 if (((word >> 23) & 0x1) == 0)
16744 {
16745 /* 33222222222211111111110000000000
16746 10987654321098765432109876543210
16747 xxxxxxxxxx11011xxxxxx1x0011111xx
16748 facge. */
16749 return 565;
16750 }
16751 else
16752 {
16753 /* 33222222222211111111110000000000
16754 10987654321098765432109876543210
16755 xxxxxxxxxx11011xxxxxx1x1011111xx
16756 facgt. */
16757 return 571;
16758 }
16759 }
16760 }
16761 }
16762 else
16763 {
16764 if (((word >> 13) & 0x1) == 0)
16765 {
16766 /* 33222222222211111111110000000000
16767 10987654321098765432109876543210
16768 xxxxxxxxxx1110xxxxxxx1xx011111xx
16769 uqrshl. */
16770 return 561;
16771 }
16772 else
16773 {
16774 /* 33222222222211111111110000000000
16775 10987654321098765432109876543210
16776 xxxxxxxxxx1111xxxxxxx1xx011111xx
16777 cmhs. */
16778 return 574;
16779 }
16780 }
16781 }
16782 }
16783 }
16784 }
16785 }
16786 }
16787 else
16788 {
16789 if (((word >> 15) & 0x1) == 0)
16790 {
16791 if (((word >> 28) & 0x1) == 0)
16792 {
16793 if (((word >> 10) & 0x1) == 0)
16794 {
16795 if (((word >> 12) & 0x1) == 0)
16796 {
16797 if (((word >> 13) & 0x1) == 0)
16798 {
16799 if (((word >> 14) & 0x1) == 0)
16800 {
16801 /* 33222222222211111111110000000000
16802 10987654321098765432109876543210
16803 xxxxxxxxxx0x0000xxxxxxxx11110xxx
16804 mla. */
16805 return 117;
16806 }
16807 else
16808 {
16809 /* 33222222222211111111110000000000
16810 10987654321098765432109876543210
16811 xxxxxxxxxx0x0010xxxxxxxx11110xxx
16812 mls. */
16813 return 120;
16814 }
16815 }
16816 else
16817 {
16818 if (((word >> 14) & 0x1) == 0)
16819 {
16820 if (((word >> 29) & 0x1) == 0)
16821 {
16822 if (((word >> 30) & 0x1) == 0)
16823 {
16824 /* 33222222222211111111110000000000
16825 10987654321098765432109876543210
16826 xxxxxxxxxx0x0100xxxxxxxx1111000x
16827 smlal. */
16828 return 96;
16829 }
16830 else
16831 {
16832 /* 33222222222211111111110000000000
16833 10987654321098765432109876543210
16834 xxxxxxxxxx0x0100xxxxxxxx1111001x
16835 smlal2. */
16836 return 97;
16837 }
16838 }
16839 else
16840 {
16841 if (((word >> 30) & 0x1) == 0)
16842 {
16843 /* 33222222222211111111110000000000
16844 10987654321098765432109876543210
16845 xxxxxxxxxx0x0100xxxxxxxx1111010x
16846 umlal. */
16847 return 118;
16848 }
16849 else
16850 {
16851 /* 33222222222211111111110000000000
16852 10987654321098765432109876543210
16853 xxxxxxxxxx0x0100xxxxxxxx1111011x
16854 umlal2. */
16855 return 119;
16856 }
16857 }
16858 }
16859 else
16860 {
16861 if (((word >> 29) & 0x1) == 0)
16862 {
16863 if (((word >> 30) & 0x1) == 0)
16864 {
16865 /* 33222222222211111111110000000000
16866 10987654321098765432109876543210
16867 xxxxxxxxxx0x0110xxxxxxxx1111000x
16868 smlsl. */
16869 return 100;
16870 }
16871 else
16872 {
16873 /* 33222222222211111111110000000000
16874 10987654321098765432109876543210
16875 xxxxxxxxxx0x0110xxxxxxxx1111001x
16876 smlsl2. */
16877 return 101;
16878 }
16879 }
16880 else
16881 {
16882 if (((word >> 30) & 0x1) == 0)
16883 {
16884 /* 33222222222211111111110000000000
16885 10987654321098765432109876543210
16886 xxxxxxxxxx0x0110xxxxxxxx1111010x
16887 umlsl. */
16888 return 121;
16889 }
16890 else
16891 {
16892 /* 33222222222211111111110000000000
16893 10987654321098765432109876543210
16894 xxxxxxxxxx0x0110xxxxxxxx1111011x
16895 umlsl2. */
16896 return 122;
16897 }
16898 }
16899 }
16900 }
16901 }
16902 else
16903 {
16904 if (((word >> 13) & 0x1) == 0)
16905 {
16906 if (((word >> 14) & 0x1) == 0)
16907 {
16908 if (((word >> 23) & 0x1) == 0)
16909 {
16910 /* 33222222222211111111110000000000
16911 10987654321098765432109876543210
16912 xxxxxxxxxx0x1000xxxxxxx011110xxx
16913 fmla. */
16914 return 112;
16915 }
16916 else
16917 {
16918 /* 33222222222211111111110000000000
16919 10987654321098765432109876543210
16920 xxxxxxxxxx0x1000xxxxxxx111110xxx
16921 fmla. */
16922 return 111;
16923 }
16924 }
16925 else
16926 {
16927 if (((word >> 23) & 0x1) == 0)
16928 {
16929 /* 33222222222211111111110000000000
16930 10987654321098765432109876543210
16931 xxxxxxxxxx0x1010xxxxxxx011110xxx
16932 fmls. */
16933 return 114;
16934 }
16935 else
16936 {
16937 /* 33222222222211111111110000000000
16938 10987654321098765432109876543210
16939 xxxxxxxxxx0x1010xxxxxxx111110xxx
16940 fmls. */
16941 return 113;
16942 }
16943 }
16944 }
16945 else
16946 {
16947 if (((word >> 14) & 0x1) == 0)
16948 {
16949 if (((word >> 30) & 0x1) == 0)
16950 {
16951 /* 33222222222211111111110000000000
16952 10987654321098765432109876543210
16953 xxxxxxxxxx0x1100xxxxxxxx11110x0x
16954 sqdmlal. */
16955 return 98;
16956 }
16957 else
16958 {
16959 /* 33222222222211111111110000000000
16960 10987654321098765432109876543210
16961 xxxxxxxxxx0x1100xxxxxxxx11110x1x
16962 sqdmlal2. */
16963 return 99;
16964 }
16965 }
16966 else
16967 {
16968 if (((word >> 30) & 0x1) == 0)
16969 {
16970 /* 33222222222211111111110000000000
16971 10987654321098765432109876543210
16972 xxxxxxxxxx0x1110xxxxxxxx11110x0x
16973 sqdmlsl. */
16974 return 102;
16975 }
16976 else
16977 {
16978 /* 33222222222211111111110000000000
16979 10987654321098765432109876543210
16980 xxxxxxxxxx0x1110xxxxxxxx11110x1x
16981 sqdmlsl2. */
16982 return 103;
16983 }
16984 }
16985 }
16986 }
16987 }
16988 else
16989 {
16990 if (((word >> 12) & 0x1) == 0)
16991 {
16992 if (((word >> 29) & 0x1) == 0)
16993 {
16994 /* 33222222222211111111110000000000
16995 10987654321098765432109876543210
16996 xxxxxxxxxx1x0xx0xxxxxxxx111100xx
16997 movi. */
16998 return 130;
16999 }
17000 else
17001 {
17002 /* 33222222222211111111110000000000
17003 10987654321098765432109876543210
17004 xxxxxxxxxx1x0xx0xxxxxxxx111101xx
17005 mvni. */
17006 return 138;
17007 }
17008 }
17009 else
17010 {
17011 if (((word >> 29) & 0x1) == 0)
17012 {
17013 /* 33222222222211111111110000000000
17014 10987654321098765432109876543210
17015 xxxxxxxxxx1x1xx0xxxxxxxx111100xx
17016 orr. */
17017 return 131;
17018 }
17019 else
17020 {
17021 /* 33222222222211111111110000000000
17022 10987654321098765432109876543210
17023 xxxxxxxxxx1x1xx0xxxxxxxx111101xx
17024 bic. */
17025 return 139;
17026 }
17027 }
17028 }
17029 }
17030 else
17031 {
17032 if (((word >> 29) & 0x1) == 0)
17033 {
17034 if (((word >> 30) & 0x1) == 0)
17035 {
17036 if (((word >> 21) & 0x1) == 0)
17037 {
17038 /* 33222222222211111111110000000000
17039 10987654321098765432109876543210
17040 xxxxxxxxxxxxxxx0xxxxx0xx1111100x
17041 fmadd. */
17042 return 824;
17043 }
17044 else
17045 {
17046 /* 33222222222211111111110000000000
17047 10987654321098765432109876543210
17048 xxxxxxxxxxxxxxx0xxxxx1xx1111100x
17049 fnmadd. */
17050 return 828;
17051 }
17052 }
17053 else
17054 {
17055 if (((word >> 10) & 0x1) == 0)
17056 {
17057 if (((word >> 13) & 0x1) == 0)
17058 {
17059 if (((word >> 14) & 0x1) == 0)
17060 {
17061 if (((word >> 23) & 0x1) == 0)
17062 {
17063 /* 33222222222211111111110000000000
17064 10987654321098765432109876543210
17065 xxxxxxxxxx0xx000xxxxxxx01111101x
17066 fmla. */
17067 return 422;
17068 }
17069 else
17070 {
17071 /* 33222222222211111111110000000000
17072 10987654321098765432109876543210
17073 xxxxxxxxxx0xx000xxxxxxx11111101x
17074 fmla. */
17075 return 421;
17076 }
17077 }
17078 else
17079 {
17080 if (((word >> 23) & 0x1) == 0)
17081 {
17082 /* 33222222222211111111110000000000
17083 10987654321098765432109876543210
17084 xxxxxxxxxx0xx010xxxxxxx01111101x
17085 fmls. */
17086 return 424;
17087 }
17088 else
17089 {
17090 /* 33222222222211111111110000000000
17091 10987654321098765432109876543210
17092 xxxxxxxxxx0xx010xxxxxxx11111101x
17093 fmls. */
17094 return 423;
17095 }
17096 }
17097 }
17098 else
17099 {
17100 if (((word >> 14) & 0x1) == 0)
17101 {
17102 /* 33222222222211111111110000000000
17103 10987654321098765432109876543210
17104 xxxxxxxxxx0xx100xxxxxxxx1111101x
17105 sqdmlal. */
17106 return 416;
17107 }
17108 else
17109 {
17110 /* 33222222222211111111110000000000
17111 10987654321098765432109876543210
17112 xxxxxxxxxx0xx110xxxxxxxx1111101x
17113 sqdmlsl. */
17114 return 417;
17115 }
17116 }
17117 }
17118 else
17119 {
17120 if (((word >> 12) & 0x1) == 0)
17121 {
17122 if (((word >> 13) & 0x1) == 0)
17123 {
17124 /* 33222222222211111111110000000000
17125 10987654321098765432109876543210
17126 xxxxxxxxxx1x00x0xxxxxxxx1111101x
17127 sshr. */
17128 return 581;
17129 }
17130 else
17131 {
17132 /* 33222222222211111111110000000000
17133 10987654321098765432109876543210
17134 xxxxxxxxxx1x01x0xxxxxxxx1111101x
17135 srshr. */
17136 return 583;
17137 }
17138 }
17139 else
17140 {
17141 if (((word >> 13) & 0x1) == 0)
17142 {
17143 if (((word >> 14) & 0x1) == 0)
17144 {
17145 /* 33222222222211111111110000000000
17146 10987654321098765432109876543210
17147 xxxxxxxxxx1x1000xxxxxxxx1111101x
17148 ssra. */
17149 return 582;
17150 }
17151 else
17152 {
17153 /* 33222222222211111111110000000000
17154 10987654321098765432109876543210
17155 xxxxxxxxxx1x1010xxxxxxxx1111101x
17156 shl. */
17157 return 585;
17158 }
17159 }
17160 else
17161 {
17162 if (((word >> 14) & 0x1) == 0)
17163 {
17164 /* 33222222222211111111110000000000
17165 10987654321098765432109876543210
17166 xxxxxxxxxx1x1100xxxxxxxx1111101x
17167 srsra. */
17168 return 584;
17169 }
17170 else
17171 {
17172 /* 33222222222211111111110000000000
17173 10987654321098765432109876543210
17174 xxxxxxxxxx1x1110xxxxxxxx1111101x
17175 sqshl. */
17176 return 586;
17177 }
17178 }
17179 }
17180 }
17181 }
17182 }
17183 else
17184 {
17185 if (((word >> 12) & 0x1) == 0)
17186 {
17187 if (((word >> 13) & 0x1) == 0)
17188 {
17189 if (((word >> 14) & 0x1) == 0)
17190 {
17191 /* 33222222222211111111110000000000
17192 10987654321098765432109876543210
17193 xxxxxxxxxxxx0000xxxxxxxx111111xx
17194 ushr. */
17195 return 593;
17196 }
17197 else
17198 {
17199 /* 33222222222211111111110000000000
17200 10987654321098765432109876543210
17201 xxxxxxxxxxxx0010xxxxxxxx111111xx
17202 sri. */
17203 return 597;
17204 }
17205 }
17206 else
17207 {
17208 if (((word >> 14) & 0x1) == 0)
17209 {
17210 /* 33222222222211111111110000000000
17211 10987654321098765432109876543210
17212 xxxxxxxxxxxx0100xxxxxxxx111111xx
17213 urshr. */
17214 return 595;
17215 }
17216 else
17217 {
17218 /* 33222222222211111111110000000000
17219 10987654321098765432109876543210
17220 xxxxxxxxxxxx0110xxxxxxxx111111xx
17221 sqshlu. */
17222 return 599;
17223 }
17224 }
17225 }
17226 else
17227 {
17228 if (((word >> 13) & 0x1) == 0)
17229 {
17230 if (((word >> 14) & 0x1) == 0)
17231 {
17232 /* 33222222222211111111110000000000
17233 10987654321098765432109876543210
17234 xxxxxxxxxxxx1000xxxxxxxx111111xx
17235 usra. */
17236 return 594;
17237 }
17238 else
17239 {
17240 /* 33222222222211111111110000000000
17241 10987654321098765432109876543210
17242 xxxxxxxxxxxx1010xxxxxxxx111111xx
17243 sli. */
17244 return 598;
17245 }
17246 }
17247 else
17248 {
17249 if (((word >> 14) & 0x1) == 0)
17250 {
17251 /* 33222222222211111111110000000000
17252 10987654321098765432109876543210
17253 xxxxxxxxxxxx1100xxxxxxxx111111xx
17254 ursra. */
17255 return 596;
17256 }
17257 else
17258 {
17259 /* 33222222222211111111110000000000
17260 10987654321098765432109876543210
17261 xxxxxxxxxxxx1110xxxxxxxx111111xx
17262 uqshl. */
17263 return 600;
17264 }
17265 }
17266 }
17267 }
17268 }
17269 }
17270 else
17271 {
17272 if (((word >> 28) & 0x1) == 0)
17273 {
17274 if (((word >> 10) & 0x1) == 0)
17275 {
17276 if (((word >> 12) & 0x1) == 0)
17277 {
17278 if (((word >> 13) & 0x1) == 0)
17279 {
17280 if (((word >> 14) & 0x1) == 0)
17281 {
17282 /* 33222222222211111111110000000000
17283 10987654321098765432109876543210
17284 xxxxxxxxxx0x0001xxxxxxxx11110xxx
17285 mul. */
17286 return 104;
17287 }
17288 else
17289 {
17290 /* 33222222222211111111110000000000
17291 10987654321098765432109876543210
17292 xxxxxxxxxx0x0011xxxxxxxx11110xxx
17293 sqdmulh. */
17294 return 109;
17295 }
17296 }
17297 else
17298 {
17299 if (((word >> 29) & 0x1) == 0)
17300 {
17301 if (((word >> 30) & 0x1) == 0)
17302 {
17303 /* 33222222222211111111110000000000
17304 10987654321098765432109876543210
17305 xxxxxxxxxx0x01x1xxxxxxxx1111000x
17306 smull. */
17307 return 105;
17308 }
17309 else
17310 {
17311 /* 33222222222211111111110000000000
17312 10987654321098765432109876543210
17313 xxxxxxxxxx0x01x1xxxxxxxx1111001x
17314 smull2. */
17315 return 106;
17316 }
17317 }
17318 else
17319 {
17320 if (((word >> 30) & 0x1) == 0)
17321 {
17322 /* 33222222222211111111110000000000
17323 10987654321098765432109876543210
17324 xxxxxxxxxx0x01x1xxxxxxxx1111010x
17325 umull. */
17326 return 123;
17327 }
17328 else
17329 {
17330 /* 33222222222211111111110000000000
17331 10987654321098765432109876543210
17332 xxxxxxxxxx0x01x1xxxxxxxx1111011x
17333 umull2. */
17334 return 124;
17335 }
17336 }
17337 }
17338 }
17339 else
17340 {
17341 if (((word >> 13) & 0x1) == 0)
17342 {
17343 if (((word >> 14) & 0x1) == 0)
17344 {
17345 if (((word >> 23) & 0x1) == 0)
17346 {
17347 if (((word >> 29) & 0x1) == 0)
17348 {
17349 /* 33222222222211111111110000000000
17350 10987654321098765432109876543210
17351 xxxxxxxxxx0x1001xxxxxxx0111100xx
17352 fmul. */
17353 return 116;
17354 }
17355 else
17356 {
17357 /* 33222222222211111111110000000000
17358 10987654321098765432109876543210
17359 xxxxxxxxxx0x1001xxxxxxx0111101xx
17360 fmulx. */
17361 return 126;
17362 }
17363 }
17364 else
17365 {
17366 if (((word >> 29) & 0x1) == 0)
17367 {
17368 /* 33222222222211111111110000000000
17369 10987654321098765432109876543210
17370 xxxxxxxxxx0x1001xxxxxxx1111100xx
17371 fmul. */
17372 return 115;
17373 }
17374 else
17375 {
17376 /* 33222222222211111111110000000000
17377 10987654321098765432109876543210
17378 xxxxxxxxxx0x1001xxxxxxx1111101xx
17379 fmulx. */
17380 return 125;
17381 }
17382 }
17383 }
17384 else
17385 {
17386 if (((word >> 29) & 0x1) == 0)
17387 {
17388 /* 33222222222211111111110000000000
17389 10987654321098765432109876543210
17390 xxxxxxxxxx0x1011xxxxxxxx111100xx
17391 sqrdmulh. */
17392 return 110;
17393 }
17394 else
17395 {
17396 /* 33222222222211111111110000000000
17397 10987654321098765432109876543210
17398 xxxxxxxxxx0x1011xxxxxxxx111101xx
17399 sqrdmlah. */
17400 return 127;
17401 }
17402 }
17403 }
17404 else
17405 {
17406 if (((word >> 14) & 0x1) == 0)
17407 {
17408 if (((word >> 30) & 0x1) == 0)
17409 {
17410 /* 33222222222211111111110000000000
17411 10987654321098765432109876543210
17412 xxxxxxxxxx0x1101xxxxxxxx11110x0x
17413 sqdmull. */
17414 return 107;
17415 }
17416 else
17417 {
17418 /* 33222222222211111111110000000000
17419 10987654321098765432109876543210
17420 xxxxxxxxxx0x1101xxxxxxxx11110x1x
17421 sqdmull2. */
17422 return 108;
17423 }
17424 }
17425 else
17426 {
17427 /* 33222222222211111111110000000000
17428 10987654321098765432109876543210
17429 xxxxxxxxxx0x1111xxxxxxxx11110xxx
17430 sqrdmlsh. */
17431 return 128;
17432 }
17433 }
17434 }
17435 }
17436 else
17437 {
17438 if (((word >> 11) & 0x1) == 0)
17439 {
17440 if (((word >> 14) & 0x1) == 0)
17441 {
17442 if (((word >> 12) & 0x1) == 0)
17443 {
17444 if (((word >> 29) & 0x1) == 0)
17445 {
17446 /* 33222222222211111111110000000000
17447 10987654321098765432109876543210
17448 xxxxxxxxxx100x01xxxxxxxx111100xx
17449 movi. */
17450 return 132;
17451 }
17452 else
17453 {
17454 /* 33222222222211111111110000000000
17455 10987654321098765432109876543210
17456 xxxxxxxxxx100x01xxxxxxxx111101xx
17457 mvni. */
17458 return 140;
17459 }
17460 }
17461 else
17462 {
17463 if (((word >> 29) & 0x1) == 0)
17464 {
17465 /* 33222222222211111111110000000000
17466 10987654321098765432109876543210
17467 xxxxxxxxxx101x01xxxxxxxx111100xx
17468 orr. */
17469 return 133;
17470 }
17471 else
17472 {
17473 /* 33222222222211111111110000000000
17474 10987654321098765432109876543210
17475 xxxxxxxxxx101x01xxxxxxxx111101xx
17476 bic. */
17477 return 141;
17478 }
17479 }
17480 }
17481 else
17482 {
17483 if (((word >> 13) & 0x1) == 0)
17484 {
17485 if (((word >> 29) & 0x1) == 0)
17486 {
17487 /* 33222222222211111111110000000000
17488 10987654321098765432109876543210
17489 xxxxxxxxxx10x011xxxxxxxx111100xx
17490 movi. */
17491 return 134;
17492 }
17493 else
17494 {
17495 /* 33222222222211111111110000000000
17496 10987654321098765432109876543210
17497 xxxxxxxxxx10x011xxxxxxxx111101xx
17498 mvni. */
17499 return 142;
17500 }
17501 }
17502 else
17503 {
17504 if (((word >> 12) & 0x1) == 0)
17505 {
17506 if (((word >> 29) & 0x1) == 0)
17507 {
17508 /* 33222222222211111111110000000000
17509 10987654321098765432109876543210
17510 xxxxxxxxxx100111xxxxxxxx111100xx
17511 movi. */
17512 return 135;
17513 }
17514 else
17515 {
17516 /* 33222222222211111111110000000000
17517 10987654321098765432109876543210
17518 xxxxxxxxxx100111xxxxxxxx111101xx
17519 movi. */
17520 return 143;
17521 }
17522 }
17523 else
17524 {
17525 if (((word >> 29) & 0x1) == 0)
17526 {
17527 /* 33222222222211111111110000000000
17528 10987654321098765432109876543210
17529 xxxxxxxxxx101111xxxxxxxx111100xx
17530 fmov. */
17531 return 136;
17532 }
17533 else
17534 {
17535 /* 33222222222211111111110000000000
17536 10987654321098765432109876543210
17537 xxxxxxxxxx101111xxxxxxxx111101xx
17538 fmov. */
17539 return 145;
17540 }
17541 }
17542 }
17543 }
17544 }
17545 else
17546 {
17547 if (((word >> 12) & 0x1) == 0)
17548 {
17549 if (((word >> 29) & 0x1) == 0)
17550 {
17551 if (((word >> 30) & 0x1) == 0)
17552 {
17553 /* 33222222222211111111110000000000
17554 10987654321098765432109876543210
17555 xxxxxxxxxx110xx1xxxxxxxx1111000x
17556 rshrn. */
17557 return 373;
17558 }
17559 else
17560 {
17561 /* 33222222222211111111110000000000
17562 10987654321098765432109876543210
17563 xxxxxxxxxx110xx1xxxxxxxx1111001x
17564 rshrn2. */
17565 return 374;
17566 }
17567 }
17568 else
17569 {
17570 if (((word >> 30) & 0x1) == 0)
17571 {
17572 /* 33222222222211111111110000000000
17573 10987654321098765432109876543210
17574 xxxxxxxxxx110xx1xxxxxxxx1111010x
17575 sqrshrun. */
17576 return 397;
17577 }
17578 else
17579 {
17580 /* 33222222222211111111110000000000
17581 10987654321098765432109876543210
17582 xxxxxxxxxx110xx1xxxxxxxx1111011x
17583 sqrshrun2. */
17584 return 398;
17585 }
17586 }
17587 }
17588 else
17589 {
17590 if (((word >> 13) & 0x1) == 0)
17591 {
17592 if (((word >> 29) & 0x1) == 0)
17593 {
17594 if (((word >> 30) & 0x1) == 0)
17595 {
17596 /* 33222222222211111111110000000000
17597 10987654321098765432109876543210
17598 xxxxxxxxxx1110x1xxxxxxxx1111000x
17599 sqrshrn. */
17600 return 377;
17601 }
17602 else
17603 {
17604 /* 33222222222211111111110000000000
17605 10987654321098765432109876543210
17606 xxxxxxxxxx1110x1xxxxxxxx1111001x
17607 sqrshrn2. */
17608 return 378;
17609 }
17610 }
17611 else
17612 {
17613 if (((word >> 30) & 0x1) == 0)
17614 {
17615 /* 33222222222211111111110000000000
17616 10987654321098765432109876543210
17617 xxxxxxxxxx1110x1xxxxxxxx1111010x
17618 uqrshrn. */
17619 return 401;
17620 }
17621 else
17622 {
17623 /* 33222222222211111111110000000000
17624 10987654321098765432109876543210
17625 xxxxxxxxxx1110x1xxxxxxxx1111011x
17626 uqrshrn2. */
17627 return 402;
17628 }
17629 }
17630 }
17631 else
17632 {
17633 if (((word >> 29) & 0x1) == 0)
17634 {
17635 /* 33222222222211111111110000000000
17636 10987654321098765432109876543210
17637 xxxxxxxxxx1111x1xxxxxxxx111100xx
17638 fmov. */
17639 return 137;
17640 }
17641 else
17642 {
17643 /* 33222222222211111111110000000000
17644 10987654321098765432109876543210
17645 xxxxxxxxxx1111x1xxxxxxxx111101xx
17646 fcvtzu. */
17647 return 409;
17648 }
17649 }
17650 }
17651 }
17652 }
17653 }
17654 else
17655 {
17656 if (((word >> 29) & 0x1) == 0)
17657 {
17658 if (((word >> 30) & 0x1) == 0)
17659 {
17660 if (((word >> 21) & 0x1) == 0)
17661 {
17662 /* 33222222222211111111110000000000
17663 10987654321098765432109876543210
17664 xxxxxxxxxxxxxxx1xxxxx0xx1111100x
17665 fmsub. */
17666 return 826;
17667 }
17668 else
17669 {
17670 /* 33222222222211111111110000000000
17671 10987654321098765432109876543210
17672 xxxxxxxxxxxxxxx1xxxxx1xx1111100x
17673 fnmsub. */
17674 return 830;
17675 }
17676 }
17677 else
17678 {
17679 if (((word >> 10) & 0x1) == 0)
17680 {
17681 if (((word >> 12) & 0x1) == 0)
17682 {
17683 /* 33222222222211111111110000000000
17684 10987654321098765432109876543210
17685 xxxxxxxxxx0x0xx1xxxxxxxx1111101x
17686 sqdmulh. */
17687 return 419;
17688 }
17689 else
17690 {
17691 if (((word >> 13) & 0x1) == 0)
17692 {
17693 if (((word >> 14) & 0x1) == 0)
17694 {
17695 if (((word >> 23) & 0x1) == 0)
17696 {
17697 /* 33222222222211111111110000000000
17698 10987654321098765432109876543210
17699 xxxxxxxxxx0x1001xxxxxxx01111101x
17700 fmul. */
17701 return 426;
17702 }
17703 else
17704 {
17705 /* 33222222222211111111110000000000
17706 10987654321098765432109876543210
17707 xxxxxxxxxx0x1001xxxxxxx11111101x
17708 fmul. */
17709 return 425;
17710 }
17711 }
17712 else
17713 {
17714 /* 33222222222211111111110000000000
17715 10987654321098765432109876543210
17716 xxxxxxxxxx0x1011xxxxxxxx1111101x
17717 sqrdmulh. */
17718 return 420;
17719 }
17720 }
17721 else
17722 {
17723 /* 33222222222211111111110000000000
17724 10987654321098765432109876543210
17725 xxxxxxxxxx0x11x1xxxxxxxx1111101x
17726 sqdmull. */
17727 return 418;
17728 }
17729 }
17730 }
17731 else
17732 {
17733 if (((word >> 11) & 0x1) == 0)
17734 {
17735 if (((word >> 12) & 0x1) == 0)
17736 {
17737 /* 33222222222211111111110000000000
17738 10987654321098765432109876543210
17739 xxxxxxxxxx100xx1xxxxxxxx1111101x
17740 scvtf. */
17741 return 589;
17742 }
17743 else
17744 {
17745 /* 33222222222211111111110000000000
17746 10987654321098765432109876543210
17747 xxxxxxxxxx101xx1xxxxxxxx1111101x
17748 sqshrn. */
17749 return 587;
17750 }
17751 }
17752 else
17753 {
17754 if (((word >> 13) & 0x1) == 0)
17755 {
17756 /* 33222222222211111111110000000000
17757 10987654321098765432109876543210
17758 xxxxxxxxxx11x0x1xxxxxxxx1111101x
17759 sqrshrn. */
17760 return 588;
17761 }
17762 else
17763 {
17764 /* 33222222222211111111110000000000
17765 10987654321098765432109876543210
17766 xxxxxxxxxx11x1x1xxxxxxxx1111101x
17767 fcvtzs. */
17768 return 591;
17769 }
17770 }
17771 }
17772 }
17773 }
17774 else
17775 {
17776 if (((word >> 10) & 0x1) == 0)
17777 {
17778 if (((word >> 13) & 0x1) == 0)
17779 {
17780 if (((word >> 14) & 0x1) == 0)
17781 {
17782 if (((word >> 23) & 0x1) == 0)
17783 {
17784 /* 33222222222211111111110000000000
17785 10987654321098765432109876543210
17786 xxxxxxxxxx0xx001xxxxxxx0111111xx
17787 fmulx. */
17788 return 428;
17789 }
17790 else
17791 {
17792 /* 33222222222211111111110000000000
17793 10987654321098765432109876543210
17794 xxxxxxxxxx0xx001xxxxxxx1111111xx
17795 fmulx. */
17796 return 427;
17797 }
17798 }
17799 else
17800 {
17801 /* 33222222222211111111110000000000
17802 10987654321098765432109876543210
17803 xxxxxxxxxx0xx011xxxxxxxx111111xx
17804 sqrdmlah. */
17805 return 429;
17806 }
17807 }
17808 else
17809 {
17810 /* 33222222222211111111110000000000
17811 10987654321098765432109876543210
17812 xxxxxxxxxx0xx1x1xxxxxxxx111111xx
17813 sqrdmlsh. */
17814 return 430;
17815 }
17816 }
17817 else
17818 {
17819 if (((word >> 11) & 0x1) == 0)
17820 {
17821 if (((word >> 12) & 0x1) == 0)
17822 {
17823 if (((word >> 13) & 0x1) == 0)
17824 {
17825 /* 33222222222211111111110000000000
17826 10987654321098765432109876543210
17827 xxxxxxxxxx1000x1xxxxxxxx111111xx
17828 sqshrun. */
17829 return 601;
17830 }
17831 else
17832 {
17833 /* 33222222222211111111110000000000
17834 10987654321098765432109876543210
17835 xxxxxxxxxx1001x1xxxxxxxx111111xx
17836 ucvtf. */
17837 return 605;
17838 }
17839 }
17840 else
17841 {
17842 /* 33222222222211111111110000000000
17843 10987654321098765432109876543210
17844 xxxxxxxxxx101xx1xxxxxxxx111111xx
17845 uqshrn. */
17846 return 603;
17847 }
17848 }
17849 else
17850 {
17851 if (((word >> 12) & 0x1) == 0)
17852 {
17853 /* 33222222222211111111110000000000
17854 10987654321098765432109876543210
17855 xxxxxxxxxx110xx1xxxxxxxx111111xx
17856 sqrshrun. */
17857 return 602;
17858 }
17859 else
17860 {
17861 if (((word >> 13) & 0x1) == 0)
17862 {
17863 /* 33222222222211111111110000000000
17864 10987654321098765432109876543210
17865 xxxxxxxxxx1110x1xxxxxxxx111111xx
17866 uqrshrn. */
17867 return 604;
17868 }
17869 else
17870 {
17871 /* 33222222222211111111110000000000
17872 10987654321098765432109876543210
17873 xxxxxxxxxx1111x1xxxxxxxx111111xx
17874 fcvtzu. */
17875 return 607;
17876 }
17877 }
17878 }
17879 }
17880 }
17881 }
17882 }
17883 }
17884 }
17885 }
17886 }
17887 }
17888
17889 /* Lookup opcode WORD in the opcode table. N.B. all alias
17890 opcodes are ignored here. */
17891
17892 const aarch64_opcode *
17893 aarch64_opcode_lookup (uint32_t word)
17894 {
17895 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
17896 }
17897
17898 const aarch64_opcode *
17899 aarch64_find_next_opcode (const aarch64_opcode *opcode)
17900 {
17901 /* Use the index as the key to locate the next opcode. */
17902 int key = opcode - aarch64_opcode_table;
17903 int value;
17904 switch (key)
17905 {
17906 case 920: value = 924; break; /* stnp --> stp. */
17907 case 924: return NULL; /* stp --> NULL. */
17908 case 918: value = 919; break; /* stllrb --> stllrh. */
17909 case 919: return NULL; /* stllrh --> NULL. */
17910 case 921: value = 925; break; /* ldnp --> ldp. */
17911 case 925: return NULL; /* ldp --> NULL. */
17912 case 1136: value = 1137; break; /* msr --> hint. */
17913 case 1137: value = 1151; break; /* hint --> clrex. */
17914 case 1151: value = 1152; break; /* clrex --> dsb. */
17915 case 1152: value = 1153; break; /* dsb --> dmb. */
17916 case 1153: value = 1154; break; /* dmb --> isb. */
17917 case 1154: value = 1155; break; /* isb --> sys. */
17918 case 1155: value = 1160; break; /* sys --> msr. */
17919 case 1160: return NULL; /* msr --> NULL. */
17920 case 1161: value = 1162; break; /* sysl --> mrs. */
17921 case 1162: return NULL; /* mrs --> NULL. */
17922 case 431: value = 432; break; /* st4 --> st1. */
17923 case 432: value = 433; break; /* st1 --> st2. */
17924 case 433: value = 434; break; /* st2 --> st3. */
17925 case 434: return NULL; /* st3 --> NULL. */
17926 case 439: value = 440; break; /* st4 --> st1. */
17927 case 440: value = 441; break; /* st1 --> st2. */
17928 case 441: value = 442; break; /* st2 --> st3. */
17929 case 442: return NULL; /* st3 --> NULL. */
17930 case 435: value = 436; break; /* ld4 --> ld1. */
17931 case 436: value = 437; break; /* ld1 --> ld2. */
17932 case 437: value = 438; break; /* ld2 --> ld3. */
17933 case 438: return NULL; /* ld3 --> NULL. */
17934 case 451: value = 453; break; /* ld1 --> ld1r. */
17935 case 453: return NULL; /* ld1r --> NULL. */
17936 case 455: value = 457; break; /* ld2 --> ld2r. */
17937 case 457: return NULL; /* ld2r --> NULL. */
17938 case 452: value = 454; break; /* ld3 --> ld3r. */
17939 case 454: return NULL; /* ld3r --> NULL. */
17940 case 456: value = 458; break; /* ld4 --> ld4r. */
17941 case 458: return NULL; /* ld4r --> NULL. */
17942 case 443: value = 444; break; /* ld4 --> ld1. */
17943 case 444: value = 445; break; /* ld1 --> ld2. */
17944 case 445: value = 446; break; /* ld2 --> ld3. */
17945 case 446: return NULL; /* ld3 --> NULL. */
17946 case 463: value = 465; break; /* ld1 --> ld1r. */
17947 case 465: return NULL; /* ld1r --> NULL. */
17948 case 464: value = 466; break; /* ld3 --> ld3r. */
17949 case 466: return NULL; /* ld3r --> NULL. */
17950 case 467: value = 469; break; /* ld2 --> ld2r. */
17951 case 469: return NULL; /* ld2r --> NULL. */
17952 case 468: value = 470; break; /* ld4 --> ld4r. */
17953 case 470: return NULL; /* ld4r --> NULL. */
17954 case 737: value = 738; break; /* fcvtzs --> fcvtzs. */
17955 case 738: return NULL; /* fcvtzs --> NULL. */
17956 case 733: value = 734; break; /* scvtf --> scvtf. */
17957 case 734: return NULL; /* scvtf --> NULL. */
17958 case 739: value = 740; break; /* fcvtzu --> fcvtzu. */
17959 case 740: return NULL; /* fcvtzu --> NULL. */
17960 case 735: value = 736; break; /* ucvtf --> ucvtf. */
17961 case 736: return NULL; /* ucvtf --> NULL. */
17962 case 741: value = 742; break; /* fcvtns --> fcvtns. */
17963 case 742: return NULL; /* fcvtns --> NULL. */
17964 case 761: value = 762; break; /* fcvtms --> fcvtms. */
17965 case 762: return NULL; /* fcvtms --> NULL. */
17966 case 757: value = 758; break; /* fcvtps --> fcvtps. */
17967 case 758: return NULL; /* fcvtps --> NULL. */
17968 case 765: value = 766; break; /* fcvtzs --> fcvtzs. */
17969 case 766: return NULL; /* fcvtzs --> NULL. */
17970 case 749: value = 750; break; /* fcvtas --> fcvtas. */
17971 case 750: return NULL; /* fcvtas --> NULL. */
17972 case 745: value = 746; break; /* scvtf --> scvtf. */
17973 case 746: return NULL; /* scvtf --> NULL. */
17974 case 753: value = 754; break; /* fmov --> fmov. */
17975 case 754: return NULL; /* fmov --> NULL. */
17976 case 743: value = 744; break; /* fcvtnu --> fcvtnu. */
17977 case 744: return NULL; /* fcvtnu --> NULL. */
17978 case 763: value = 764; break; /* fcvtmu --> fcvtmu. */
17979 case 764: return NULL; /* fcvtmu --> NULL. */
17980 case 759: value = 760; break; /* fcvtpu --> fcvtpu. */
17981 case 760: return NULL; /* fcvtpu --> NULL. */
17982 case 767: value = 768; break; /* fcvtzu --> fcvtzu. */
17983 case 768: return NULL; /* fcvtzu --> NULL. */
17984 case 751: value = 752; break; /* fcvtau --> fcvtau. */
17985 case 752: return NULL; /* fcvtau --> NULL. */
17986 case 747: value = 748; break; /* ucvtf --> ucvtf. */
17987 case 748: return NULL; /* ucvtf --> NULL. */
17988 case 755: value = 756; break; /* fmov --> fmov. */
17989 case 756: return NULL; /* fmov --> NULL. */
17990 case 783: value = 784; break; /* fmov --> fmov. */
17991 case 784: return NULL; /* fmov --> NULL. */
17992 case 792: value = 793; break; /* frintn --> frintn. */
17993 case 793: return NULL; /* frintn --> NULL. */
17994 case 787: value = 788; break; /* fneg --> fneg. */
17995 case 788: return NULL; /* fneg --> NULL. */
17996 case 796: value = 797; break; /* frintm --> frintm. */
17997 case 797: return NULL; /* frintm --> NULL. */
17998 case 785: value = 786; break; /* fabs --> fabs. */
17999 case 786: return NULL; /* fabs --> NULL. */
18000 case 794: value = 795; break; /* frintp --> frintp. */
18001 case 795: return NULL; /* frintp --> NULL. */
18002 case 789: value = 790; break; /* fsqrt --> fsqrt. */
18003 case 790: return NULL; /* fsqrt --> NULL. */
18004 case 798: value = 799; break; /* frintz --> frintz. */
18005 case 799: return NULL; /* frintz --> NULL. */
18006 case 800: value = 801; break; /* frinta --> frinta. */
18007 case 801: return NULL; /* frinta --> NULL. */
18008 case 802: value = 803; break; /* frintx --> frintx. */
18009 case 803: return NULL; /* frintx --> NULL. */
18010 case 804: value = 805; break; /* frinti --> frinti. */
18011 case 805: return NULL; /* frinti --> NULL. */
18012 case 775: value = 776; break; /* fcmp --> fcmp. */
18013 case 776: return NULL; /* fcmp --> NULL. */
18014 case 777: value = 778; break; /* fcmpe --> fcmpe. */
18015 case 778: return NULL; /* fcmpe --> NULL. */
18016 case 779: value = 780; break; /* fcmp --> fcmp. */
18017 case 780: return NULL; /* fcmp --> NULL. */
18018 case 781: value = 782; break; /* fcmpe --> fcmpe. */
18019 case 782: return NULL; /* fcmpe --> NULL. */
18020 case 832: value = 833; break; /* fmov --> fmov. */
18021 case 833: return NULL; /* fmov --> NULL. */
18022 case 806: value = 807; break; /* fmul --> fmul. */
18023 case 807: return NULL; /* fmul --> NULL. */
18024 case 822: value = 823; break; /* fnmul --> fnmul. */
18025 case 823: return NULL; /* fnmul --> NULL. */
18026 case 814: value = 815; break; /* fmax --> fmax. */
18027 case 815: return NULL; /* fmax --> NULL. */
18028 case 810: value = 811; break; /* fadd --> fadd. */
18029 case 811: return NULL; /* fadd --> NULL. */
18030 case 818: value = 819; break; /* fmaxnm --> fmaxnm. */
18031 case 819: return NULL; /* fmaxnm --> NULL. */
18032 case 808: value = 809; break; /* fdiv --> fdiv. */
18033 case 809: return NULL; /* fdiv --> NULL. */
18034 case 816: value = 817; break; /* fmin --> fmin. */
18035 case 817: return NULL; /* fmin --> NULL. */
18036 case 812: value = 813; break; /* fsub --> fsub. */
18037 case 813: return NULL; /* fsub --> NULL. */
18038 case 820: value = 821; break; /* fminnm --> fminnm. */
18039 case 821: return NULL; /* fminnm --> NULL. */
18040 case 771: value = 772; break; /* fccmp --> fccmp. */
18041 case 772: return NULL; /* fccmp --> NULL. */
18042 case 773: value = 774; break; /* fccmpe --> fccmpe. */
18043 case 774: return NULL; /* fccmpe --> NULL. */
18044 case 834: value = 835; break; /* fcsel --> fcsel. */
18045 case 835: return NULL; /* fcsel --> NULL. */
18046 case 130: value = 365; break; /* movi --> sshr. */
18047 case 365: value = 367; break; /* sshr --> srshr. */
18048 case 367: return NULL; /* srshr --> NULL. */
18049 case 138: value = 387; break; /* mvni --> ushr. */
18050 case 387: value = 389; break; /* ushr --> urshr. */
18051 case 389: value = 391; break; /* urshr --> sri. */
18052 case 391: value = 393; break; /* sri --> sqshlu. */
18053 case 393: return NULL; /* sqshlu --> NULL. */
18054 case 131: value = 366; break; /* orr --> ssra. */
18055 case 366: value = 368; break; /* ssra --> srsra. */
18056 case 368: value = 369; break; /* srsra --> shl. */
18057 case 369: value = 370; break; /* shl --> sqshl. */
18058 case 370: return NULL; /* sqshl --> NULL. */
18059 case 139: value = 388; break; /* bic --> usra. */
18060 case 388: value = 390; break; /* usra --> ursra. */
18061 case 390: value = 392; break; /* ursra --> sli. */
18062 case 392: value = 394; break; /* sli --> uqshl. */
18063 case 394: return NULL; /* uqshl --> NULL. */
18064 case 824: value = 825; break; /* fmadd --> fmadd. */
18065 case 825: return NULL; /* fmadd --> NULL. */
18066 case 828: value = 829; break; /* fnmadd --> fnmadd. */
18067 case 829: return NULL; /* fnmadd --> NULL. */
18068 case 132: value = 371; break; /* movi --> shrn. */
18069 case 371: value = 372; break; /* shrn --> shrn2. */
18070 case 372: value = 379; break; /* shrn2 --> sshll. */
18071 case 379: value = 381; break; /* sshll --> sshll2. */
18072 case 381: return NULL; /* sshll2 --> NULL. */
18073 case 140: value = 395; break; /* mvni --> sqshrun. */
18074 case 395: value = 396; break; /* sqshrun --> sqshrun2. */
18075 case 396: value = 403; break; /* sqshrun2 --> ushll. */
18076 case 403: value = 405; break; /* ushll --> ushll2. */
18077 case 405: return NULL; /* ushll2 --> NULL. */
18078 case 133: value = 375; break; /* orr --> sqshrn. */
18079 case 375: value = 376; break; /* sqshrn --> sqshrn2. */
18080 case 376: return NULL; /* sqshrn2 --> NULL. */
18081 case 141: value = 399; break; /* bic --> uqshrn. */
18082 case 399: value = 400; break; /* uqshrn --> uqshrn2. */
18083 case 400: return NULL; /* uqshrn2 --> NULL. */
18084 case 135: value = 383; break; /* movi --> scvtf. */
18085 case 383: value = 384; break; /* scvtf --> scvtf. */
18086 case 384: return NULL; /* scvtf --> NULL. */
18087 case 143: value = 144; break; /* movi --> movi. */
18088 case 144: value = 407; break; /* movi --> ucvtf. */
18089 case 407: value = 408; break; /* ucvtf --> ucvtf. */
18090 case 408: return NULL; /* ucvtf --> NULL. */
18091 case 137: value = 385; break; /* fmov --> fcvtzs. */
18092 case 385: value = 386; break; /* fcvtzs --> fcvtzs. */
18093 case 386: return NULL; /* fcvtzs --> NULL. */
18094 case 409: value = 410; break; /* fcvtzu --> fcvtzu. */
18095 case 410: return NULL; /* fcvtzu --> NULL. */
18096 case 826: value = 827; break; /* fmsub --> fmsub. */
18097 case 827: return NULL; /* fmsub --> NULL. */
18098 case 830: value = 831; break; /* fnmsub --> fnmsub. */
18099 case 831: return NULL; /* fnmsub --> NULL. */
18100 case 589: value = 590; break; /* scvtf --> scvtf. */
18101 case 590: return NULL; /* scvtf --> NULL. */
18102 case 591: value = 592; break; /* fcvtzs --> fcvtzs. */
18103 case 592: return NULL; /* fcvtzs --> NULL. */
18104 case 605: value = 606; break; /* ucvtf --> ucvtf. */
18105 case 606: return NULL; /* ucvtf --> NULL. */
18106 case 607: value = 608; break; /* fcvtzu --> fcvtzu. */
18107 case 608: return NULL; /* fcvtzu --> NULL. */
18108 default: return NULL;
18109 }
18110
18111 return aarch64_opcode_table + value;
18112 }
18113
18114 const aarch64_opcode *
18115 aarch64_find_alias_opcode (const aarch64_opcode *opcode)
18116 {
18117 /* Use the index as the key to locate the alias opcode. */
18118 int key = opcode - aarch64_opcode_table;
18119 int value;
18120 switch (key)
18121 {
18122 case 2: value = 3; break; /* sbc --> ngc. */
18123 case 4: value = 5; break; /* sbcs --> ngcs. */
18124 case 7: value = 8; break; /* adds --> cmn. */
18125 case 10: value = 11; break; /* subs --> cmp. */
18126 case 12: value = 13; break; /* add --> mov. */
18127 case 14: value = 15; break; /* adds --> cmn. */
18128 case 17: value = 18; break; /* subs --> cmp. */
18129 case 20: value = 21; break; /* adds --> cmn. */
18130 case 22: value = 23; break; /* sub --> neg. */
18131 case 24: value = 26; break; /* subs --> negs. */
18132 case 149: value = 150; break; /* umov --> mov. */
18133 case 151: value = 152; break; /* ins --> mov. */
18134 case 153: value = 154; break; /* ins --> mov. */
18135 case 235: value = 236; break; /* not --> mvn. */
18136 case 310: value = 311; break; /* orr --> mov. */
18137 case 379: value = 380; break; /* sshll --> sxtl. */
18138 case 381: value = 382; break; /* sshll2 --> sxtl2. */
18139 case 403: value = 404; break; /* ushll --> uxtl. */
18140 case 405: value = 406; break; /* ushll2 --> uxtl2. */
18141 case 526: value = 527; break; /* dup --> mov. */
18142 case 609: value = 614; break; /* sbfm --> sxtw. */
18143 case 616: value = 618; break; /* bfm --> bfc. */
18144 case 620: value = 624; break; /* ubfm --> uxth. */
18145 case 642: value = 644; break; /* csinc --> cset. */
18146 case 645: value = 647; break; /* csinv --> csetm. */
18147 case 648: value = 649; break; /* csneg --> cneg. */
18148 case 667: value = 667; break; /* rev --> rev. */
18149 case 692: value = 693; break; /* lslv --> lsl. */
18150 case 694: value = 695; break; /* lsrv --> lsr. */
18151 case 696: value = 697; break; /* asrv --> asr. */
18152 case 698: value = 699; break; /* rorv --> ror. */
18153 case 709: value = 710; break; /* madd --> mul. */
18154 case 711: value = 712; break; /* msub --> mneg. */
18155 case 713: value = 714; break; /* smaddl --> smull. */
18156 case 715: value = 716; break; /* smsubl --> smnegl. */
18157 case 718: value = 719; break; /* umaddl --> umull. */
18158 case 720: value = 721; break; /* umsubl --> umnegl. */
18159 case 731: value = 732; break; /* extr --> ror. */
18160 case 938: value = 939; break; /* and --> bic. */
18161 case 940: value = 941; break; /* orr --> mov. */
18162 case 943: value = 944; break; /* ands --> tst. */
18163 case 947: value = 949; break; /* orr --> uxtw. */
18164 case 950: value = 951; break; /* orn --> mvn. */
18165 case 954: value = 955; break; /* ands --> tst. */
18166 case 985: value = 1081; break; /* ldaddb --> staddb. */
18167 case 986: value = 1082; break; /* ldaddh --> staddh. */
18168 case 987: value = 1083; break; /* ldadd --> stadd. */
18169 case 989: value = 1084; break; /* ldaddlb --> staddlb. */
18170 case 992: value = 1085; break; /* ldaddlh --> staddlh. */
18171 case 995: value = 1086; break; /* ldaddl --> staddl. */
18172 case 997: value = 1087; break; /* ldclrb --> stclrb. */
18173 case 998: value = 1088; break; /* ldclrh --> stclrh. */
18174 case 999: value = 1089; break; /* ldclr --> stclr. */
18175 case 1001: value = 1090; break; /* ldclrlb --> stclrlb. */
18176 case 1004: value = 1091; break; /* ldclrlh --> stclrlh. */
18177 case 1007: value = 1092; break; /* ldclrl --> stclrl. */
18178 case 1009: value = 1093; break; /* ldeorb --> steorb. */
18179 case 1010: value = 1094; break; /* ldeorh --> steorh. */
18180 case 1011: value = 1095; break; /* ldeor --> steor. */
18181 case 1013: value = 1096; break; /* ldeorlb --> steorlb. */
18182 case 1016: value = 1097; break; /* ldeorlh --> steorlh. */
18183 case 1019: value = 1098; break; /* ldeorl --> steorl. */
18184 case 1021: value = 1099; break; /* ldsetb --> stsetb. */
18185 case 1022: value = 1100; break; /* ldseth --> stseth. */
18186 case 1023: value = 1101; break; /* ldset --> stset. */
18187 case 1025: value = 1102; break; /* ldsetlb --> stsetlb. */
18188 case 1028: value = 1103; break; /* ldsetlh --> stsetlh. */
18189 case 1031: value = 1104; break; /* ldsetl --> stsetl. */
18190 case 1033: value = 1105; break; /* ldsmaxb --> stsmaxb. */
18191 case 1034: value = 1106; break; /* ldsmaxh --> stsmaxh. */
18192 case 1035: value = 1107; break; /* ldsmax --> stsmax. */
18193 case 1037: value = 1108; break; /* ldsmaxlb --> stsmaxlb. */
18194 case 1040: value = 1109; break; /* ldsmaxlh --> stsmaxlh. */
18195 case 1043: value = 1110; break; /* ldsmaxl --> stsmaxl. */
18196 case 1045: value = 1111; break; /* ldsminb --> stsminb. */
18197 case 1046: value = 1112; break; /* ldsminh --> stsminh. */
18198 case 1047: value = 1113; break; /* ldsmin --> stsmin. */
18199 case 1049: value = 1114; break; /* ldsminlb --> stsminlb. */
18200 case 1052: value = 1115; break; /* ldsminlh --> stsminlh. */
18201 case 1055: value = 1116; break; /* ldsminl --> stsminl. */
18202 case 1057: value = 1117; break; /* ldumaxb --> stumaxb. */
18203 case 1058: value = 1118; break; /* ldumaxh --> stumaxh. */
18204 case 1059: value = 1119; break; /* ldumax --> stumax. */
18205 case 1061: value = 1120; break; /* ldumaxlb --> stumaxlb. */
18206 case 1064: value = 1121; break; /* ldumaxlh --> stumaxlh. */
18207 case 1067: value = 1122; break; /* ldumaxl --> stumaxl. */
18208 case 1069: value = 1123; break; /* lduminb --> stuminb. */
18209 case 1070: value = 1124; break; /* lduminh --> stuminh. */
18210 case 1071: value = 1125; break; /* ldumin --> stumin. */
18211 case 1073: value = 1126; break; /* lduminlb --> stuminlb. */
18212 case 1076: value = 1127; break; /* lduminlh --> stuminlh. */
18213 case 1079: value = 1128; break; /* lduminl --> stuminl. */
18214 case 1129: value = 1130; break; /* movn --> mov. */
18215 case 1131: value = 1132; break; /* movz --> mov. */
18216 case 1137: value = 1170; break; /* hint --> autibsp. */
18217 case 1155: value = 1159; break; /* sys --> tlbi. */
18218 case 1218: value = 1913; break; /* and --> bic. */
18219 case 1220: value = 1201; break; /* and --> mov. */
18220 case 1221: value = 1205; break; /* ands --> movs. */
18221 case 1256: value = 1914; break; /* cmpge --> cmple. */
18222 case 1259: value = 1917; break; /* cmpgt --> cmplt. */
18223 case 1261: value = 1915; break; /* cmphi --> cmplo. */
18224 case 1264: value = 1916; break; /* cmphs --> cmpls. */
18225 case 1286: value = 1198; break; /* cpy --> mov. */
18226 case 1287: value = 1200; break; /* cpy --> mov. */
18227 case 1288: value = 1924; break; /* cpy --> fmov. */
18228 case 1300: value = 1193; break; /* dup --> mov. */
18229 case 1301: value = 1195; break; /* dup --> mov. */
18230 case 1302: value = 1923; break; /* dup --> fmov. */
18231 case 1303: value = 1196; break; /* dupm --> mov. */
18232 case 1305: value = 1918; break; /* eor --> eon. */
18233 case 1307: value = 1206; break; /* eor --> not. */
18234 case 1308: value = 1207; break; /* eors --> nots. */
18235 case 1313: value = 1919; break; /* facge --> facle. */
18236 case 1314: value = 1920; break; /* facgt --> faclt. */
18237 case 1323: value = 1921; break; /* fcmge --> fcmle. */
18238 case 1325: value = 1922; break; /* fcmgt --> fcmlt. */
18239 case 1331: value = 1190; break; /* fcpy --> fmov. */
18240 case 1348: value = 1189; break; /* fdup --> fmov. */
18241 case 1646: value = 1191; break; /* orr --> mov. */
18242 case 1647: value = 1925; break; /* orr --> orn. */
18243 case 1649: value = 1194; break; /* orr --> mov. */
18244 case 1650: value = 1204; break; /* orrs --> movs. */
18245 case 1706: value = 1199; break; /* sel --> mov. */
18246 case 1707: value = 1202; break; /* sel --> mov. */
18247 default: return NULL;
18248 }
18249
18250 return aarch64_opcode_table + value;
18251 }
18252
18253 const aarch64_opcode *
18254 aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
18255 {
18256 /* Use the index as the key to locate the next opcode. */
18257 int key = opcode - aarch64_opcode_table;
18258 int value;
18259 switch (key)
18260 {
18261 case 3: value = 2; break; /* ngc --> sbc. */
18262 case 5: value = 4; break; /* ngcs --> sbcs. */
18263 case 8: value = 7; break; /* cmn --> adds. */
18264 case 11: value = 10; break; /* cmp --> subs. */
18265 case 13: value = 12; break; /* mov --> add. */
18266 case 15: value = 14; break; /* cmn --> adds. */
18267 case 18: value = 17; break; /* cmp --> subs. */
18268 case 21: value = 20; break; /* cmn --> adds. */
18269 case 23: value = 22; break; /* neg --> sub. */
18270 case 26: value = 25; break; /* negs --> cmp. */
18271 case 25: value = 24; break; /* cmp --> subs. */
18272 case 150: value = 149; break; /* mov --> umov. */
18273 case 152: value = 151; break; /* mov --> ins. */
18274 case 154: value = 153; break; /* mov --> ins. */
18275 case 236: value = 235; break; /* mvn --> not. */
18276 case 311: value = 310; break; /* mov --> orr. */
18277 case 380: value = 379; break; /* sxtl --> sshll. */
18278 case 382: value = 381; break; /* sxtl2 --> sshll2. */
18279 case 404: value = 403; break; /* uxtl --> ushll. */
18280 case 406: value = 405; break; /* uxtl2 --> ushll2. */
18281 case 527: value = 526; break; /* mov --> dup. */
18282 case 614: value = 613; break; /* sxtw --> sxth. */
18283 case 613: value = 612; break; /* sxth --> sxtb. */
18284 case 612: value = 615; break; /* sxtb --> asr. */
18285 case 615: value = 611; break; /* asr --> sbfx. */
18286 case 611: value = 610; break; /* sbfx --> sbfiz. */
18287 case 610: value = 609; break; /* sbfiz --> sbfm. */
18288 case 618: value = 619; break; /* bfc --> bfxil. */
18289 case 619: value = 617; break; /* bfxil --> bfi. */
18290 case 617: value = 616; break; /* bfi --> bfm. */
18291 case 624: value = 623; break; /* uxth --> uxtb. */
18292 case 623: value = 626; break; /* uxtb --> lsr. */
18293 case 626: value = 625; break; /* lsr --> lsl. */
18294 case 625: value = 622; break; /* lsl --> ubfx. */
18295 case 622: value = 621; break; /* ubfx --> ubfiz. */
18296 case 621: value = 620; break; /* ubfiz --> ubfm. */
18297 case 644: value = 643; break; /* cset --> cinc. */
18298 case 643: value = 642; break; /* cinc --> csinc. */
18299 case 647: value = 646; break; /* csetm --> cinv. */
18300 case 646: value = 645; break; /* cinv --> csinv. */
18301 case 649: value = 648; break; /* cneg --> csneg. */
18302 case 667: value = 668; break; /* rev --> rev64. */
18303 case 693: value = 692; break; /* lsl --> lslv. */
18304 case 695: value = 694; break; /* lsr --> lsrv. */
18305 case 697: value = 696; break; /* asr --> asrv. */
18306 case 699: value = 698; break; /* ror --> rorv. */
18307 case 710: value = 709; break; /* mul --> madd. */
18308 case 712: value = 711; break; /* mneg --> msub. */
18309 case 714: value = 713; break; /* smull --> smaddl. */
18310 case 716: value = 715; break; /* smnegl --> smsubl. */
18311 case 719: value = 718; break; /* umull --> umaddl. */
18312 case 721: value = 720; break; /* umnegl --> umsubl. */
18313 case 732: value = 731; break; /* ror --> extr. */
18314 case 939: value = 938; break; /* bic --> and. */
18315 case 941: value = 940; break; /* mov --> orr. */
18316 case 944: value = 943; break; /* tst --> ands. */
18317 case 949: value = 948; break; /* uxtw --> mov. */
18318 case 948: value = 947; break; /* mov --> orr. */
18319 case 951: value = 950; break; /* mvn --> orn. */
18320 case 955: value = 954; break; /* tst --> ands. */
18321 case 1081: value = 985; break; /* staddb --> ldaddb. */
18322 case 1082: value = 986; break; /* staddh --> ldaddh. */
18323 case 1083: value = 987; break; /* stadd --> ldadd. */
18324 case 1084: value = 989; break; /* staddlb --> ldaddlb. */
18325 case 1085: value = 992; break; /* staddlh --> ldaddlh. */
18326 case 1086: value = 995; break; /* staddl --> ldaddl. */
18327 case 1087: value = 997; break; /* stclrb --> ldclrb. */
18328 case 1088: value = 998; break; /* stclrh --> ldclrh. */
18329 case 1089: value = 999; break; /* stclr --> ldclr. */
18330 case 1090: value = 1001; break; /* stclrlb --> ldclrlb. */
18331 case 1091: value = 1004; break; /* stclrlh --> ldclrlh. */
18332 case 1092: value = 1007; break; /* stclrl --> ldclrl. */
18333 case 1093: value = 1009; break; /* steorb --> ldeorb. */
18334 case 1094: value = 1010; break; /* steorh --> ldeorh. */
18335 case 1095: value = 1011; break; /* steor --> ldeor. */
18336 case 1096: value = 1013; break; /* steorlb --> ldeorlb. */
18337 case 1097: value = 1016; break; /* steorlh --> ldeorlh. */
18338 case 1098: value = 1019; break; /* steorl --> ldeorl. */
18339 case 1099: value = 1021; break; /* stsetb --> ldsetb. */
18340 case 1100: value = 1022; break; /* stseth --> ldseth. */
18341 case 1101: value = 1023; break; /* stset --> ldset. */
18342 case 1102: value = 1025; break; /* stsetlb --> ldsetlb. */
18343 case 1103: value = 1028; break; /* stsetlh --> ldsetlh. */
18344 case 1104: value = 1031; break; /* stsetl --> ldsetl. */
18345 case 1105: value = 1033; break; /* stsmaxb --> ldsmaxb. */
18346 case 1106: value = 1034; break; /* stsmaxh --> ldsmaxh. */
18347 case 1107: value = 1035; break; /* stsmax --> ldsmax. */
18348 case 1108: value = 1037; break; /* stsmaxlb --> ldsmaxlb. */
18349 case 1109: value = 1040; break; /* stsmaxlh --> ldsmaxlh. */
18350 case 1110: value = 1043; break; /* stsmaxl --> ldsmaxl. */
18351 case 1111: value = 1045; break; /* stsminb --> ldsminb. */
18352 case 1112: value = 1046; break; /* stsminh --> ldsminh. */
18353 case 1113: value = 1047; break; /* stsmin --> ldsmin. */
18354 case 1114: value = 1049; break; /* stsminlb --> ldsminlb. */
18355 case 1115: value = 1052; break; /* stsminlh --> ldsminlh. */
18356 case 1116: value = 1055; break; /* stsminl --> ldsminl. */
18357 case 1117: value = 1057; break; /* stumaxb --> ldumaxb. */
18358 case 1118: value = 1058; break; /* stumaxh --> ldumaxh. */
18359 case 1119: value = 1059; break; /* stumax --> ldumax. */
18360 case 1120: value = 1061; break; /* stumaxlb --> ldumaxlb. */
18361 case 1121: value = 1064; break; /* stumaxlh --> ldumaxlh. */
18362 case 1122: value = 1067; break; /* stumaxl --> ldumaxl. */
18363 case 1123: value = 1069; break; /* stuminb --> lduminb. */
18364 case 1124: value = 1070; break; /* stuminh --> lduminh. */
18365 case 1125: value = 1071; break; /* stumin --> ldumin. */
18366 case 1126: value = 1073; break; /* stuminlb --> lduminlb. */
18367 case 1127: value = 1076; break; /* stuminlh --> lduminlh. */
18368 case 1128: value = 1079; break; /* stuminl --> lduminl. */
18369 case 1130: value = 1129; break; /* mov --> movn. */
18370 case 1132: value = 1131; break; /* mov --> movz. */
18371 case 1170: value = 1169; break; /* autibsp --> autibz. */
18372 case 1169: value = 1168; break; /* autibz --> autiasp. */
18373 case 1168: value = 1167; break; /* autiasp --> autiaz. */
18374 case 1167: value = 1166; break; /* autiaz --> pacibsp. */
18375 case 1166: value = 1165; break; /* pacibsp --> pacibz. */
18376 case 1165: value = 1164; break; /* pacibz --> paciasp. */
18377 case 1164: value = 1163; break; /* paciasp --> paciaz. */
18378 case 1163: value = 1150; break; /* paciaz --> psb. */
18379 case 1150: value = 1149; break; /* psb --> esb. */
18380 case 1149: value = 1148; break; /* esb --> autib1716. */
18381 case 1148: value = 1147; break; /* autib1716 --> autia1716. */
18382 case 1147: value = 1146; break; /* autia1716 --> pacib1716. */
18383 case 1146: value = 1145; break; /* pacib1716 --> pacia1716. */
18384 case 1145: value = 1144; break; /* pacia1716 --> xpaclri. */
18385 case 1144: value = 1143; break; /* xpaclri --> sevl. */
18386 case 1143: value = 1142; break; /* sevl --> sev. */
18387 case 1142: value = 1141; break; /* sev --> wfi. */
18388 case 1141: value = 1140; break; /* wfi --> wfe. */
18389 case 1140: value = 1139; break; /* wfe --> yield. */
18390 case 1139: value = 1138; break; /* yield --> nop. */
18391 case 1138: value = 1137; break; /* nop --> hint. */
18392 case 1159: value = 1158; break; /* tlbi --> ic. */
18393 case 1158: value = 1157; break; /* ic --> dc. */
18394 case 1157: value = 1156; break; /* dc --> at. */
18395 case 1156: value = 1155; break; /* at --> sys. */
18396 case 1913: value = 1218; break; /* bic --> and. */
18397 case 1201: value = 1220; break; /* mov --> and. */
18398 case 1205: value = 1221; break; /* movs --> ands. */
18399 case 1914: value = 1256; break; /* cmple --> cmpge. */
18400 case 1917: value = 1259; break; /* cmplt --> cmpgt. */
18401 case 1915: value = 1261; break; /* cmplo --> cmphi. */
18402 case 1916: value = 1264; break; /* cmpls --> cmphs. */
18403 case 1198: value = 1286; break; /* mov --> cpy. */
18404 case 1200: value = 1287; break; /* mov --> cpy. */
18405 case 1924: value = 1203; break; /* fmov --> mov. */
18406 case 1203: value = 1288; break; /* mov --> cpy. */
18407 case 1193: value = 1300; break; /* mov --> dup. */
18408 case 1195: value = 1192; break; /* mov --> mov. */
18409 case 1192: value = 1301; break; /* mov --> dup. */
18410 case 1923: value = 1197; break; /* fmov --> mov. */
18411 case 1197: value = 1302; break; /* mov --> dup. */
18412 case 1196: value = 1303; break; /* mov --> dupm. */
18413 case 1918: value = 1305; break; /* eon --> eor. */
18414 case 1206: value = 1307; break; /* not --> eor. */
18415 case 1207: value = 1308; break; /* nots --> eors. */
18416 case 1919: value = 1313; break; /* facle --> facge. */
18417 case 1920: value = 1314; break; /* faclt --> facgt. */
18418 case 1921: value = 1323; break; /* fcmle --> fcmge. */
18419 case 1922: value = 1325; break; /* fcmlt --> fcmgt. */
18420 case 1190: value = 1331; break; /* fmov --> fcpy. */
18421 case 1189: value = 1348; break; /* fmov --> fdup. */
18422 case 1191: value = 1646; break; /* mov --> orr. */
18423 case 1925: value = 1647; break; /* orn --> orr. */
18424 case 1194: value = 1649; break; /* mov --> orr. */
18425 case 1204: value = 1650; break; /* movs --> orrs. */
18426 case 1199: value = 1706; break; /* mov --> sel. */
18427 case 1202: value = 1707; break; /* mov --> sel. */
18428 default: return NULL;
18429 }
18430
18431 return aarch64_opcode_table + value;
18432 }
18433
18434 int
18435 aarch64_extract_operand (const aarch64_operand *self,
18436 aarch64_opnd_info *info,
18437 aarch64_insn code, const aarch64_inst *inst)
18438 {
18439 /* Use the index as the key. */
18440 int key = self - aarch64_operands;
18441 switch (key)
18442 {
18443 case 1:
18444 case 2:
18445 case 3:
18446 case 4:
18447 case 5:
18448 case 6:
18449 case 7:
18450 case 9:
18451 case 10:
18452 case 11:
18453 case 15:
18454 case 16:
18455 case 17:
18456 case 18:
18457 case 20:
18458 case 21:
18459 case 22:
18460 case 23:
18461 case 24:
18462 case 25:
18463 case 26:
18464 case 27:
18465 case 28:
18466 case 36:
18467 case 37:
18468 case 140:
18469 case 141:
18470 case 142:
18471 case 143:
18472 case 144:
18473 case 145:
18474 case 146:
18475 case 147:
18476 case 148:
18477 case 149:
18478 case 162:
18479 case 163:
18480 case 164:
18481 case 165:
18482 case 166:
18483 case 167:
18484 case 168:
18485 case 169:
18486 case 170:
18487 case 171:
18488 case 174:
18489 return aarch64_ext_regno (self, info, code, inst);
18490 case 8:
18491 return aarch64_ext_regrt_sysins (self, info, code, inst);
18492 case 12:
18493 return aarch64_ext_regno_pair (self, info, code, inst);
18494 case 13:
18495 return aarch64_ext_reg_extended (self, info, code, inst);
18496 case 14:
18497 return aarch64_ext_reg_shifted (self, info, code, inst);
18498 case 19:
18499 return aarch64_ext_ft (self, info, code, inst);
18500 case 29:
18501 case 30:
18502 case 31:
18503 return aarch64_ext_reglane (self, info, code, inst);
18504 case 32:
18505 return aarch64_ext_reglist (self, info, code, inst);
18506 case 33:
18507 return aarch64_ext_ldst_reglist (self, info, code, inst);
18508 case 34:
18509 return aarch64_ext_ldst_reglist_r (self, info, code, inst);
18510 case 35:
18511 return aarch64_ext_ldst_elemlist (self, info, code, inst);
18512 case 38:
18513 case 48:
18514 case 49:
18515 case 50:
18516 case 51:
18517 case 52:
18518 case 53:
18519 case 54:
18520 case 55:
18521 case 56:
18522 case 57:
18523 case 58:
18524 case 59:
18525 case 60:
18526 case 68:
18527 case 69:
18528 case 70:
18529 case 71:
18530 case 72:
18531 case 137:
18532 case 139:
18533 case 154:
18534 case 155:
18535 case 156:
18536 case 157:
18537 case 158:
18538 case 159:
18539 case 160:
18540 case 161:
18541 return aarch64_ext_imm (self, info, code, inst);
18542 case 39:
18543 case 40:
18544 return aarch64_ext_advsimd_imm_shift (self, info, code, inst);
18545 case 41:
18546 case 42:
18547 case 43:
18548 return aarch64_ext_advsimd_imm_modified (self, info, code, inst);
18549 case 44:
18550 return aarch64_ext_shll_imm (self, info, code, inst);
18551 case 47:
18552 case 130:
18553 return aarch64_ext_fpimm (self, info, code, inst);
18554 case 61:
18555 case 135:
18556 return aarch64_ext_limm (self, info, code, inst);
18557 case 62:
18558 return aarch64_ext_aimm (self, info, code, inst);
18559 case 63:
18560 return aarch64_ext_imm_half (self, info, code, inst);
18561 case 64:
18562 return aarch64_ext_fbits (self, info, code, inst);
18563 case 66:
18564 case 67:
18565 return aarch64_ext_cond (self, info, code, inst);
18566 case 73:
18567 case 79:
18568 return aarch64_ext_addr_simple (self, info, code, inst);
18569 case 74:
18570 return aarch64_ext_addr_regoff (self, info, code, inst);
18571 case 75:
18572 case 76:
18573 case 77:
18574 return aarch64_ext_addr_simm (self, info, code, inst);
18575 case 78:
18576 return aarch64_ext_addr_uimm12 (self, info, code, inst);
18577 case 80:
18578 return aarch64_ext_simd_addr_post (self, info, code, inst);
18579 case 81:
18580 return aarch64_ext_sysreg (self, info, code, inst);
18581 case 82:
18582 return aarch64_ext_pstatefield (self, info, code, inst);
18583 case 83:
18584 case 84:
18585 case 85:
18586 case 86:
18587 return aarch64_ext_sysins_op (self, info, code, inst);
18588 case 87:
18589 case 88:
18590 return aarch64_ext_barrier (self, info, code, inst);
18591 case 89:
18592 return aarch64_ext_prfop (self, info, code, inst);
18593 case 90:
18594 return aarch64_ext_hint (self, info, code, inst);
18595 case 91:
18596 case 92:
18597 case 93:
18598 case 94:
18599 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst);
18600 case 95:
18601 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst);
18602 case 96:
18603 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst);
18604 case 97:
18605 case 98:
18606 case 99:
18607 case 100:
18608 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst);
18609 case 101:
18610 case 102:
18611 case 103:
18612 case 104:
18613 case 105:
18614 case 106:
18615 case 107:
18616 case 108:
18617 case 109:
18618 case 110:
18619 case 111:
18620 case 112:
18621 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst);
18622 case 113:
18623 case 114:
18624 case 115:
18625 case 116:
18626 case 117:
18627 case 118:
18628 case 119:
18629 case 120:
18630 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst);
18631 case 121:
18632 case 122:
18633 case 123:
18634 case 124:
18635 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst);
18636 case 125:
18637 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst);
18638 case 126:
18639 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst);
18640 case 127:
18641 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst);
18642 case 128:
18643 return aarch64_ext_sve_aimm (self, info, code, inst);
18644 case 129:
18645 return aarch64_ext_sve_asimm (self, info, code, inst);
18646 case 131:
18647 return aarch64_ext_sve_float_half_one (self, info, code, inst);
18648 case 132:
18649 return aarch64_ext_sve_float_half_two (self, info, code, inst);
18650 case 133:
18651 return aarch64_ext_sve_float_zero_one (self, info, code, inst);
18652 case 134:
18653 return aarch64_ext_inv_limm (self, info, code, inst);
18654 case 136:
18655 return aarch64_ext_sve_limm_mov (self, info, code, inst);
18656 case 138:
18657 return aarch64_ext_sve_scale (self, info, code, inst);
18658 case 150:
18659 case 151:
18660 return aarch64_ext_sve_shlimm (self, info, code, inst);
18661 case 152:
18662 case 153:
18663 return aarch64_ext_sve_shrimm (self, info, code, inst);
18664 case 172:
18665 return aarch64_ext_sve_index (self, info, code, inst);
18666 case 173:
18667 case 175:
18668 return aarch64_ext_sve_reglist (self, info, code, inst);
18669 default: assert (0); abort ();
18670 }
18671 }
This page took 0.402496 seconds and 3 git commands to generate.