[AArch64] Add ARMv8.3 combined pointer authentication branch instructions
[deliverable/binutils-gdb.git] / opcodes / aarch64-dis-2.c
1 /* This file is automatically generated by aarch64-gen. Do not edit! */
2 /* Copyright (C) 2012-2016 Free Software Foundation, Inc.
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21 #include "sysdep.h"
22 #include "aarch64-dis.h"
23
24 /* Called by aarch64_opcode_lookup. */
25
26 static int
27 aarch64_opcode_lookup_1 (uint32_t word)
28 {
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
41 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx0
42 adr. */
43 return 1146;
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
49 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx1
50 adrp. */
51 return 1147;
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
62 xxxxxxxxxxxxxxxxxxxxxxxx1000x00x
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
70 xxxxxxxxxxxxxxxxxxxxxxxx1000x01x
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
81 xxxxxxxxxxxxxxxxxxxxxxxx1000x10x
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
89 xxxxxxxxxxxxxxxxxxxxxxxx1000x11x
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
116 xxxxxxxxxxxxxxx0xxxxx000x0010000
117 stxrb. */
118 return 904;
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
124 xxxxxxxxxxxxxxx0xxxxx000x0010010
125 stxrh. */
126 return 910;
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
133 xxxxxxxxxxxxxxx0xxxxx000x00100x1
134 stxr. */
135 return 916;
136 }
137 }
138 else
139 {
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
144 xxxxxxxxxxxxxxx0xxxxx100x00100x0
145 casp. */
146 return 981;
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
152 xxxxxxxxxxxxxxx0xxxxx100x00100x1
153 stxp. */
154 return 918;
155 }
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
168 xxxxxxxxxxxxxxx1xxxxx000x0010000
169 stlxrb. */
170 return 905;
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
176 xxxxxxxxxxxxxxx1xxxxx000x0010010
177 stlxrh. */
178 return 911;
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
185 xxxxxxxxxxxxxxx1xxxxx000x00100x1
186 stlxr. */
187 return 917;
188 }
189 }
190 else
191 {
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
196 xxxxxxxxxxxxxxx1xxxxx100x00100x0
197 caspl. */
198 return 983;
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
204 xxxxxxxxxxxxxxx1xxxxx100x00100x1
205 stlxp. */
206 return 919;
207 }
208 }
209 }
210 }
211 else
212 {
213 /* 33222222222211111111110000000000
214 10987654321098765432109876543210
215 xxxxxxxxxxxxxxxxxxxxxx00x00101xx
216 stnp. */
217 return 932;
218 }
219 }
220 else
221 {
222 if (((word >> 29) & 0x1) == 0)
223 {
224 if (((word >> 15) & 0x1) == 0)
225 {
226 if (((word >> 21) & 0x1) == 0)
227 {
228 if (((word >> 31) & 0x1) == 0)
229 {
230 /* 33222222222211111111110000000000
231 10987654321098765432109876543210
232 xxxxxxxxxxxxxxx0xxxxx001x00100x0
233 stllrb. */
234 return 930;
235 }
236 else
237 {
238 /* 33222222222211111111110000000000
239 10987654321098765432109876543210
240 xxxxxxxxxxxxxxx0xxxxx001x00100x1
241 stllr. */
242 return 929;
243 }
244 }
245 else
246 {
247 if (((word >> 31) & 0x1) == 0)
248 {
249 if (((word >> 30) & 0x1) == 0)
250 {
251 /* 33222222222211111111110000000000
252 10987654321098765432109876543210
253 xxxxxxxxxxxxxxx0xxxxx101x0010000
254 casb. */
255 return 969;
256 }
257 else
258 {
259 /* 33222222222211111111110000000000
260 10987654321098765432109876543210
261 xxxxxxxxxxxxxxx0xxxxx101x0010010
262 cash. */
263 return 970;
264 }
265 }
266 else
267 {
268 /* 33222222222211111111110000000000
269 10987654321098765432109876543210
270 xxxxxxxxxxxxxxx0xxxxx101x00100x1
271 cas. */
272 return 971;
273 }
274 }
275 }
276 else
277 {
278 if (((word >> 21) & 0x1) == 0)
279 {
280 if (((word >> 31) & 0x1) == 0)
281 {
282 if (((word >> 30) & 0x1) == 0)
283 {
284 /* 33222222222211111111110000000000
285 10987654321098765432109876543210
286 xxxxxxxxxxxxxxx1xxxxx001x0010000
287 stlrb. */
288 return 908;
289 }
290 else
291 {
292 /* 33222222222211111111110000000000
293 10987654321098765432109876543210
294 xxxxxxxxxxxxxxx1xxxxx001x0010010
295 stlrh. */
296 return 914;
297 }
298 }
299 else
300 {
301 /* 33222222222211111111110000000000
302 10987654321098765432109876543210
303 xxxxxxxxxxxxxxx1xxxxx001x00100x1
304 stlr. */
305 return 924;
306 }
307 }
308 else
309 {
310 if (((word >> 31) & 0x1) == 0)
311 {
312 if (((word >> 30) & 0x1) == 0)
313 {
314 /* 33222222222211111111110000000000
315 10987654321098765432109876543210
316 xxxxxxxxxxxxxxx1xxxxx101x0010000
317 caslb. */
318 return 973;
319 }
320 else
321 {
322 /* 33222222222211111111110000000000
323 10987654321098765432109876543210
324 xxxxxxxxxxxxxxx1xxxxx101x0010010
325 caslh. */
326 return 976;
327 }
328 }
329 else
330 {
331 /* 33222222222211111111110000000000
332 10987654321098765432109876543210
333 xxxxxxxxxxxxxxx1xxxxx101x00100x1
334 casl. */
335 return 979;
336 }
337 }
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
344 xxxxxxxxxxxxxxxxxxxxxx01x00101xx
345 stp. */
346 return 941;
347 }
348 }
349 }
350 else
351 {
352 if (((word >> 23) & 0x1) == 0)
353 {
354 if (((word >> 29) & 0x1) == 0)
355 {
356 if (((word >> 15) & 0x1) == 0)
357 {
358 if (((word >> 21) & 0x1) == 0)
359 {
360 if (((word >> 31) & 0x1) == 0)
361 {
362 if (((word >> 30) & 0x1) == 0)
363 {
364 /* 33222222222211111111110000000000
365 10987654321098765432109876543210
366 xxxxxxxxxxxxxxx0xxxxx010x0010000
367 ldxrb. */
368 return 906;
369 }
370 else
371 {
372 /* 33222222222211111111110000000000
373 10987654321098765432109876543210
374 xxxxxxxxxxxxxxx0xxxxx010x0010010
375 ldxrh. */
376 return 912;
377 }
378 }
379 else
380 {
381 /* 33222222222211111111110000000000
382 10987654321098765432109876543210
383 xxxxxxxxxxxxxxx0xxxxx010x00100x1
384 ldxr. */
385 return 920;
386 }
387 }
388 else
389 {
390 if (((word >> 31) & 0x1) == 0)
391 {
392 /* 33222222222211111111110000000000
393 10987654321098765432109876543210
394 xxxxxxxxxxxxxxx0xxxxx110x00100x0
395 caspa. */
396 return 982;
397 }
398 else
399 {
400 /* 33222222222211111111110000000000
401 10987654321098765432109876543210
402 xxxxxxxxxxxxxxx0xxxxx110x00100x1
403 ldxp. */
404 return 922;
405 }
406 }
407 }
408 else
409 {
410 if (((word >> 21) & 0x1) == 0)
411 {
412 if (((word >> 31) & 0x1) == 0)
413 {
414 if (((word >> 30) & 0x1) == 0)
415 {
416 /* 33222222222211111111110000000000
417 10987654321098765432109876543210
418 xxxxxxxxxxxxxxx1xxxxx010x0010000
419 ldaxrb. */
420 return 907;
421 }
422 else
423 {
424 /* 33222222222211111111110000000000
425 10987654321098765432109876543210
426 xxxxxxxxxxxxxxx1xxxxx010x0010010
427 ldaxrh. */
428 return 913;
429 }
430 }
431 else
432 {
433 /* 33222222222211111111110000000000
434 10987654321098765432109876543210
435 xxxxxxxxxxxxxxx1xxxxx010x00100x1
436 ldaxr. */
437 return 921;
438 }
439 }
440 else
441 {
442 if (((word >> 31) & 0x1) == 0)
443 {
444 /* 33222222222211111111110000000000
445 10987654321098765432109876543210
446 xxxxxxxxxxxxxxx1xxxxx110x00100x0
447 caspal. */
448 return 984;
449 }
450 else
451 {
452 /* 33222222222211111111110000000000
453 10987654321098765432109876543210
454 xxxxxxxxxxxxxxx1xxxxx110x00100x1
455 ldaxp. */
456 return 923;
457 }
458 }
459 }
460 }
461 else
462 {
463 if (((word >> 30) & 0x1) == 0)
464 {
465 /* 33222222222211111111110000000000
466 10987654321098765432109876543210
467 xxxxxxxxxxxxxxxxxxxxxx10x001010x
468 ldnp. */
469 return 933;
470 }
471 else
472 {
473 /* 33222222222211111111110000000000
474 10987654321098765432109876543210
475 xxxxxxxxxxxxxxxxxxxxxx10x001011x
476 ldpsw. */
477 return 940;
478 }
479 }
480 }
481 else
482 {
483 if (((word >> 29) & 0x1) == 0)
484 {
485 if (((word >> 15) & 0x1) == 0)
486 {
487 if (((word >> 21) & 0x1) == 0)
488 {
489 if (((word >> 31) & 0x1) == 0)
490 {
491 if (((word >> 30) & 0x1) == 0)
492 {
493 /* 33222222222211111111110000000000
494 10987654321098765432109876543210
495 xxxxxxxxxxxxxxx0xxxxx011x0010000
496 ldlarb. */
497 return 927;
498 }
499 else
500 {
501 /* 33222222222211111111110000000000
502 10987654321098765432109876543210
503 xxxxxxxxxxxxxxx0xxxxx011x0010010
504 ldlarh. */
505 return 928;
506 }
507 }
508 else
509 {
510 /* 33222222222211111111110000000000
511 10987654321098765432109876543210
512 xxxxxxxxxxxxxxx0xxxxx011x00100x1
513 ldlar. */
514 return 926;
515 }
516 }
517 else
518 {
519 if (((word >> 31) & 0x1) == 0)
520 {
521 if (((word >> 30) & 0x1) == 0)
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
525 xxxxxxxxxxxxxxx0xxxxx111x0010000
526 casab. */
527 return 972;
528 }
529 else
530 {
531 /* 33222222222211111111110000000000
532 10987654321098765432109876543210
533 xxxxxxxxxxxxxxx0xxxxx111x0010010
534 casah. */
535 return 975;
536 }
537 }
538 else
539 {
540 /* 33222222222211111111110000000000
541 10987654321098765432109876543210
542 xxxxxxxxxxxxxxx0xxxxx111x00100x1
543 casa. */
544 return 978;
545 }
546 }
547 }
548 else
549 {
550 if (((word >> 21) & 0x1) == 0)
551 {
552 if (((word >> 31) & 0x1) == 0)
553 {
554 if (((word >> 30) & 0x1) == 0)
555 {
556 /* 33222222222211111111110000000000
557 10987654321098765432109876543210
558 xxxxxxxxxxxxxxx1xxxxx011x0010000
559 ldarb. */
560 return 909;
561 }
562 else
563 {
564 /* 33222222222211111111110000000000
565 10987654321098765432109876543210
566 xxxxxxxxxxxxxxx1xxxxx011x0010010
567 ldarh. */
568 return 915;
569 }
570 }
571 else
572 {
573 /* 33222222222211111111110000000000
574 10987654321098765432109876543210
575 xxxxxxxxxxxxxxx1xxxxx011x00100x1
576 ldar. */
577 return 925;
578 }
579 }
580 else
581 {
582 if (((word >> 31) & 0x1) == 0)
583 {
584 if (((word >> 30) & 0x1) == 0)
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
588 xxxxxxxxxxxxxxx1xxxxx111x0010000
589 casalb. */
590 return 974;
591 }
592 else
593 {
594 /* 33222222222211111111110000000000
595 10987654321098765432109876543210
596 xxxxxxxxxxxxxxx1xxxxx111x0010010
597 casalh. */
598 return 977;
599 }
600 }
601 else
602 {
603 /* 33222222222211111111110000000000
604 10987654321098765432109876543210
605 xxxxxxxxxxxxxxx1xxxxx111x00100x1
606 casal. */
607 return 980;
608 }
609 }
610 }
611 }
612 else
613 {
614 if (((word >> 30) & 0x1) == 0)
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
618 xxxxxxxxxxxxxxxxxxxxxx11x001010x
619 ldp. */
620 return 942;
621 }
622 else
623 {
624 /* 33222222222211111111110000000000
625 10987654321098765432109876543210
626 xxxxxxxxxxxxxxxxxxxxxx11x001011x
627 ldpsw. */
628 return 945;
629 }
630 }
631 }
632 }
633 }
634 else
635 {
636 if (((word >> 24) & 0x1) == 0)
637 {
638 if (((word >> 29) & 0x1) == 0)
639 {
640 if (((word >> 31) & 0x1) == 0)
641 {
642 /* 33222222222211111111110000000000
643 10987654321098765432109876543210
644 xxxxxxxxxxxxxxxxxxxxxxxx000110x0
645 ldr. */
646 return 946;
647 }
648 else
649 {
650 if (((word >> 30) & 0x1) == 0)
651 {
652 /* 33222222222211111111110000000000
653 10987654321098765432109876543210
654 xxxxxxxxxxxxxxxxxxxxxxxx00011001
655 ldrsw. */
656 return 948;
657 }
658 else
659 {
660 /* 33222222222211111111110000000000
661 10987654321098765432109876543210
662 xxxxxxxxxxxxxxxxxxxxxxxx00011011
663 prfm. */
664 return 949;
665 }
666 }
667 }
668 else
669 {
670 if (((word >> 10) & 0x1) == 0)
671 {
672 if (((word >> 11) & 0x1) == 0)
673 {
674 if (((word >> 21) & 0x1) == 0)
675 {
676 if (((word >> 23) & 0x1) == 0)
677 {
678 if (((word >> 22) & 0x1) == 0)
679 {
680 if (((word >> 31) & 0x1) == 0)
681 {
682 if (((word >> 30) & 0x1) == 0)
683 {
684 /* 33222222222211111111110000000000
685 10987654321098765432109876543210
686 xxxxxxxxxx00xxxxxxxxx00000011100
687 sturb. */
688 return 892;
689 }
690 else
691 {
692 /* 33222222222211111111110000000000
693 10987654321098765432109876543210
694 xxxxxxxxxx00xxxxxxxxx00000011110
695 sturh. */
696 return 897;
697 }
698 }
699 else
700 {
701 /* 33222222222211111111110000000000
702 10987654321098765432109876543210
703 xxxxxxxxxx00xxxxxxxxx000000111x1
704 stur. */
705 return 900;
706 }
707 }
708 else
709 {
710 if (((word >> 31) & 0x1) == 0)
711 {
712 if (((word >> 30) & 0x1) == 0)
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
716 xxxxxxxxxx00xxxxxxxxx01000011100
717 ldurb. */
718 return 893;
719 }
720 else
721 {
722 /* 33222222222211111111110000000000
723 10987654321098765432109876543210
724 xxxxxxxxxx00xxxxxxxxx01000011110
725 ldurh. */
726 return 898;
727 }
728 }
729 else
730 {
731 /* 33222222222211111111110000000000
732 10987654321098765432109876543210
733 xxxxxxxxxx00xxxxxxxxx010000111x1
734 ldur. */
735 return 901;
736 }
737 }
738 }
739 else
740 {
741 if (((word >> 30) & 0x1) == 0)
742 {
743 if (((word >> 31) & 0x1) == 0)
744 {
745 /* 33222222222211111111110000000000
746 10987654321098765432109876543210
747 xxxxxxxxxx00xxxxxxxxx0x100011100
748 ldursb. */
749 return 894;
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
755 xxxxxxxxxx00xxxxxxxxx0x100011101
756 ldursw. */
757 return 902;
758 }
759 }
760 else
761 {
762 if (((word >> 31) & 0x1) == 0)
763 {
764 /* 33222222222211111111110000000000
765 10987654321098765432109876543210
766 xxxxxxxxxx00xxxxxxxxx0x100011110
767 ldursh. */
768 return 899;
769 }
770 else
771 {
772 /* 33222222222211111111110000000000
773 10987654321098765432109876543210
774 xxxxxxxxxx00xxxxxxxxx0x100011111
775 prfum. */
776 return 903;
777 }
778 }
779 }
780 }
781 else
782 {
783 if (((word >> 12) & 0x1) == 0)
784 {
785 if (((word >> 13) & 0x1) == 0)
786 {
787 if (((word >> 14) & 0x1) == 0)
788 {
789 if (((word >> 15) & 0x1) == 0)
790 {
791 if (((word >> 22) & 0x1) == 0)
792 {
793 if (((word >> 23) & 0x1) == 0)
794 {
795 if (((word >> 31) & 0x1) == 0)
796 {
797 if (((word >> 30) & 0x1) == 0)
798 {
799 /* 33222222222211111111110000000000
800 10987654321098765432109876543210
801 xxxxxxxxxx000000xxxxx10000011100
802 ldaddb. */
803 return 997;
804 }
805 else
806 {
807 /* 33222222222211111111110000000000
808 10987654321098765432109876543210
809 xxxxxxxxxx000000xxxxx10000011110
810 ldaddh. */
811 return 998;
812 }
813 }
814 else
815 {
816 /* 33222222222211111111110000000000
817 10987654321098765432109876543210
818 xxxxxxxxxx000000xxxxx100000111x1
819 ldadd. */
820 return 999;
821 }
822 }
823 else
824 {
825 if (((word >> 31) & 0x1) == 0)
826 {
827 if (((word >> 30) & 0x1) == 0)
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
831 xxxxxxxxxx000000xxxxx10100011100
832 ldaddab. */
833 return 1000;
834 }
835 else
836 {
837 /* 33222222222211111111110000000000
838 10987654321098765432109876543210
839 xxxxxxxxxx000000xxxxx10100011110
840 ldaddah. */
841 return 1003;
842 }
843 }
844 else
845 {
846 /* 33222222222211111111110000000000
847 10987654321098765432109876543210
848 xxxxxxxxxx000000xxxxx101000111x1
849 ldadda. */
850 return 1006;
851 }
852 }
853 }
854 else
855 {
856 if (((word >> 23) & 0x1) == 0)
857 {
858 if (((word >> 31) & 0x1) == 0)
859 {
860 if (((word >> 30) & 0x1) == 0)
861 {
862 /* 33222222222211111111110000000000
863 10987654321098765432109876543210
864 xxxxxxxxxx000000xxxxx11000011100
865 ldaddlb. */
866 return 1001;
867 }
868 else
869 {
870 /* 33222222222211111111110000000000
871 10987654321098765432109876543210
872 xxxxxxxxxx000000xxxxx11000011110
873 ldaddlh. */
874 return 1004;
875 }
876 }
877 else
878 {
879 /* 33222222222211111111110000000000
880 10987654321098765432109876543210
881 xxxxxxxxxx000000xxxxx110000111x1
882 ldaddl. */
883 return 1007;
884 }
885 }
886 else
887 {
888 if (((word >> 31) & 0x1) == 0)
889 {
890 if (((word >> 30) & 0x1) == 0)
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
894 xxxxxxxxxx000000xxxxx11100011100
895 ldaddalb. */
896 return 1002;
897 }
898 else
899 {
900 /* 33222222222211111111110000000000
901 10987654321098765432109876543210
902 xxxxxxxxxx000000xxxxx11100011110
903 ldaddalh. */
904 return 1005;
905 }
906 }
907 else
908 {
909 /* 33222222222211111111110000000000
910 10987654321098765432109876543210
911 xxxxxxxxxx000000xxxxx111000111x1
912 ldaddal. */
913 return 1008;
914 }
915 }
916 }
917 }
918 else
919 {
920 if (((word >> 22) & 0x1) == 0)
921 {
922 if (((word >> 23) & 0x1) == 0)
923 {
924 if (((word >> 31) & 0x1) == 0)
925 {
926 if (((word >> 30) & 0x1) == 0)
927 {
928 /* 33222222222211111111110000000000
929 10987654321098765432109876543210
930 xxxxxxxxxx000001xxxxx10000011100
931 swpb. */
932 return 985;
933 }
934 else
935 {
936 /* 33222222222211111111110000000000
937 10987654321098765432109876543210
938 xxxxxxxxxx000001xxxxx10000011110
939 swph. */
940 return 986;
941 }
942 }
943 else
944 {
945 /* 33222222222211111111110000000000
946 10987654321098765432109876543210
947 xxxxxxxxxx000001xxxxx100000111x1
948 swp. */
949 return 987;
950 }
951 }
952 else
953 {
954 if (((word >> 31) & 0x1) == 0)
955 {
956 if (((word >> 30) & 0x1) == 0)
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
960 xxxxxxxxxx000001xxxxx10100011100
961 swpab. */
962 return 988;
963 }
964 else
965 {
966 /* 33222222222211111111110000000000
967 10987654321098765432109876543210
968 xxxxxxxxxx000001xxxxx10100011110
969 swpah. */
970 return 991;
971 }
972 }
973 else
974 {
975 /* 33222222222211111111110000000000
976 10987654321098765432109876543210
977 xxxxxxxxxx000001xxxxx101000111x1
978 swpa. */
979 return 994;
980 }
981 }
982 }
983 else
984 {
985 if (((word >> 23) & 0x1) == 0)
986 {
987 if (((word >> 31) & 0x1) == 0)
988 {
989 if (((word >> 30) & 0x1) == 0)
990 {
991 /* 33222222222211111111110000000000
992 10987654321098765432109876543210
993 xxxxxxxxxx000001xxxxx11000011100
994 swplb. */
995 return 989;
996 }
997 else
998 {
999 /* 33222222222211111111110000000000
1000 10987654321098765432109876543210
1001 xxxxxxxxxx000001xxxxx11000011110
1002 swplh. */
1003 return 992;
1004 }
1005 }
1006 else
1007 {
1008 /* 33222222222211111111110000000000
1009 10987654321098765432109876543210
1010 xxxxxxxxxx000001xxxxx110000111x1
1011 swpl. */
1012 return 995;
1013 }
1014 }
1015 else
1016 {
1017 if (((word >> 31) & 0x1) == 0)
1018 {
1019 if (((word >> 30) & 0x1) == 0)
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
1023 xxxxxxxxxx000001xxxxx11100011100
1024 swpalb. */
1025 return 990;
1026 }
1027 else
1028 {
1029 /* 33222222222211111111110000000000
1030 10987654321098765432109876543210
1031 xxxxxxxxxx000001xxxxx11100011110
1032 swpalh. */
1033 return 993;
1034 }
1035 }
1036 else
1037 {
1038 /* 33222222222211111111110000000000
1039 10987654321098765432109876543210
1040 xxxxxxxxxx000001xxxxx111000111x1
1041 swpal. */
1042 return 996;
1043 }
1044 }
1045 }
1046 }
1047 }
1048 else
1049 {
1050 if (((word >> 22) & 0x1) == 0)
1051 {
1052 if (((word >> 23) & 0x1) == 0)
1053 {
1054 if (((word >> 31) & 0x1) == 0)
1055 {
1056 if (((word >> 30) & 0x1) == 0)
1057 {
1058 /* 33222222222211111111110000000000
1059 10987654321098765432109876543210
1060 xxxxxxxxxx00001xxxxxx10000011100
1061 ldsmaxb. */
1062 return 1045;
1063 }
1064 else
1065 {
1066 /* 33222222222211111111110000000000
1067 10987654321098765432109876543210
1068 xxxxxxxxxx00001xxxxxx10000011110
1069 ldsmaxh. */
1070 return 1046;
1071 }
1072 }
1073 else
1074 {
1075 /* 33222222222211111111110000000000
1076 10987654321098765432109876543210
1077 xxxxxxxxxx00001xxxxxx100000111x1
1078 ldsmax. */
1079 return 1047;
1080 }
1081 }
1082 else
1083 {
1084 if (((word >> 31) & 0x1) == 0)
1085 {
1086 if (((word >> 30) & 0x1) == 0)
1087 {
1088 /* 33222222222211111111110000000000
1089 10987654321098765432109876543210
1090 xxxxxxxxxx00001xxxxxx10100011100
1091 ldsmaxab. */
1092 return 1048;
1093 }
1094 else
1095 {
1096 /* 33222222222211111111110000000000
1097 10987654321098765432109876543210
1098 xxxxxxxxxx00001xxxxxx10100011110
1099 ldsmaxah. */
1100 return 1051;
1101 }
1102 }
1103 else
1104 {
1105 /* 33222222222211111111110000000000
1106 10987654321098765432109876543210
1107 xxxxxxxxxx00001xxxxxx101000111x1
1108 ldsmaxa. */
1109 return 1054;
1110 }
1111 }
1112 }
1113 else
1114 {
1115 if (((word >> 23) & 0x1) == 0)
1116 {
1117 if (((word >> 31) & 0x1) == 0)
1118 {
1119 if (((word >> 30) & 0x1) == 0)
1120 {
1121 /* 33222222222211111111110000000000
1122 10987654321098765432109876543210
1123 xxxxxxxxxx00001xxxxxx11000011100
1124 ldsmaxlb. */
1125 return 1049;
1126 }
1127 else
1128 {
1129 /* 33222222222211111111110000000000
1130 10987654321098765432109876543210
1131 xxxxxxxxxx00001xxxxxx11000011110
1132 ldsmaxlh. */
1133 return 1052;
1134 }
1135 }
1136 else
1137 {
1138 /* 33222222222211111111110000000000
1139 10987654321098765432109876543210
1140 xxxxxxxxxx00001xxxxxx110000111x1
1141 ldsmaxl. */
1142 return 1055;
1143 }
1144 }
1145 else
1146 {
1147 if (((word >> 31) & 0x1) == 0)
1148 {
1149 if (((word >> 30) & 0x1) == 0)
1150 {
1151 /* 33222222222211111111110000000000
1152 10987654321098765432109876543210
1153 xxxxxxxxxx00001xxxxxx11100011100
1154 ldsmaxalb. */
1155 return 1050;
1156 }
1157 else
1158 {
1159 /* 33222222222211111111110000000000
1160 10987654321098765432109876543210
1161 xxxxxxxxxx00001xxxxxx11100011110
1162 ldsmaxalh. */
1163 return 1053;
1164 }
1165 }
1166 else
1167 {
1168 /* 33222222222211111111110000000000
1169 10987654321098765432109876543210
1170 xxxxxxxxxx00001xxxxxx111000111x1
1171 ldsmaxal. */
1172 return 1056;
1173 }
1174 }
1175 }
1176 }
1177 }
1178 else
1179 {
1180 if (((word >> 14) & 0x1) == 0)
1181 {
1182 if (((word >> 22) & 0x1) == 0)
1183 {
1184 if (((word >> 23) & 0x1) == 0)
1185 {
1186 if (((word >> 31) & 0x1) == 0)
1187 {
1188 if (((word >> 30) & 0x1) == 0)
1189 {
1190 /* 33222222222211111111110000000000
1191 10987654321098765432109876543210
1192 xxxxxxxxxx00010xxxxxx10000011100
1193 ldeorb. */
1194 return 1021;
1195 }
1196 else
1197 {
1198 /* 33222222222211111111110000000000
1199 10987654321098765432109876543210
1200 xxxxxxxxxx00010xxxxxx10000011110
1201 ldeorh. */
1202 return 1022;
1203 }
1204 }
1205 else
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
1209 xxxxxxxxxx00010xxxxxx100000111x1
1210 ldeor. */
1211 return 1023;
1212 }
1213 }
1214 else
1215 {
1216 if (((word >> 31) & 0x1) == 0)
1217 {
1218 if (((word >> 30) & 0x1) == 0)
1219 {
1220 /* 33222222222211111111110000000000
1221 10987654321098765432109876543210
1222 xxxxxxxxxx00010xxxxxx10100011100
1223 ldeorab. */
1224 return 1024;
1225 }
1226 else
1227 {
1228 /* 33222222222211111111110000000000
1229 10987654321098765432109876543210
1230 xxxxxxxxxx00010xxxxxx10100011110
1231 ldeorah. */
1232 return 1027;
1233 }
1234 }
1235 else
1236 {
1237 /* 33222222222211111111110000000000
1238 10987654321098765432109876543210
1239 xxxxxxxxxx00010xxxxxx101000111x1
1240 ldeora. */
1241 return 1030;
1242 }
1243 }
1244 }
1245 else
1246 {
1247 if (((word >> 23) & 0x1) == 0)
1248 {
1249 if (((word >> 31) & 0x1) == 0)
1250 {
1251 if (((word >> 30) & 0x1) == 0)
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
1255 xxxxxxxxxx00010xxxxxx11000011100
1256 ldeorlb. */
1257 return 1025;
1258 }
1259 else
1260 {
1261 /* 33222222222211111111110000000000
1262 10987654321098765432109876543210
1263 xxxxxxxxxx00010xxxxxx11000011110
1264 ldeorlh. */
1265 return 1028;
1266 }
1267 }
1268 else
1269 {
1270 /* 33222222222211111111110000000000
1271 10987654321098765432109876543210
1272 xxxxxxxxxx00010xxxxxx110000111x1
1273 ldeorl. */
1274 return 1031;
1275 }
1276 }
1277 else
1278 {
1279 if (((word >> 31) & 0x1) == 0)
1280 {
1281 if (((word >> 30) & 0x1) == 0)
1282 {
1283 /* 33222222222211111111110000000000
1284 10987654321098765432109876543210
1285 xxxxxxxxxx00010xxxxxx11100011100
1286 ldeoralb. */
1287 return 1026;
1288 }
1289 else
1290 {
1291 /* 33222222222211111111110000000000
1292 10987654321098765432109876543210
1293 xxxxxxxxxx00010xxxxxx11100011110
1294 ldeoralh. */
1295 return 1029;
1296 }
1297 }
1298 else
1299 {
1300 /* 33222222222211111111110000000000
1301 10987654321098765432109876543210
1302 xxxxxxxxxx00010xxxxxx111000111x1
1303 ldeoral. */
1304 return 1032;
1305 }
1306 }
1307 }
1308 }
1309 else
1310 {
1311 if (((word >> 22) & 0x1) == 0)
1312 {
1313 if (((word >> 23) & 0x1) == 0)
1314 {
1315 if (((word >> 31) & 0x1) == 0)
1316 {
1317 if (((word >> 30) & 0x1) == 0)
1318 {
1319 /* 33222222222211111111110000000000
1320 10987654321098765432109876543210
1321 xxxxxxxxxx00011xxxxxx10000011100
1322 ldumaxb. */
1323 return 1069;
1324 }
1325 else
1326 {
1327 /* 33222222222211111111110000000000
1328 10987654321098765432109876543210
1329 xxxxxxxxxx00011xxxxxx10000011110
1330 ldumaxh. */
1331 return 1070;
1332 }
1333 }
1334 else
1335 {
1336 /* 33222222222211111111110000000000
1337 10987654321098765432109876543210
1338 xxxxxxxxxx00011xxxxxx100000111x1
1339 ldumax. */
1340 return 1071;
1341 }
1342 }
1343 else
1344 {
1345 if (((word >> 31) & 0x1) == 0)
1346 {
1347 if (((word >> 30) & 0x1) == 0)
1348 {
1349 /* 33222222222211111111110000000000
1350 10987654321098765432109876543210
1351 xxxxxxxxxx00011xxxxxx10100011100
1352 ldumaxab. */
1353 return 1072;
1354 }
1355 else
1356 {
1357 /* 33222222222211111111110000000000
1358 10987654321098765432109876543210
1359 xxxxxxxxxx00011xxxxxx10100011110
1360 ldumaxah. */
1361 return 1075;
1362 }
1363 }
1364 else
1365 {
1366 /* 33222222222211111111110000000000
1367 10987654321098765432109876543210
1368 xxxxxxxxxx00011xxxxxx101000111x1
1369 ldumaxa. */
1370 return 1078;
1371 }
1372 }
1373 }
1374 else
1375 {
1376 if (((word >> 23) & 0x1) == 0)
1377 {
1378 if (((word >> 31) & 0x1) == 0)
1379 {
1380 if (((word >> 30) & 0x1) == 0)
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
1384 xxxxxxxxxx00011xxxxxx11000011100
1385 ldumaxlb. */
1386 return 1073;
1387 }
1388 else
1389 {
1390 /* 33222222222211111111110000000000
1391 10987654321098765432109876543210
1392 xxxxxxxxxx00011xxxxxx11000011110
1393 ldumaxlh. */
1394 return 1076;
1395 }
1396 }
1397 else
1398 {
1399 /* 33222222222211111111110000000000
1400 10987654321098765432109876543210
1401 xxxxxxxxxx00011xxxxxx110000111x1
1402 ldumaxl. */
1403 return 1079;
1404 }
1405 }
1406 else
1407 {
1408 if (((word >> 31) & 0x1) == 0)
1409 {
1410 if (((word >> 30) & 0x1) == 0)
1411 {
1412 /* 33222222222211111111110000000000
1413 10987654321098765432109876543210
1414 xxxxxxxxxx00011xxxxxx11100011100
1415 ldumaxalb. */
1416 return 1074;
1417 }
1418 else
1419 {
1420 /* 33222222222211111111110000000000
1421 10987654321098765432109876543210
1422 xxxxxxxxxx00011xxxxxx11100011110
1423 ldumaxalh. */
1424 return 1077;
1425 }
1426 }
1427 else
1428 {
1429 /* 33222222222211111111110000000000
1430 10987654321098765432109876543210
1431 xxxxxxxxxx00011xxxxxx111000111x1
1432 ldumaxal. */
1433 return 1080;
1434 }
1435 }
1436 }
1437 }
1438 }
1439 }
1440 else
1441 {
1442 if (((word >> 13) & 0x1) == 0)
1443 {
1444 if (((word >> 14) & 0x1) == 0)
1445 {
1446 if (((word >> 22) & 0x1) == 0)
1447 {
1448 if (((word >> 23) & 0x1) == 0)
1449 {
1450 if (((word >> 31) & 0x1) == 0)
1451 {
1452 if (((word >> 30) & 0x1) == 0)
1453 {
1454 /* 33222222222211111111110000000000
1455 10987654321098765432109876543210
1456 xxxxxxxxxx00100xxxxxx10000011100
1457 ldclrb. */
1458 return 1009;
1459 }
1460 else
1461 {
1462 /* 33222222222211111111110000000000
1463 10987654321098765432109876543210
1464 xxxxxxxxxx00100xxxxxx10000011110
1465 ldclrh. */
1466 return 1010;
1467 }
1468 }
1469 else
1470 {
1471 /* 33222222222211111111110000000000
1472 10987654321098765432109876543210
1473 xxxxxxxxxx00100xxxxxx100000111x1
1474 ldclr. */
1475 return 1011;
1476 }
1477 }
1478 else
1479 {
1480 if (((word >> 31) & 0x1) == 0)
1481 {
1482 if (((word >> 30) & 0x1) == 0)
1483 {
1484 /* 33222222222211111111110000000000
1485 10987654321098765432109876543210
1486 xxxxxxxxxx00100xxxxxx10100011100
1487 ldclrab. */
1488 return 1012;
1489 }
1490 else
1491 {
1492 /* 33222222222211111111110000000000
1493 10987654321098765432109876543210
1494 xxxxxxxxxx00100xxxxxx10100011110
1495 ldclrah. */
1496 return 1015;
1497 }
1498 }
1499 else
1500 {
1501 /* 33222222222211111111110000000000
1502 10987654321098765432109876543210
1503 xxxxxxxxxx00100xxxxxx101000111x1
1504 ldclra. */
1505 return 1018;
1506 }
1507 }
1508 }
1509 else
1510 {
1511 if (((word >> 23) & 0x1) == 0)
1512 {
1513 if (((word >> 31) & 0x1) == 0)
1514 {
1515 if (((word >> 30) & 0x1) == 0)
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
1519 xxxxxxxxxx00100xxxxxx11000011100
1520 ldclrlb. */
1521 return 1013;
1522 }
1523 else
1524 {
1525 /* 33222222222211111111110000000000
1526 10987654321098765432109876543210
1527 xxxxxxxxxx00100xxxxxx11000011110
1528 ldclrlh. */
1529 return 1016;
1530 }
1531 }
1532 else
1533 {
1534 /* 33222222222211111111110000000000
1535 10987654321098765432109876543210
1536 xxxxxxxxxx00100xxxxxx110000111x1
1537 ldclrl. */
1538 return 1019;
1539 }
1540 }
1541 else
1542 {
1543 if (((word >> 31) & 0x1) == 0)
1544 {
1545 if (((word >> 30) & 0x1) == 0)
1546 {
1547 /* 33222222222211111111110000000000
1548 10987654321098765432109876543210
1549 xxxxxxxxxx00100xxxxxx11100011100
1550 ldclralb. */
1551 return 1014;
1552 }
1553 else
1554 {
1555 /* 33222222222211111111110000000000
1556 10987654321098765432109876543210
1557 xxxxxxxxxx00100xxxxxx11100011110
1558 ldclralh. */
1559 return 1017;
1560 }
1561 }
1562 else
1563 {
1564 /* 33222222222211111111110000000000
1565 10987654321098765432109876543210
1566 xxxxxxxxxx00100xxxxxx111000111x1
1567 ldclral. */
1568 return 1020;
1569 }
1570 }
1571 }
1572 }
1573 else
1574 {
1575 if (((word >> 22) & 0x1) == 0)
1576 {
1577 if (((word >> 23) & 0x1) == 0)
1578 {
1579 if (((word >> 31) & 0x1) == 0)
1580 {
1581 if (((word >> 30) & 0x1) == 0)
1582 {
1583 /* 33222222222211111111110000000000
1584 10987654321098765432109876543210
1585 xxxxxxxxxx00101xxxxxx10000011100
1586 ldsminb. */
1587 return 1057;
1588 }
1589 else
1590 {
1591 /* 33222222222211111111110000000000
1592 10987654321098765432109876543210
1593 xxxxxxxxxx00101xxxxxx10000011110
1594 ldsminh. */
1595 return 1058;
1596 }
1597 }
1598 else
1599 {
1600 /* 33222222222211111111110000000000
1601 10987654321098765432109876543210
1602 xxxxxxxxxx00101xxxxxx100000111x1
1603 ldsmin. */
1604 return 1059;
1605 }
1606 }
1607 else
1608 {
1609 if (((word >> 31) & 0x1) == 0)
1610 {
1611 if (((word >> 30) & 0x1) == 0)
1612 {
1613 /* 33222222222211111111110000000000
1614 10987654321098765432109876543210
1615 xxxxxxxxxx00101xxxxxx10100011100
1616 ldsminab. */
1617 return 1060;
1618 }
1619 else
1620 {
1621 /* 33222222222211111111110000000000
1622 10987654321098765432109876543210
1623 xxxxxxxxxx00101xxxxxx10100011110
1624 ldsminah. */
1625 return 1063;
1626 }
1627 }
1628 else
1629 {
1630 /* 33222222222211111111110000000000
1631 10987654321098765432109876543210
1632 xxxxxxxxxx00101xxxxxx101000111x1
1633 ldsmina. */
1634 return 1066;
1635 }
1636 }
1637 }
1638 else
1639 {
1640 if (((word >> 23) & 0x1) == 0)
1641 {
1642 if (((word >> 31) & 0x1) == 0)
1643 {
1644 if (((word >> 30) & 0x1) == 0)
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
1648 xxxxxxxxxx00101xxxxxx11000011100
1649 ldsminlb. */
1650 return 1061;
1651 }
1652 else
1653 {
1654 /* 33222222222211111111110000000000
1655 10987654321098765432109876543210
1656 xxxxxxxxxx00101xxxxxx11000011110
1657 ldsminlh. */
1658 return 1064;
1659 }
1660 }
1661 else
1662 {
1663 /* 33222222222211111111110000000000
1664 10987654321098765432109876543210
1665 xxxxxxxxxx00101xxxxxx110000111x1
1666 ldsminl. */
1667 return 1067;
1668 }
1669 }
1670 else
1671 {
1672 if (((word >> 31) & 0x1) == 0)
1673 {
1674 if (((word >> 30) & 0x1) == 0)
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
1678 xxxxxxxxxx00101xxxxxx11100011100
1679 ldsminalb. */
1680 return 1062;
1681 }
1682 else
1683 {
1684 /* 33222222222211111111110000000000
1685 10987654321098765432109876543210
1686 xxxxxxxxxx00101xxxxxx11100011110
1687 ldsminalh. */
1688 return 1065;
1689 }
1690 }
1691 else
1692 {
1693 /* 33222222222211111111110000000000
1694 10987654321098765432109876543210
1695 xxxxxxxxxx00101xxxxxx111000111x1
1696 ldsminal. */
1697 return 1068;
1698 }
1699 }
1700 }
1701 }
1702 }
1703 else
1704 {
1705 if (((word >> 14) & 0x1) == 0)
1706 {
1707 if (((word >> 22) & 0x1) == 0)
1708 {
1709 if (((word >> 23) & 0x1) == 0)
1710 {
1711 if (((word >> 31) & 0x1) == 0)
1712 {
1713 if (((word >> 30) & 0x1) == 0)
1714 {
1715 /* 33222222222211111111110000000000
1716 10987654321098765432109876543210
1717 xxxxxxxxxx00110xxxxxx10000011100
1718 ldsetb. */
1719 return 1033;
1720 }
1721 else
1722 {
1723 /* 33222222222211111111110000000000
1724 10987654321098765432109876543210
1725 xxxxxxxxxx00110xxxxxx10000011110
1726 ldseth. */
1727 return 1034;
1728 }
1729 }
1730 else
1731 {
1732 /* 33222222222211111111110000000000
1733 10987654321098765432109876543210
1734 xxxxxxxxxx00110xxxxxx100000111x1
1735 ldset. */
1736 return 1035;
1737 }
1738 }
1739 else
1740 {
1741 if (((word >> 31) & 0x1) == 0)
1742 {
1743 if (((word >> 30) & 0x1) == 0)
1744 {
1745 /* 33222222222211111111110000000000
1746 10987654321098765432109876543210
1747 xxxxxxxxxx00110xxxxxx10100011100
1748 ldsetab. */
1749 return 1036;
1750 }
1751 else
1752 {
1753 /* 33222222222211111111110000000000
1754 10987654321098765432109876543210
1755 xxxxxxxxxx00110xxxxxx10100011110
1756 ldsetah. */
1757 return 1039;
1758 }
1759 }
1760 else
1761 {
1762 /* 33222222222211111111110000000000
1763 10987654321098765432109876543210
1764 xxxxxxxxxx00110xxxxxx101000111x1
1765 ldseta. */
1766 return 1042;
1767 }
1768 }
1769 }
1770 else
1771 {
1772 if (((word >> 23) & 0x1) == 0)
1773 {
1774 if (((word >> 31) & 0x1) == 0)
1775 {
1776 if (((word >> 30) & 0x1) == 0)
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
1780 xxxxxxxxxx00110xxxxxx11000011100
1781 ldsetlb. */
1782 return 1037;
1783 }
1784 else
1785 {
1786 /* 33222222222211111111110000000000
1787 10987654321098765432109876543210
1788 xxxxxxxxxx00110xxxxxx11000011110
1789 ldsetlh. */
1790 return 1040;
1791 }
1792 }
1793 else
1794 {
1795 /* 33222222222211111111110000000000
1796 10987654321098765432109876543210
1797 xxxxxxxxxx00110xxxxxx110000111x1
1798 ldsetl. */
1799 return 1043;
1800 }
1801 }
1802 else
1803 {
1804 if (((word >> 31) & 0x1) == 0)
1805 {
1806 if (((word >> 30) & 0x1) == 0)
1807 {
1808 /* 33222222222211111111110000000000
1809 10987654321098765432109876543210
1810 xxxxxxxxxx00110xxxxxx11100011100
1811 ldsetalb. */
1812 return 1038;
1813 }
1814 else
1815 {
1816 /* 33222222222211111111110000000000
1817 10987654321098765432109876543210
1818 xxxxxxxxxx00110xxxxxx11100011110
1819 ldsetalh. */
1820 return 1041;
1821 }
1822 }
1823 else
1824 {
1825 /* 33222222222211111111110000000000
1826 10987654321098765432109876543210
1827 xxxxxxxxxx00110xxxxxx111000111x1
1828 ldsetal. */
1829 return 1044;
1830 }
1831 }
1832 }
1833 }
1834 else
1835 {
1836 if (((word >> 22) & 0x1) == 0)
1837 {
1838 if (((word >> 23) & 0x1) == 0)
1839 {
1840 if (((word >> 31) & 0x1) == 0)
1841 {
1842 if (((word >> 30) & 0x1) == 0)
1843 {
1844 /* 33222222222211111111110000000000
1845 10987654321098765432109876543210
1846 xxxxxxxxxx00111xxxxxx10000011100
1847 lduminb. */
1848 return 1081;
1849 }
1850 else
1851 {
1852 /* 33222222222211111111110000000000
1853 10987654321098765432109876543210
1854 xxxxxxxxxx00111xxxxxx10000011110
1855 lduminh. */
1856 return 1082;
1857 }
1858 }
1859 else
1860 {
1861 /* 33222222222211111111110000000000
1862 10987654321098765432109876543210
1863 xxxxxxxxxx00111xxxxxx100000111x1
1864 ldumin. */
1865 return 1083;
1866 }
1867 }
1868 else
1869 {
1870 if (((word >> 31) & 0x1) == 0)
1871 {
1872 if (((word >> 30) & 0x1) == 0)
1873 {
1874 /* 33222222222211111111110000000000
1875 10987654321098765432109876543210
1876 xxxxxxxxxx00111xxxxxx10100011100
1877 lduminab. */
1878 return 1084;
1879 }
1880 else
1881 {
1882 /* 33222222222211111111110000000000
1883 10987654321098765432109876543210
1884 xxxxxxxxxx00111xxxxxx10100011110
1885 lduminah. */
1886 return 1087;
1887 }
1888 }
1889 else
1890 {
1891 /* 33222222222211111111110000000000
1892 10987654321098765432109876543210
1893 xxxxxxxxxx00111xxxxxx101000111x1
1894 ldumina. */
1895 return 1090;
1896 }
1897 }
1898 }
1899 else
1900 {
1901 if (((word >> 23) & 0x1) == 0)
1902 {
1903 if (((word >> 31) & 0x1) == 0)
1904 {
1905 if (((word >> 30) & 0x1) == 0)
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
1909 xxxxxxxxxx00111xxxxxx11000011100
1910 lduminlb. */
1911 return 1085;
1912 }
1913 else
1914 {
1915 /* 33222222222211111111110000000000
1916 10987654321098765432109876543210
1917 xxxxxxxxxx00111xxxxxx11000011110
1918 lduminlh. */
1919 return 1088;
1920 }
1921 }
1922 else
1923 {
1924 /* 33222222222211111111110000000000
1925 10987654321098765432109876543210
1926 xxxxxxxxxx00111xxxxxx110000111x1
1927 lduminl. */
1928 return 1091;
1929 }
1930 }
1931 else
1932 {
1933 if (((word >> 31) & 0x1) == 0)
1934 {
1935 if (((word >> 30) & 0x1) == 0)
1936 {
1937 /* 33222222222211111111110000000000
1938 10987654321098765432109876543210
1939 xxxxxxxxxx00111xxxxxx11100011100
1940 lduminalb. */
1941 return 1086;
1942 }
1943 else
1944 {
1945 /* 33222222222211111111110000000000
1946 10987654321098765432109876543210
1947 xxxxxxxxxx00111xxxxxx11100011110
1948 lduminalh. */
1949 return 1089;
1950 }
1951 }
1952 else
1953 {
1954 /* 33222222222211111111110000000000
1955 10987654321098765432109876543210
1956 xxxxxxxxxx00111xxxxxx111000111x1
1957 lduminal. */
1958 return 1092;
1959 }
1960 }
1961 }
1962 }
1963 }
1964 }
1965 }
1966 }
1967 else
1968 {
1969 if (((word >> 21) & 0x1) == 0)
1970 {
1971 if (((word >> 23) & 0x1) == 0)
1972 {
1973 if (((word >> 22) & 0x1) == 0)
1974 {
1975 if (((word >> 31) & 0x1) == 0)
1976 {
1977 if (((word >> 30) & 0x1) == 0)
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
1981 xxxxxxxxxx01xxxxxxxxx00000011100
1982 sttrb. */
1983 return 883;
1984 }
1985 else
1986 {
1987 /* 33222222222211111111110000000000
1988 10987654321098765432109876543210
1989 xxxxxxxxxx01xxxxxxxxx00000011110
1990 sttrh. */
1991 return 886;
1992 }
1993 }
1994 else
1995 {
1996 /* 33222222222211111111110000000000
1997 10987654321098765432109876543210
1998 xxxxxxxxxx01xxxxxxxxx000000111x1
1999 sttr. */
2000 return 889;
2001 }
2002 }
2003 else
2004 {
2005 if (((word >> 31) & 0x1) == 0)
2006 {
2007 if (((word >> 30) & 0x1) == 0)
2008 {
2009 /* 33222222222211111111110000000000
2010 10987654321098765432109876543210
2011 xxxxxxxxxx01xxxxxxxxx01000011100
2012 ldtrb. */
2013 return 884;
2014 }
2015 else
2016 {
2017 /* 33222222222211111111110000000000
2018 10987654321098765432109876543210
2019 xxxxxxxxxx01xxxxxxxxx01000011110
2020 ldtrh. */
2021 return 887;
2022 }
2023 }
2024 else
2025 {
2026 /* 33222222222211111111110000000000
2027 10987654321098765432109876543210
2028 xxxxxxxxxx01xxxxxxxxx010000111x1
2029 ldtr. */
2030 return 890;
2031 }
2032 }
2033 }
2034 else
2035 {
2036 if (((word >> 30) & 0x1) == 0)
2037 {
2038 if (((word >> 31) & 0x1) == 0)
2039 {
2040 /* 33222222222211111111110000000000
2041 10987654321098765432109876543210
2042 xxxxxxxxxx01xxxxxxxxx0x100011100
2043 ldtrsb. */
2044 return 885;
2045 }
2046 else
2047 {
2048 /* 33222222222211111111110000000000
2049 10987654321098765432109876543210
2050 xxxxxxxxxx01xxxxxxxxx0x100011101
2051 ldtrsw. */
2052 return 891;
2053 }
2054 }
2055 else
2056 {
2057 /* 33222222222211111111110000000000
2058 10987654321098765432109876543210
2059 xxxxxxxxxx01xxxxxxxxx0x10001111x
2060 ldtrsh. */
2061 return 888;
2062 }
2063 }
2064 }
2065 else
2066 {
2067 if (((word >> 23) & 0x1) == 0)
2068 {
2069 if (((word >> 22) & 0x1) == 0)
2070 {
2071 if (((word >> 31) & 0x1) == 0)
2072 {
2073 if (((word >> 30) & 0x1) == 0)
2074 {
2075 /* 33222222222211111111110000000000
2076 10987654321098765432109876543210
2077 xxxxxxxxxx01xxxxxxxxx10000011100
2078 strb. */
2079 return 871;
2080 }
2081 else
2082 {
2083 /* 33222222222211111111110000000000
2084 10987654321098765432109876543210
2085 xxxxxxxxxx01xxxxxxxxx10000011110
2086 strh. */
2087 return 876;
2088 }
2089 }
2090 else
2091 {
2092 /* 33222222222211111111110000000000
2093 10987654321098765432109876543210
2094 xxxxxxxxxx01xxxxxxxxx100000111x1
2095 str. */
2096 return 879;
2097 }
2098 }
2099 else
2100 {
2101 if (((word >> 31) & 0x1) == 0)
2102 {
2103 if (((word >> 30) & 0x1) == 0)
2104 {
2105 /* 33222222222211111111110000000000
2106 10987654321098765432109876543210
2107 xxxxxxxxxx01xxxxxxxxx11000011100
2108 ldrb. */
2109 return 872;
2110 }
2111 else
2112 {
2113 /* 33222222222211111111110000000000
2114 10987654321098765432109876543210
2115 xxxxxxxxxx01xxxxxxxxx11000011110
2116 ldrh. */
2117 return 877;
2118 }
2119 }
2120 else
2121 {
2122 /* 33222222222211111111110000000000
2123 10987654321098765432109876543210
2124 xxxxxxxxxx01xxxxxxxxx110000111x1
2125 ldr. */
2126 return 880;
2127 }
2128 }
2129 }
2130 else
2131 {
2132 if (((word >> 30) & 0x1) == 0)
2133 {
2134 if (((word >> 31) & 0x1) == 0)
2135 {
2136 /* 33222222222211111111110000000000
2137 10987654321098765432109876543210
2138 xxxxxxxxxx01xxxxxxxxx1x100011100
2139 ldrsb. */
2140 return 873;
2141 }
2142 else
2143 {
2144 /* 33222222222211111111110000000000
2145 10987654321098765432109876543210
2146 xxxxxxxxxx01xxxxxxxxx1x100011101
2147 ldrsw. */
2148 return 881;
2149 }
2150 }
2151 else
2152 {
2153 if (((word >> 31) & 0x1) == 0)
2154 {
2155 /* 33222222222211111111110000000000
2156 10987654321098765432109876543210
2157 xxxxxxxxxx01xxxxxxxxx1x100011110
2158 ldrsh. */
2159 return 878;
2160 }
2161 else
2162 {
2163 /* 33222222222211111111110000000000
2164 10987654321098765432109876543210
2165 xxxxxxxxxx01xxxxxxxxx1x100011111
2166 prfm. */
2167 return 882;
2168 }
2169 }
2170 }
2171 }
2172 }
2173 }
2174 else
2175 {
2176 if (((word >> 23) & 0x1) == 0)
2177 {
2178 if (((word >> 22) & 0x1) == 0)
2179 {
2180 if (((word >> 31) & 0x1) == 0)
2181 {
2182 if (((word >> 30) & 0x1) == 0)
2183 {
2184 /* 33222222222211111111110000000000
2185 10987654321098765432109876543210
2186 xxxxxxxxxx1xxxxxxxxxxx0000011100
2187 strb. */
2188 return 848;
2189 }
2190 else
2191 {
2192 /* 33222222222211111111110000000000
2193 10987654321098765432109876543210
2194 xxxxxxxxxx1xxxxxxxxxxx0000011110
2195 strh. */
2196 return 853;
2197 }
2198 }
2199 else
2200 {
2201 /* 33222222222211111111110000000000
2202 10987654321098765432109876543210
2203 xxxxxxxxxx1xxxxxxxxxxx00000111x1
2204 str. */
2205 return 856;
2206 }
2207 }
2208 else
2209 {
2210 if (((word >> 31) & 0x1) == 0)
2211 {
2212 if (((word >> 30) & 0x1) == 0)
2213 {
2214 /* 33222222222211111111110000000000
2215 10987654321098765432109876543210
2216 xxxxxxxxxx1xxxxxxxxxxx1000011100
2217 ldrb. */
2218 return 849;
2219 }
2220 else
2221 {
2222 /* 33222222222211111111110000000000
2223 10987654321098765432109876543210
2224 xxxxxxxxxx1xxxxxxxxxxx1000011110
2225 ldrh. */
2226 return 854;
2227 }
2228 }
2229 else
2230 {
2231 /* 33222222222211111111110000000000
2232 10987654321098765432109876543210
2233 xxxxxxxxxx1xxxxxxxxxxx10000111x1
2234 ldr. */
2235 return 857;
2236 }
2237 }
2238 }
2239 else
2240 {
2241 if (((word >> 30) & 0x1) == 0)
2242 {
2243 if (((word >> 31) & 0x1) == 0)
2244 {
2245 /* 33222222222211111111110000000000
2246 10987654321098765432109876543210
2247 xxxxxxxxxx1xxxxxxxxxxxx100011100
2248 ldrsb. */
2249 return 850;
2250 }
2251 else
2252 {
2253 /* 33222222222211111111110000000000
2254 10987654321098765432109876543210
2255 xxxxxxxxxx1xxxxxxxxxxxx100011101
2256 ldrsw. */
2257 return 858;
2258 }
2259 }
2260 else
2261 {
2262 /* 33222222222211111111110000000000
2263 10987654321098765432109876543210
2264 xxxxxxxxxx1xxxxxxxxxxxx10001111x
2265 ldrsh. */
2266 return 855;
2267 }
2268 }
2269 }
2270 }
2271 }
2272 else
2273 {
2274 if (((word >> 23) & 0x1) == 0)
2275 {
2276 if (((word >> 22) & 0x1) == 0)
2277 {
2278 if (((word >> 31) & 0x1) == 0)
2279 {
2280 if (((word >> 30) & 0x1) == 0)
2281 {
2282 /* 33222222222211111111110000000000
2283 10987654321098765432109876543210
2284 xxxxxxxxxxxxxxxxxxxxxx0010011x00
2285 strb. */
2286 return 859;
2287 }
2288 else
2289 {
2290 /* 33222222222211111111110000000000
2291 10987654321098765432109876543210
2292 xxxxxxxxxxxxxxxxxxxxxx0010011x10
2293 strh. */
2294 return 864;
2295 }
2296 }
2297 else
2298 {
2299 /* 33222222222211111111110000000000
2300 10987654321098765432109876543210
2301 xxxxxxxxxxxxxxxxxxxxxx0010011xx1
2302 str. */
2303 return 867;
2304 }
2305 }
2306 else
2307 {
2308 if (((word >> 31) & 0x1) == 0)
2309 {
2310 if (((word >> 30) & 0x1) == 0)
2311 {
2312 /* 33222222222211111111110000000000
2313 10987654321098765432109876543210
2314 xxxxxxxxxxxxxxxxxxxxxx1010011x00
2315 ldrb. */
2316 return 860;
2317 }
2318 else
2319 {
2320 /* 33222222222211111111110000000000
2321 10987654321098765432109876543210
2322 xxxxxxxxxxxxxxxxxxxxxx1010011x10
2323 ldrh. */
2324 return 865;
2325 }
2326 }
2327 else
2328 {
2329 /* 33222222222211111111110000000000
2330 10987654321098765432109876543210
2331 xxxxxxxxxxxxxxxxxxxxxx1010011xx1
2332 ldr. */
2333 return 868;
2334 }
2335 }
2336 }
2337 else
2338 {
2339 if (((word >> 30) & 0x1) == 0)
2340 {
2341 if (((word >> 31) & 0x1) == 0)
2342 {
2343 /* 33222222222211111111110000000000
2344 10987654321098765432109876543210
2345 xxxxxxxxxxxxxxxxxxxxxxx110011x00
2346 ldrsb. */
2347 return 861;
2348 }
2349 else
2350 {
2351 /* 33222222222211111111110000000000
2352 10987654321098765432109876543210
2353 xxxxxxxxxxxxxxxxxxxxxxx110011x01
2354 ldrsw. */
2355 return 869;
2356 }
2357 }
2358 else
2359 {
2360 if (((word >> 31) & 0x1) == 0)
2361 {
2362 /* 33222222222211111111110000000000
2363 10987654321098765432109876543210
2364 xxxxxxxxxxxxxxxxxxxxxxx110011x10
2365 ldrsh. */
2366 return 866;
2367 }
2368 else
2369 {
2370 /* 33222222222211111111110000000000
2371 10987654321098765432109876543210
2372 xxxxxxxxxxxxxxxxxxxxxxx110011x11
2373 prfm. */
2374 return 870;
2375 }
2376 }
2377 }
2378 }
2379 }
2380 }
2381 }
2382 else
2383 {
2384 if (((word >> 24) & 0x1) == 0)
2385 {
2386 if (((word >> 27) & 0x1) == 0)
2387 {
2388 if (((word >> 23) & 0x1) == 0)
2389 {
2390 if (((word >> 29) & 0x1) == 0)
2391 {
2392 if (((word >> 30) & 0x1) == 0)
2393 {
2394 /* 33222222222211111111110000000000
2395 10987654321098765432109876543210
2396 xxxxxxxxxxxxxxxxxxxxxxx00100x00x
2397 and. */
2398 return 950;
2399 }
2400 else
2401 {
2402 /* 33222222222211111111110000000000
2403 10987654321098765432109876543210
2404 xxxxxxxxxxxxxxxxxxxxxxx00100x01x
2405 eor. */
2406 return 954;
2407 }
2408 }
2409 else
2410 {
2411 if (((word >> 30) & 0x1) == 0)
2412 {
2413 /* 33222222222211111111110000000000
2414 10987654321098765432109876543210
2415 xxxxxxxxxxxxxxxxxxxxxxx00100x10x
2416 orr. */
2417 return 952;
2418 }
2419 else
2420 {
2421 /* 33222222222211111111110000000000
2422 10987654321098765432109876543210
2423 xxxxxxxxxxxxxxxxxxxxxxx00100x11x
2424 ands. */
2425 return 955;
2426 }
2427 }
2428 }
2429 else
2430 {
2431 if (((word >> 29) & 0x1) == 0)
2432 {
2433 if (((word >> 30) & 0x1) == 0)
2434 {
2435 /* 33222222222211111111110000000000
2436 10987654321098765432109876543210
2437 xxxxxxxxxxxxxxxxxxxxxxx10100x00x
2438 movn. */
2439 return 1141;
2440 }
2441 else
2442 {
2443 /* 33222222222211111111110000000000
2444 10987654321098765432109876543210
2445 xxxxxxxxxxxxxxxxxxxxxxx10100x01x
2446 movz. */
2447 return 1143;
2448 }
2449 }
2450 else
2451 {
2452 /* 33222222222211111111110000000000
2453 10987654321098765432109876543210
2454 xxxxxxxxxxxxxxxxxxxxxxx10100x1xx
2455 movk. */
2456 return 1145;
2457 }
2458 }
2459 }
2460 else
2461 {
2462 if (((word >> 21) & 0x1) == 0)
2463 {
2464 if (((word >> 28) & 0x1) == 0)
2465 {
2466 if (((word >> 29) & 0x1) == 0)
2467 {
2468 if (((word >> 30) & 0x1) == 0)
2469 {
2470 /* 33222222222211111111110000000000
2471 10987654321098765432109876543210
2472 xxxxxxxxxxxxxxxxxxxxx0xx0101000x
2473 and. */
2474 return 957;
2475 }
2476 else
2477 {
2478 /* 33222222222211111111110000000000
2479 10987654321098765432109876543210
2480 xxxxxxxxxxxxxxxxxxxxx0xx0101001x
2481 eor. */
2482 return 964;
2483 }
2484 }
2485 else
2486 {
2487 if (((word >> 30) & 0x1) == 0)
2488 {
2489 /* 33222222222211111111110000000000
2490 10987654321098765432109876543210
2491 xxxxxxxxxxxxxxxxxxxxx0xx0101010x
2492 orr. */
2493 return 959;
2494 }
2495 else
2496 {
2497 /* 33222222222211111111110000000000
2498 10987654321098765432109876543210
2499 xxxxxxxxxxxxxxxxxxxxx0xx0101011x
2500 ands. */
2501 return 966;
2502 }
2503 }
2504 }
2505 else
2506 {
2507 if (((word >> 10) & 0x1) == 0)
2508 {
2509 if (((word >> 11) & 0x1) == 0)
2510 {
2511 if (((word >> 22) & 0x1) == 0)
2512 {
2513 if (((word >> 23) & 0x1) == 0)
2514 {
2515 if (((word >> 29) & 0x1) == 0)
2516 {
2517 if (((word >> 30) & 0x1) == 0)
2518 {
2519 /* 33222222222211111111110000000000
2520 10987654321098765432109876543210
2521 xxxxxxxxxx00xxxxxxxxx0000101100x
2522 adc. */
2523 return 0;
2524 }
2525 else
2526 {
2527 /* 33222222222211111111110000000000
2528 10987654321098765432109876543210
2529 xxxxxxxxxx00xxxxxxxxx0000101101x
2530 sbc. */
2531 return 2;
2532 }
2533 }
2534 else
2535 {
2536 if (((word >> 30) & 0x1) == 0)
2537 {
2538 /* 33222222222211111111110000000000
2539 10987654321098765432109876543210
2540 xxxxxxxxxx00xxxxxxxxx0000101110x
2541 adcs. */
2542 return 1;
2543 }
2544 else
2545 {
2546 /* 33222222222211111111110000000000
2547 10987654321098765432109876543210
2548 xxxxxxxxxx00xxxxxxxxx0000101111x
2549 sbcs. */
2550 return 4;
2551 }
2552 }
2553 }
2554 else
2555 {
2556 if (((word >> 30) & 0x1) == 0)
2557 {
2558 /* 33222222222211111111110000000000
2559 10987654321098765432109876543210
2560 xxxxxxxxxx00xxxxxxxxx00101011x0x
2561 csel. */
2562 return 653;
2563 }
2564 else
2565 {
2566 /* 33222222222211111111110000000000
2567 10987654321098765432109876543210
2568 xxxxxxxxxx00xxxxxxxxx00101011x1x
2569 csinv. */
2570 return 657;
2571 }
2572 }
2573 }
2574 else
2575 {
2576 if (((word >> 23) & 0x1) == 0)
2577 {
2578 if (((word >> 30) & 0x1) == 0)
2579 {
2580 /* 33222222222211111111110000000000
2581 10987654321098765432109876543210
2582 xxxxxxxxxx00xxxxxxxxx01001011x0x
2583 ccmn. */
2584 return 651;
2585 }
2586 else
2587 {
2588 /* 33222222222211111111110000000000
2589 10987654321098765432109876543210
2590 xxxxxxxxxx00xxxxxxxxx01001011x1x
2591 ccmp. */
2592 return 652;
2593 }
2594 }
2595 else
2596 {
2597 if (((word >> 12) & 0x1) == 0)
2598 {
2599 if (((word >> 13) & 0x1) == 0)
2600 {
2601 if (((word >> 14) & 0x1) == 0)
2602 {
2603 if (((word >> 16) & 0x1) == 0)
2604 {
2605 /* 33222222222211111111110000000000
2606 10987654321098765432109876543210
2607 xxxxxxxxxx00000x0xxxx01101011xxx
2608 rbit. */
2609 return 676;
2610 }
2611 else
2612 {
2613 /* 33222222222211111111110000000000
2614 10987654321098765432109876543210
2615 xxxxxxxxxx00000x1xxxx01101011xxx
2616 pacia. */
2617 return 684;
2618 }
2619 }
2620 else
2621 {
2622 if (((word >> 30) & 0x1) == 0)
2623 {
2624 /* 33222222222211111111110000000000
2625 10987654321098765432109876543210
2626 xxxxxxxxxx00001xxxxxx01101011x0x
2627 crc32b. */
2628 return 713;
2629 }
2630 else
2631 {
2632 /* 33222222222211111111110000000000
2633 10987654321098765432109876543210
2634 xxxxxxxxxx00001xxxxxx01101011x1x
2635 xpaci. */
2636 return 700;
2637 }
2638 }
2639 }
2640 else
2641 {
2642 if (((word >> 30) & 0x1) == 0)
2643 {
2644 /* 33222222222211111111110000000000
2645 10987654321098765432109876543210
2646 xxxxxxxxxx0001xxxxxxx01101011x0x
2647 lslv. */
2648 return 704;
2649 }
2650 else
2651 {
2652 /* 33222222222211111111110000000000
2653 10987654321098765432109876543210
2654 xxxxxxxxxx0001xxxxxxx01101011x1x
2655 paciza. */
2656 return 692;
2657 }
2658 }
2659 }
2660 else
2661 {
2662 if (((word >> 13) & 0x1) == 0)
2663 {
2664 if (((word >> 14) & 0x1) == 0)
2665 {
2666 if (((word >> 16) & 0x1) == 0)
2667 {
2668 /* 33222222222211111111110000000000
2669 10987654321098765432109876543210
2670 xxxxxxxxxx00100x0xxxx01101011xxx
2671 clz. */
2672 return 681;
2673 }
2674 else
2675 {
2676 /* 33222222222211111111110000000000
2677 10987654321098765432109876543210
2678 xxxxxxxxxx00100x1xxxx01101011xxx
2679 autia. */
2680 return 688;
2681 }
2682 }
2683 else
2684 {
2685 /* 33222222222211111111110000000000
2686 10987654321098765432109876543210
2687 xxxxxxxxxx00101xxxxxx01101011xxx
2688 crc32cb. */
2689 return 717;
2690 }
2691 }
2692 else
2693 {
2694 if (((word >> 30) & 0x1) == 0)
2695 {
2696 /* 33222222222211111111110000000000
2697 10987654321098765432109876543210
2698 xxxxxxxxxx0011xxxxxxx01101011x0x
2699 pacga. */
2700 return 712;
2701 }
2702 else
2703 {
2704 /* 33222222222211111111110000000000
2705 10987654321098765432109876543210
2706 xxxxxxxxxx0011xxxxxxx01101011x1x
2707 autiza. */
2708 return 696;
2709 }
2710 }
2711 }
2712 }
2713 }
2714 }
2715 else
2716 {
2717 if (((word >> 23) & 0x1) == 0)
2718 {
2719 if (((word >> 30) & 0x1) == 0)
2720 {
2721 /* 33222222222211111111110000000000
2722 10987654321098765432109876543210
2723 xxxxxxxxxx01xxxxxxxxx0x001011x0x
2724 ccmn. */
2725 return 649;
2726 }
2727 else
2728 {
2729 /* 33222222222211111111110000000000
2730 10987654321098765432109876543210
2731 xxxxxxxxxx01xxxxxxxxx0x001011x1x
2732 ccmp. */
2733 return 650;
2734 }
2735 }
2736 else
2737 {
2738 if (((word >> 12) & 0x1) == 0)
2739 {
2740 if (((word >> 13) & 0x1) == 0)
2741 {
2742 if (((word >> 14) & 0x1) == 0)
2743 {
2744 if (((word >> 30) & 0x1) == 0)
2745 {
2746 /* 33222222222211111111110000000000
2747 10987654321098765432109876543210
2748 xxxxxxxxxx01000xxxxxx0x101011x0x
2749 udiv. */
2750 return 702;
2751 }
2752 else
2753 {
2754 if (((word >> 16) & 0x1) == 0)
2755 {
2756 if (((word >> 31) & 0x1) == 0)
2757 {
2758 /* 33222222222211111111110000000000
2759 10987654321098765432109876543210
2760 xxxxxxxxxx01000x0xxxx0x101011x10
2761 rev. */
2762 return 678;
2763 }
2764 else
2765 {
2766 /* 33222222222211111111110000000000
2767 10987654321098765432109876543210
2768 xxxxxxxxxx01000x0xxxx0x101011x11
2769 rev32. */
2770 return 683;
2771 }
2772 }
2773 else
2774 {
2775 /* 33222222222211111111110000000000
2776 10987654321098765432109876543210
2777 xxxxxxxxxx01000x1xxxx0x101011x1x
2778 pacda. */
2779 return 686;
2780 }
2781 }
2782 }
2783 else
2784 {
2785 /* 33222222222211111111110000000000
2786 10987654321098765432109876543210
2787 xxxxxxxxxx01001xxxxxx0x101011xxx
2788 crc32w. */
2789 return 715;
2790 }
2791 }
2792 else
2793 {
2794 if (((word >> 30) & 0x1) == 0)
2795 {
2796 /* 33222222222211111111110000000000
2797 10987654321098765432109876543210
2798 xxxxxxxxxx0101xxxxxxx0x101011x0x
2799 asrv. */
2800 return 708;
2801 }
2802 else
2803 {
2804 /* 33222222222211111111110000000000
2805 10987654321098765432109876543210
2806 xxxxxxxxxx0101xxxxxxx0x101011x1x
2807 pacdza. */
2808 return 694;
2809 }
2810 }
2811 }
2812 else
2813 {
2814 if (((word >> 13) & 0x1) == 0)
2815 {
2816 if (((word >> 14) & 0x1) == 0)
2817 {
2818 /* 33222222222211111111110000000000
2819 10987654321098765432109876543210
2820 xxxxxxxxxx01100xxxxxx0x101011xxx
2821 autda. */
2822 return 690;
2823 }
2824 else
2825 {
2826 /* 33222222222211111111110000000000
2827 10987654321098765432109876543210
2828 xxxxxxxxxx01101xxxxxx0x101011xxx
2829 crc32cw. */
2830 return 719;
2831 }
2832 }
2833 else
2834 {
2835 /* 33222222222211111111110000000000
2836 10987654321098765432109876543210
2837 xxxxxxxxxx0111xxxxxxx0x101011xxx
2838 autdza. */
2839 return 698;
2840 }
2841 }
2842 }
2843 }
2844 }
2845 else
2846 {
2847 if (((word >> 11) & 0x1) == 0)
2848 {
2849 if (((word >> 22) & 0x1) == 0)
2850 {
2851 if (((word >> 30) & 0x1) == 0)
2852 {
2853 /* 33222222222211111111110000000000
2854 10987654321098765432109876543210
2855 xxxxxxxxxx10xxxxxxxxx00x01011x0x
2856 csinc. */
2857 return 654;
2858 }
2859 else
2860 {
2861 /* 33222222222211111111110000000000
2862 10987654321098765432109876543210
2863 xxxxxxxxxx10xxxxxxxxx00x01011x1x
2864 csneg. */
2865 return 660;
2866 }
2867 }
2868 else
2869 {
2870 if (((word >> 12) & 0x1) == 0)
2871 {
2872 if (((word >> 13) & 0x1) == 0)
2873 {
2874 if (((word >> 14) & 0x1) == 0)
2875 {
2876 if (((word >> 16) & 0x1) == 0)
2877 {
2878 /* 33222222222211111111110000000000
2879 10987654321098765432109876543210
2880 xxxxxxxxxx10000x0xxxx01x01011xxx
2881 rev16. */
2882 return 677;
2883 }
2884 else
2885 {
2886 /* 33222222222211111111110000000000
2887 10987654321098765432109876543210
2888 xxxxxxxxxx10000x1xxxx01x01011xxx
2889 pacib. */
2890 return 685;
2891 }
2892 }
2893 else
2894 {
2895 if (((word >> 30) & 0x1) == 0)
2896 {
2897 /* 33222222222211111111110000000000
2898 10987654321098765432109876543210
2899 xxxxxxxxxx10001xxxxxx01x01011x0x
2900 crc32h. */
2901 return 714;
2902 }
2903 else
2904 {
2905 /* 33222222222211111111110000000000
2906 10987654321098765432109876543210
2907 xxxxxxxxxx10001xxxxxx01x01011x1x
2908 xpacd. */
2909 return 701;
2910 }
2911 }
2912 }
2913 else
2914 {
2915 if (((word >> 30) & 0x1) == 0)
2916 {
2917 /* 33222222222211111111110000000000
2918 10987654321098765432109876543210
2919 xxxxxxxxxx1001xxxxxxx01x01011x0x
2920 lsrv. */
2921 return 706;
2922 }
2923 else
2924 {
2925 /* 33222222222211111111110000000000
2926 10987654321098765432109876543210
2927 xxxxxxxxxx1001xxxxxxx01x01011x1x
2928 pacizb. */
2929 return 693;
2930 }
2931 }
2932 }
2933 else
2934 {
2935 if (((word >> 13) & 0x1) == 0)
2936 {
2937 if (((word >> 14) & 0x1) == 0)
2938 {
2939 if (((word >> 16) & 0x1) == 0)
2940 {
2941 /* 33222222222211111111110000000000
2942 10987654321098765432109876543210
2943 xxxxxxxxxx10100x0xxxx01x01011xxx
2944 cls. */
2945 return 682;
2946 }
2947 else
2948 {
2949 /* 33222222222211111111110000000000
2950 10987654321098765432109876543210
2951 xxxxxxxxxx10100x1xxxx01x01011xxx
2952 autib. */
2953 return 689;
2954 }
2955 }
2956 else
2957 {
2958 /* 33222222222211111111110000000000
2959 10987654321098765432109876543210
2960 xxxxxxxxxx10101xxxxxx01x01011xxx
2961 crc32ch. */
2962 return 718;
2963 }
2964 }
2965 else
2966 {
2967 /* 33222222222211111111110000000000
2968 10987654321098765432109876543210
2969 xxxxxxxxxx1011xxxxxxx01x01011xxx
2970 autizb. */
2971 return 697;
2972 }
2973 }
2974 }
2975 }
2976 else
2977 {
2978 if (((word >> 12) & 0x1) == 0)
2979 {
2980 if (((word >> 13) & 0x1) == 0)
2981 {
2982 if (((word >> 14) & 0x1) == 0)
2983 {
2984 if (((word >> 30) & 0x1) == 0)
2985 {
2986 /* 33222222222211111111110000000000
2987 10987654321098765432109876543210
2988 xxxxxxxxxx11000xxxxxx0xx01011x0x
2989 sdiv. */
2990 return 703;
2991 }
2992 else
2993 {
2994 if (((word >> 16) & 0x1) == 0)
2995 {
2996 /* 33222222222211111111110000000000
2997 10987654321098765432109876543210
2998 xxxxxxxxxx11000x0xxxx0xx01011x1x
2999 rev. */
3000 return 679;
3001 }
3002 else
3003 {
3004 /* 33222222222211111111110000000000
3005 10987654321098765432109876543210
3006 xxxxxxxxxx11000x1xxxx0xx01011x1x
3007 pacdb. */
3008 return 687;
3009 }
3010 }
3011 }
3012 else
3013 {
3014 /* 33222222222211111111110000000000
3015 10987654321098765432109876543210
3016 xxxxxxxxxx11001xxxxxx0xx01011xxx
3017 crc32x. */
3018 return 716;
3019 }
3020 }
3021 else
3022 {
3023 if (((word >> 30) & 0x1) == 0)
3024 {
3025 /* 33222222222211111111110000000000
3026 10987654321098765432109876543210
3027 xxxxxxxxxx1101xxxxxxx0xx01011x0x
3028 rorv. */
3029 return 710;
3030 }
3031 else
3032 {
3033 /* 33222222222211111111110000000000
3034 10987654321098765432109876543210
3035 xxxxxxxxxx1101xxxxxxx0xx01011x1x
3036 pacdzb. */
3037 return 695;
3038 }
3039 }
3040 }
3041 else
3042 {
3043 if (((word >> 13) & 0x1) == 0)
3044 {
3045 if (((word >> 14) & 0x1) == 0)
3046 {
3047 /* 33222222222211111111110000000000
3048 10987654321098765432109876543210
3049 xxxxxxxxxx11100xxxxxx0xx01011xxx
3050 autdb. */
3051 return 691;
3052 }
3053 else
3054 {
3055 /* 33222222222211111111110000000000
3056 10987654321098765432109876543210
3057 xxxxxxxxxx11101xxxxxx0xx01011xxx
3058 crc32cx. */
3059 return 720;
3060 }
3061 }
3062 else
3063 {
3064 /* 33222222222211111111110000000000
3065 10987654321098765432109876543210
3066 xxxxxxxxxx1111xxxxxxx0xx01011xxx
3067 autdzb. */
3068 return 699;
3069 }
3070 }
3071 }
3072 }
3073 }
3074 }
3075 else
3076 {
3077 if (((word >> 29) & 0x1) == 0)
3078 {
3079 if (((word >> 30) & 0x1) == 0)
3080 {
3081 /* 33222222222211111111110000000000
3082 10987654321098765432109876543210
3083 xxxxxxxxxxxxxxxxxxxxx1xx0101x00x
3084 bic. */
3085 return 958;
3086 }
3087 else
3088 {
3089 /* 33222222222211111111110000000000
3090 10987654321098765432109876543210
3091 xxxxxxxxxxxxxxxxxxxxx1xx0101x01x
3092 eon. */
3093 return 965;
3094 }
3095 }
3096 else
3097 {
3098 if (((word >> 30) & 0x1) == 0)
3099 {
3100 /* 33222222222211111111110000000000
3101 10987654321098765432109876543210
3102 xxxxxxxxxxxxxxxxxxxxx1xx0101x10x
3103 orn. */
3104 return 962;
3105 }
3106 else
3107 {
3108 /* 33222222222211111111110000000000
3109 10987654321098765432109876543210
3110 xxxxxxxxxxxxxxxxxxxxx1xx0101x11x
3111 bics. */
3112 return 968;
3113 }
3114 }
3115 }
3116 }
3117 }
3118 else
3119 {
3120 if (((word >> 27) & 0x1) == 0)
3121 {
3122 if (((word >> 23) & 0x1) == 0)
3123 {
3124 if (((word >> 29) & 0x1) == 0)
3125 {
3126 if (((word >> 30) & 0x1) == 0)
3127 {
3128 /* 33222222222211111111110000000000
3129 10987654321098765432109876543210
3130 xxxxxxxxxxxxxxxxxxxxxxx01100x00x
3131 sbfm. */
3132 return 609;
3133 }
3134 else
3135 {
3136 /* 33222222222211111111110000000000
3137 10987654321098765432109876543210
3138 xxxxxxxxxxxxxxxxxxxxxxx01100x01x
3139 ubfm. */
3140 return 620;
3141 }
3142 }
3143 else
3144 {
3145 /* 33222222222211111111110000000000
3146 10987654321098765432109876543210
3147 xxxxxxxxxxxxxxxxxxxxxxx01100x1xx
3148 bfm. */
3149 return 616;
3150 }
3151 }
3152 else
3153 {
3154 /* 33222222222211111111110000000000
3155 10987654321098765432109876543210
3156 xxxxxxxxxxxxxxxxxxxxxxx11100xxxx
3157 extr. */
3158 return 743;
3159 }
3160 }
3161 else
3162 {
3163 if (((word >> 21) & 0x1) == 0)
3164 {
3165 if (((word >> 28) & 0x1) == 0)
3166 {
3167 if (((word >> 29) & 0x1) == 0)
3168 {
3169 if (((word >> 30) & 0x1) == 0)
3170 {
3171 /* 33222222222211111111110000000000
3172 10987654321098765432109876543210
3173 xxxxxxxxxxxxxxxxxxxxx0xx1101000x
3174 add. */
3175 return 19;
3176 }
3177 else
3178 {
3179 /* 33222222222211111111110000000000
3180 10987654321098765432109876543210
3181 xxxxxxxxxxxxxxxxxxxxx0xx1101001x
3182 sub. */
3183 return 22;
3184 }
3185 }
3186 else
3187 {
3188 if (((word >> 30) & 0x1) == 0)
3189 {
3190 /* 33222222222211111111110000000000
3191 10987654321098765432109876543210
3192 xxxxxxxxxxxxxxxxxxxxx0xx1101010x
3193 adds. */
3194 return 20;
3195 }
3196 else
3197 {
3198 /* 33222222222211111111110000000000
3199 10987654321098765432109876543210
3200 xxxxxxxxxxxxxxxxxxxxx0xx1101011x
3201 subs. */
3202 return 24;
3203 }
3204 }
3205 }
3206 else
3207 {
3208 if (((word >> 15) & 0x1) == 0)
3209 {
3210 if (((word >> 22) & 0x1) == 0)
3211 {
3212 /* 33222222222211111111110000000000
3213 10987654321098765432109876543210
3214 xxxxxxxxxxxxxxx0xxxxx00x11011xxx
3215 madd. */
3216 return 721;
3217 }
3218 else
3219 {
3220 if (((word >> 23) & 0x1) == 0)
3221 {
3222 /* 33222222222211111111110000000000
3223 10987654321098765432109876543210
3224 xxxxxxxxxxxxxxx0xxxxx01011011xxx
3225 smulh. */
3226 return 729;
3227 }
3228 else
3229 {
3230 /* 33222222222211111111110000000000
3231 10987654321098765432109876543210
3232 xxxxxxxxxxxxxxx0xxxxx01111011xxx
3233 umulh. */
3234 return 734;
3235 }
3236 }
3237 }
3238 else
3239 {
3240 /* 33222222222211111111110000000000
3241 10987654321098765432109876543210
3242 xxxxxxxxxxxxxxx1xxxxx0xx11011xxx
3243 msub. */
3244 return 723;
3245 }
3246 }
3247 }
3248 else
3249 {
3250 if (((word >> 23) & 0x1) == 0)
3251 {
3252 if (((word >> 28) & 0x1) == 0)
3253 {
3254 if (((word >> 29) & 0x1) == 0)
3255 {
3256 if (((word >> 30) & 0x1) == 0)
3257 {
3258 /* 33222222222211111111110000000000
3259 10987654321098765432109876543210
3260 xxxxxxxxxxxxxxxxxxxxx1x01101000x
3261 add. */
3262 return 6;
3263 }
3264 else
3265 {
3266 /* 33222222222211111111110000000000
3267 10987654321098765432109876543210
3268 xxxxxxxxxxxxxxxxxxxxx1x01101001x
3269 sub. */
3270 return 9;
3271 }
3272 }
3273 else
3274 {
3275 if (((word >> 30) & 0x1) == 0)
3276 {
3277 /* 33222222222211111111110000000000
3278 10987654321098765432109876543210
3279 xxxxxxxxxxxxxxxxxxxxx1x01101010x
3280 adds. */
3281 return 7;
3282 }
3283 else
3284 {
3285 /* 33222222222211111111110000000000
3286 10987654321098765432109876543210
3287 xxxxxxxxxxxxxxxxxxxxx1x01101011x
3288 subs. */
3289 return 10;
3290 }
3291 }
3292 }
3293 else
3294 {
3295 if (((word >> 15) & 0x1) == 0)
3296 {
3297 /* 33222222222211111111110000000000
3298 10987654321098765432109876543210
3299 xxxxxxxxxxxxxxx0xxxxx1x011011xxx
3300 smaddl. */
3301 return 725;
3302 }
3303 else
3304 {
3305 /* 33222222222211111111110000000000
3306 10987654321098765432109876543210
3307 xxxxxxxxxxxxxxx1xxxxx1x011011xxx
3308 smsubl. */
3309 return 727;
3310 }
3311 }
3312 }
3313 else
3314 {
3315 if (((word >> 15) & 0x1) == 0)
3316 {
3317 /* 33222222222211111111110000000000
3318 10987654321098765432109876543210
3319 xxxxxxxxxxxxxxx0xxxxx1x11101xxxx
3320 umaddl. */
3321 return 730;
3322 }
3323 else
3324 {
3325 /* 33222222222211111111110000000000
3326 10987654321098765432109876543210
3327 xxxxxxxxxxxxxxx1xxxxx1x11101xxxx
3328 umsubl. */
3329 return 732;
3330 }
3331 }
3332 }
3333 }
3334 }
3335 }
3336 }
3337 else
3338 {
3339 if (((word >> 27) & 0x1) == 0)
3340 {
3341 if (((word >> 28) & 0x1) == 0)
3342 {
3343 if (((word >> 24) & 0x1) == 0)
3344 {
3345 if (((word >> 13) & 0x1) == 0)
3346 {
3347 if (((word >> 29) & 0x1) == 0)
3348 {
3349 if (((word >> 14) & 0x1) == 0)
3350 {
3351 if (((word >> 15) & 0x1) == 0)
3352 {
3353 if (((word >> 21) & 0x1) == 0)
3354 {
3355 if (((word >> 30) & 0x1) == 0)
3356 {
3357 if (((word >> 31) & 0x1) == 0)
3358 {
3359 if (((word >> 16) & 0x1) == 0)
3360 {
3361 if (((word >> 17) & 0x1) == 0)
3362 {
3363 if (((word >> 18) & 0x1) == 0)
3364 {
3365 if (((word >> 19) & 0x1) == 0)
3366 {
3367 if (((word >> 20) & 0x1) == 0)
3368 {
3369 /* 33222222222211111111110000000000
3370 10987654321098765432109876543210
3371 xxxxxxxxxxxxx000000000xx0x100000
3372 add. */
3373 return 1223;
3374 }
3375 else
3376 {
3377 /* 33222222222211111111110000000000
3378 10987654321098765432109876543210
3379 xxxxxxxxxxxxx000000010xx0x100000
3380 mul. */
3381 return 1649;
3382 }
3383 }
3384 else
3385 {
3386 if (((word >> 20) & 0x1) == 0)
3387 {
3388 /* 33222222222211111111110000000000
3389 10987654321098765432109876543210
3390 xxxxxxxxxxxxx000000100xx0x100000
3391 smax. */
3392 return 1722;
3393 }
3394 else
3395 {
3396 /* 33222222222211111111110000000000
3397 10987654321098765432109876543210
3398 xxxxxxxxxxxxx000000110xx0x100000
3399 orr. */
3400 return 1660;
3401 }
3402 }
3403 }
3404 else
3405 {
3406 if (((word >> 19) & 0x1) == 0)
3407 {
3408 /* 33222222222211111111110000000000
3409 10987654321098765432109876543210
3410 xxxxxxxxxxxxx0000010x0xx0x100000
3411 sdiv. */
3412 return 1716;
3413 }
3414 else
3415 {
3416 /* 33222222222211111111110000000000
3417 10987654321098765432109876543210
3418 xxxxxxxxxxxxx0000011x0xx0x100000
3419 sabd. */
3420 return 1710;
3421 }
3422 }
3423 }
3424 else
3425 {
3426 if (((word >> 18) & 0x1) == 0)
3427 {
3428 if (((word >> 19) & 0x1) == 0)
3429 {
3430 /* 33222222222211111111110000000000
3431 10987654321098765432109876543210
3432 xxxxxxxxxxxxx0000100x0xx0x100000
3433 smulh. */
3434 return 1727;
3435 }
3436 else
3437 {
3438 if (((word >> 20) & 0x1) == 0)
3439 {
3440 /* 33222222222211111111110000000000
3441 10987654321098765432109876543210
3442 xxxxxxxxxxxxx000010100xx0x100000
3443 smin. */
3444 return 1725;
3445 }
3446 else
3447 {
3448 /* 33222222222211111111110000000000
3449 10987654321098765432109876543210
3450 xxxxxxxxxxxxx000010110xx0x100000
3451 and. */
3452 return 1231;
3453 }
3454 }
3455 }
3456 else
3457 {
3458 /* 33222222222211111111110000000000
3459 10987654321098765432109876543210
3460 xxxxxxxxxxxxx000011xx0xx0x100000
3461 sdivr. */
3462 return 1717;
3463 }
3464 }
3465 }
3466 else
3467 {
3468 if (((word >> 17) & 0x1) == 0)
3469 {
3470 if (((word >> 18) & 0x1) == 0)
3471 {
3472 if (((word >> 19) & 0x1) == 0)
3473 {
3474 /* 33222222222211111111110000000000
3475 10987654321098765432109876543210
3476 xxxxxxxxxxxxx0001000x0xx0x100000
3477 sub. */
3478 return 1843;
3479 }
3480 else
3481 {
3482 if (((word >> 20) & 0x1) == 0)
3483 {
3484 /* 33222222222211111111110000000000
3485 10987654321098765432109876543210
3486 xxxxxxxxxxxxx000100100xx0x100000
3487 umax. */
3488 return 1865;
3489 }
3490 else
3491 {
3492 /* 33222222222211111111110000000000
3493 10987654321098765432109876543210
3494 xxxxxxxxxxxxx000100110xx0x100000
3495 eor. */
3496 return 1318;
3497 }
3498 }
3499 }
3500 else
3501 {
3502 if (((word >> 19) & 0x1) == 0)
3503 {
3504 /* 33222222222211111111110000000000
3505 10987654321098765432109876543210
3506 xxxxxxxxxxxxx0001010x0xx0x100000
3507 udiv. */
3508 return 1862;
3509 }
3510 else
3511 {
3512 /* 33222222222211111111110000000000
3513 10987654321098765432109876543210
3514 xxxxxxxxxxxxx0001011x0xx0x100000
3515 uabd. */
3516 return 1856;
3517 }
3518 }
3519 }
3520 else
3521 {
3522 if (((word >> 18) & 0x1) == 0)
3523 {
3524 if (((word >> 19) & 0x1) == 0)
3525 {
3526 if (((word >> 20) & 0x1) == 0)
3527 {
3528 /* 33222222222211111111110000000000
3529 10987654321098765432109876543210
3530 xxxxxxxxxxxxx000110000xx0x100000
3531 subr. */
3532 return 1845;
3533 }
3534 else
3535 {
3536 /* 33222222222211111111110000000000
3537 10987654321098765432109876543210
3538 xxxxxxxxxxxxx000110010xx0x100000
3539 umulh. */
3540 return 1870;
3541 }
3542 }
3543 else
3544 {
3545 if (((word >> 20) & 0x1) == 0)
3546 {
3547 /* 33222222222211111111110000000000
3548 10987654321098765432109876543210
3549 xxxxxxxxxxxxx000110100xx0x100000
3550 umin. */
3551 return 1868;
3552 }
3553 else
3554 {
3555 /* 33222222222211111111110000000000
3556 10987654321098765432109876543210
3557 xxxxxxxxxxxxx000110110xx0x100000
3558 bic. */
3559 return 1243;
3560 }
3561 }
3562 }
3563 else
3564 {
3565 /* 33222222222211111111110000000000
3566 10987654321098765432109876543210
3567 xxxxxxxxxxxxx000111xx0xx0x100000
3568 udivr. */
3569 return 1863;
3570 }
3571 }
3572 }
3573 }
3574 else
3575 {
3576 if (((word >> 23) & 0x1) == 0)
3577 {
3578 /* 33222222222211111111110000000000
3579 10987654321098765432109876543210
3580 xxxxxxxxxxxxx000xxxxx0x00x100001
3581 ld1sb. */
3582 return 1478;
3583 }
3584 else
3585 {
3586 /* 33222222222211111111110000000000
3587 10987654321098765432109876543210
3588 xxxxxxxxxxxxx000xxxxx0x10x100001
3589 ld1sh. */
3590 return 1489;
3591 }
3592 }
3593 }
3594 else
3595 {
3596 if (((word >> 23) & 0x1) == 0)
3597 {
3598 /* 33222222222211111111110000000000
3599 10987654321098765432109876543210
3600 xxxxxxxxxxxxx000xxxxx0x00x10001x
3601 ld1sb. */
3602 return 1482;
3603 }
3604 else
3605 {
3606 /* 33222222222211111111110000000000
3607 10987654321098765432109876543210
3608 xxxxxxxxxxxxx000xxxxx0x10x10001x
3609 ld1sh. */
3610 return 1493;
3611 }
3612 }
3613 }
3614 else
3615 {
3616 if (((word >> 30) & 0x1) == 0)
3617 {
3618 if (((word >> 31) & 0x1) == 0)
3619 {
3620 if (((word >> 10) & 0x1) == 0)
3621 {
3622 if (((word >> 11) & 0x1) == 0)
3623 {
3624 if (((word >> 12) & 0x1) == 0)
3625 {
3626 /* 33222222222211111111110000000000
3627 10987654321098765432109876543210
3628 xxxxxxxxxx000000xxxxx1xx0x100000
3629 add. */
3630 return 1221;
3631 }
3632 else
3633 {
3634 /* 33222222222211111111110000000000
3635 10987654321098765432109876543210
3636 xxxxxxxxxx001000xxxxx1xx0x100000
3637 sqadd. */
3638 return 1729;
3639 }
3640 }
3641 else
3642 {
3643 /* 33222222222211111111110000000000
3644 10987654321098765432109876543210
3645 xxxxxxxxxx01x000xxxxx1xx0x100000
3646 sqsub. */
3647 return 1759;
3648 }
3649 }
3650 else
3651 {
3652 if (((word >> 11) & 0x1) == 0)
3653 {
3654 if (((word >> 12) & 0x1) == 0)
3655 {
3656 /* 33222222222211111111110000000000
3657 10987654321098765432109876543210
3658 xxxxxxxxxx100000xxxxx1xx0x100000
3659 sub. */
3660 return 1841;
3661 }
3662 else
3663 {
3664 /* 33222222222211111111110000000000
3665 10987654321098765432109876543210
3666 xxxxxxxxxx101000xxxxx1xx0x100000
3667 uqadd. */
3668 return 1871;
3669 }
3670 }
3671 else
3672 {
3673 /* 33222222222211111111110000000000
3674 10987654321098765432109876543210
3675 xxxxxxxxxx11x000xxxxx1xx0x100000
3676 uqsub. */
3677 return 1901;
3678 }
3679 }
3680 }
3681 else
3682 {
3683 if (((word >> 23) & 0x1) == 0)
3684 {
3685 /* 33222222222211111111110000000000
3686 10987654321098765432109876543210
3687 xxxxxxxxxxxxx000xxxxx1x00x100001
3688 prfb. */
3689 return 1668;
3690 }
3691 else
3692 {
3693 /* 33222222222211111111110000000000
3694 10987654321098765432109876543210
3695 xxxxxxxxxxxxx000xxxxx1x10x100001
3696 ld1sh. */
3697 return 1490;
3698 }
3699 }
3700 }
3701 else
3702 {
3703 if (((word >> 23) & 0x1) == 0)
3704 {
3705 /* 33222222222211111111110000000000
3706 10987654321098765432109876543210
3707 xxxxxxxxxxxxx000xxxxx1x00x10001x
3708 prfb. */
3709 return 1669;
3710 }
3711 else
3712 {
3713 /* 33222222222211111111110000000000
3714 10987654321098765432109876543210
3715 xxxxxxxxxxxxx000xxxxx1x10x10001x
3716 ld1sh. */
3717 return 1494;
3718 }
3719 }
3720 }
3721 }
3722 else
3723 {
3724 if (((word >> 30) & 0x1) == 0)
3725 {
3726 if (((word >> 31) & 0x1) == 0)
3727 {
3728 if (((word >> 21) & 0x1) == 0)
3729 {
3730 if (((word >> 16) & 0x1) == 0)
3731 {
3732 if (((word >> 18) & 0x1) == 0)
3733 {
3734 if (((word >> 19) & 0x1) == 0)
3735 {
3736 if (((word >> 20) & 0x1) == 0)
3737 {
3738 /* 33222222222211111111110000000000
3739 10987654321098765432109876543210
3740 xxxxxxxxxxxxx0010x0000xx0x100000
3741 asr. */
3742 return 1239;
3743 }
3744 else
3745 {
3746 /* 33222222222211111111110000000000
3747 10987654321098765432109876543210
3748 xxxxxxxxxxxxx0010x0010xx0x100000
3749 asr. */
3750 return 1237;
3751 }
3752 }
3753 else
3754 {
3755 /* 33222222222211111111110000000000
3756 10987654321098765432109876543210
3757 xxxxxxxxxxxxx0010x01x0xx0x100000
3758 asr. */
3759 return 1238;
3760 }
3761 }
3762 else
3763 {
3764 if (((word >> 20) & 0x1) == 0)
3765 {
3766 /* 33222222222211111111110000000000
3767 10987654321098765432109876543210
3768 xxxxxxxxxxxxx0010x1x00xx0x100000
3769 asrd. */
3770 return 1240;
3771 }
3772 else
3773 {
3774 /* 33222222222211111111110000000000
3775 10987654321098765432109876543210
3776 xxxxxxxxxxxxx0010x1x10xx0x100000
3777 asrr. */
3778 return 1241;
3779 }
3780 }
3781 }
3782 else
3783 {
3784 if (((word >> 17) & 0x1) == 0)
3785 {
3786 if (((word >> 18) & 0x1) == 0)
3787 {
3788 if (((word >> 19) & 0x1) == 0)
3789 {
3790 if (((word >> 20) & 0x1) == 0)
3791 {
3792 /* 33222222222211111111110000000000
3793 10987654321098765432109876543210
3794 xxxxxxxxxxxxx001100000xx0x100000
3795 lsr. */
3796 return 1640;
3797 }
3798 else
3799 {
3800 /* 33222222222211111111110000000000
3801 10987654321098765432109876543210
3802 xxxxxxxxxxxxx001100010xx0x100000
3803 lsr. */
3804 return 1638;
3805 }
3806 }
3807 else
3808 {
3809 /* 33222222222211111111110000000000
3810 10987654321098765432109876543210
3811 xxxxxxxxxxxxx0011001x0xx0x100000
3812 lsr. */
3813 return 1639;
3814 }
3815 }
3816 else
3817 {
3818 /* 33222222222211111111110000000000
3819 10987654321098765432109876543210
3820 xxxxxxxxxxxxx001101xx0xx0x100000
3821 lsrr. */
3822 return 1641;
3823 }
3824 }
3825 else
3826 {
3827 if (((word >> 18) & 0x1) == 0)
3828 {
3829 if (((word >> 19) & 0x1) == 0)
3830 {
3831 if (((word >> 20) & 0x1) == 0)
3832 {
3833 /* 33222222222211111111110000000000
3834 10987654321098765432109876543210
3835 xxxxxxxxxxxxx001110000xx0x100000
3836 lsl. */
3837 return 1634;
3838 }
3839 else
3840 {
3841 /* 33222222222211111111110000000000
3842 10987654321098765432109876543210
3843 xxxxxxxxxxxxx001110010xx0x100000
3844 lsl. */
3845 return 1632;
3846 }
3847 }
3848 else
3849 {
3850 /* 33222222222211111111110000000000
3851 10987654321098765432109876543210
3852 xxxxxxxxxxxxx0011101x0xx0x100000
3853 lsl. */
3854 return 1633;
3855 }
3856 }
3857 else
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
3861 xxxxxxxxxxxxx001111xx0xx0x100000
3862 lslr. */
3863 return 1635;
3864 }
3865 }
3866 }
3867 }
3868 else
3869 {
3870 if (((word >> 10) & 0x1) == 0)
3871 {
3872 if (((word >> 12) & 0x1) == 0)
3873 {
3874 /* 33222222222211111111110000000000
3875 10987654321098765432109876543210
3876 xxxxxxxxxx0x0001xxxxx1xx0x100000
3877 asr. */
3878 return 1235;
3879 }
3880 else
3881 {
3882 /* 33222222222211111111110000000000
3883 10987654321098765432109876543210
3884 xxxxxxxxxx0x1001xxxxx1xx0x100000
3885 asr. */
3886 return 1236;
3887 }
3888 }
3889 else
3890 {
3891 if (((word >> 11) & 0x1) == 0)
3892 {
3893 if (((word >> 12) & 0x1) == 0)
3894 {
3895 /* 33222222222211111111110000000000
3896 10987654321098765432109876543210
3897 xxxxxxxxxx100001xxxxx1xx0x100000
3898 lsr. */
3899 return 1636;
3900 }
3901 else
3902 {
3903 /* 33222222222211111111110000000000
3904 10987654321098765432109876543210
3905 xxxxxxxxxx101001xxxxx1xx0x100000
3906 lsr. */
3907 return 1637;
3908 }
3909 }
3910 else
3911 {
3912 if (((word >> 12) & 0x1) == 0)
3913 {
3914 /* 33222222222211111111110000000000
3915 10987654321098765432109876543210
3916 xxxxxxxxxx110001xxxxx1xx0x100000
3917 lsl. */
3918 return 1630;
3919 }
3920 else
3921 {
3922 /* 33222222222211111111110000000000
3923 10987654321098765432109876543210
3924 xxxxxxxxxx111001xxxxx1xx0x100000
3925 lsl. */
3926 return 1631;
3927 }
3928 }
3929 }
3930 }
3931 }
3932 else
3933 {
3934 if (((word >> 22) & 0x1) == 0)
3935 {
3936 if (((word >> 23) & 0x1) == 0)
3937 {
3938 /* 33222222222211111111110000000000
3939 10987654321098765432109876543210
3940 xxxxxxxxxxxxx001xxxxxx000x100001
3941 ld1sb. */
3942 return 1484;
3943 }
3944 else
3945 {
3946 /* 33222222222211111111110000000000
3947 10987654321098765432109876543210
3948 xxxxxxxxxxxxx001xxxxxx010x100001
3949 ld1sh. */
3950 return 1497;
3951 }
3952 }
3953 else
3954 {
3955 if (((word >> 23) & 0x1) == 0)
3956 {
3957 /* 33222222222211111111110000000000
3958 10987654321098765432109876543210
3959 xxxxxxxxxxxxx001xxxxxx100x100001
3960 ld1rb. */
3961 return 1462;
3962 }
3963 else
3964 {
3965 /* 33222222222211111111110000000000
3966 10987654321098765432109876543210
3967 xxxxxxxxxxxxx001xxxxxx110x100001
3968 ld1rsw. */
3969 return 1475;
3970 }
3971 }
3972 }
3973 }
3974 else
3975 {
3976 if (((word >> 21) & 0x1) == 0)
3977 {
3978 if (((word >> 23) & 0x1) == 0)
3979 {
3980 /* 33222222222211111111110000000000
3981 10987654321098765432109876543210
3982 xxxxxxxxxxxxx001xxxxx0x00x10001x
3983 ld1sb. */
3984 return 1483;
3985 }
3986 else
3987 {
3988 /* 33222222222211111111110000000000
3989 10987654321098765432109876543210
3990 xxxxxxxxxxxxx001xxxxx0x10x10001x
3991 ld1sh. */
3992 return 1495;
3993 }
3994 }
3995 else
3996 {
3997 if (((word >> 22) & 0x1) == 0)
3998 {
3999 if (((word >> 23) & 0x1) == 0)
4000 {
4001 /* 33222222222211111111110000000000
4002 10987654321098765432109876543210
4003 xxxxxxxxxxxxx001xxxxx1000x10001x
4004 ld1sb. */
4005 return 1488;
4006 }
4007 else
4008 {
4009 /* 33222222222211111111110000000000
4010 10987654321098765432109876543210
4011 xxxxxxxxxxxxx001xxxxx1010x10001x
4012 ld1sh. */
4013 return 1500;
4014 }
4015 }
4016 else
4017 {
4018 if (((word >> 23) & 0x1) == 0)
4019 {
4020 /* 33222222222211111111110000000000
4021 10987654321098765432109876543210
4022 xxxxxxxxxxxxx001xxxxx1100x10001x
4023 prfb. */
4024 return 1670;
4025 }
4026 else
4027 {
4028 /* 33222222222211111111110000000000
4029 10987654321098765432109876543210
4030 xxxxxxxxxxxxx001xxxxx1110x10001x
4031 ld1sh. */
4032 return 1496;
4033 }
4034 }
4035 }
4036 }
4037 }
4038 }
4039 else
4040 {
4041 if (((word >> 15) & 0x1) == 0)
4042 {
4043 if (((word >> 21) & 0x1) == 0)
4044 {
4045 if (((word >> 30) & 0x1) == 0)
4046 {
4047 if (((word >> 31) & 0x1) == 0)
4048 {
4049 /* 33222222222211111111110000000000
4050 10987654321098765432109876543210
4051 xxxxxxxxxxxxx010xxxxx0xx0x100000
4052 mla. */
4053 return 1643;
4054 }
4055 else
4056 {
4057 if (((word >> 23) & 0x1) == 0)
4058 {
4059 /* 33222222222211111111110000000000
4060 10987654321098765432109876543210
4061 xxxxxxxxxxxxx010xxxxx0x00x100001
4062 ld1b. */
4063 return 1428;
4064 }
4065 else
4066 {
4067 /* 33222222222211111111110000000000
4068 10987654321098765432109876543210
4069 xxxxxxxxxxxxx010xxxxx0x10x100001
4070 ld1h. */
4071 return 1448;
4072 }
4073 }
4074 }
4075 else
4076 {
4077 if (((word >> 23) & 0x1) == 0)
4078 {
4079 /* 33222222222211111111110000000000
4080 10987654321098765432109876543210
4081 xxxxxxxxxxxxx010xxxxx0x00x10001x
4082 ld1b. */
4083 return 1433;
4084 }
4085 else
4086 {
4087 /* 33222222222211111111110000000000
4088 10987654321098765432109876543210
4089 xxxxxxxxxxxxx010xxxxx0x10x10001x
4090 ld1h. */
4091 return 1453;
4092 }
4093 }
4094 }
4095 else
4096 {
4097 if (((word >> 30) & 0x1) == 0)
4098 {
4099 if (((word >> 31) & 0x1) == 0)
4100 {
4101 if (((word >> 11) & 0x1) == 0)
4102 {
4103 if (((word >> 12) & 0x1) == 0)
4104 {
4105 if (((word >> 10) & 0x1) == 0)
4106 {
4107 /* 33222222222211111111110000000000
4108 10987654321098765432109876543210
4109 xxxxxxxxxx000010xxxxx1xx0x100000
4110 index. */
4111 return 1419;
4112 }
4113 else
4114 {
4115 /* 33222222222211111111110000000000
4116 10987654321098765432109876543210
4117 xxxxxxxxxx100010xxxxx1xx0x100000
4118 index. */
4119 return 1420;
4120 }
4121 }
4122 else
4123 {
4124 if (((word >> 22) & 0x1) == 0)
4125 {
4126 if (((word >> 23) & 0x1) == 0)
4127 {
4128 /* 33222222222211111111110000000000
4129 10987654321098765432109876543210
4130 xxxxxxxxxxx01010xxxxx1000x100000
4131 addvl. */
4132 return 1225;
4133 }
4134 else
4135 {
4136 /* 33222222222211111111110000000000
4137 10987654321098765432109876543210
4138 xxxxxxxxxxx01010xxxxx1010x100000
4139 rdvl. */
4140 return 1704;
4141 }
4142 }
4143 else
4144 {
4145 /* 33222222222211111111110000000000
4146 10987654321098765432109876543210
4147 xxxxxxxxxxx01010xxxxx11x0x100000
4148 addpl. */
4149 return 1224;
4150 }
4151 }
4152 }
4153 else
4154 {
4155 if (((word >> 10) & 0x1) == 0)
4156 {
4157 /* 33222222222211111111110000000000
4158 10987654321098765432109876543210
4159 xxxxxxxxxx01x010xxxxx1xx0x100000
4160 index. */
4161 return 1421;
4162 }
4163 else
4164 {
4165 /* 33222222222211111111110000000000
4166 10987654321098765432109876543210
4167 xxxxxxxxxx11x010xxxxx1xx0x100000
4168 index. */
4169 return 1418;
4170 }
4171 }
4172 }
4173 else
4174 {
4175 if (((word >> 23) & 0x1) == 0)
4176 {
4177 /* 33222222222211111111110000000000
4178 10987654321098765432109876543210
4179 xxxxxxxxxxxxx010xxxxx1x00x100001
4180 prfw. */
4181 return 1688;
4182 }
4183 else
4184 {
4185 /* 33222222222211111111110000000000
4186 10987654321098765432109876543210
4187 xxxxxxxxxxxxx010xxxxx1x10x100001
4188 ld1h. */
4189 return 1449;
4190 }
4191 }
4192 }
4193 else
4194 {
4195 if (((word >> 23) & 0x1) == 0)
4196 {
4197 /* 33222222222211111111110000000000
4198 10987654321098765432109876543210
4199 xxxxxxxxxxxxx010xxxxx1x00x10001x
4200 prfw. */
4201 return 1690;
4202 }
4203 else
4204 {
4205 /* 33222222222211111111110000000000
4206 10987654321098765432109876543210
4207 xxxxxxxxxxxxx010xxxxx1x10x10001x
4208 ld1h. */
4209 return 1454;
4210 }
4211 }
4212 }
4213 }
4214 else
4215 {
4216 if (((word >> 30) & 0x1) == 0)
4217 {
4218 if (((word >> 31) & 0x1) == 0)
4219 {
4220 if (((word >> 21) & 0x1) == 0)
4221 {
4222 /* 33222222222211111111110000000000
4223 10987654321098765432109876543210
4224 xxxxxxxxxxxxx011xxxxx0xx0x100000
4225 mad. */
4226 return 1642;
4227 }
4228 else
4229 {
4230 if (((word >> 10) & 0x1) == 0)
4231 {
4232 if (((word >> 11) & 0x1) == 0)
4233 {
4234 if (((word >> 20) & 0x1) == 0)
4235 {
4236 if (((word >> 22) & 0x1) == 0)
4237 {
4238 /* 33222222222211111111110000000000
4239 10987654321098765432109876543210
4240 xxxxxxxxxx00x011xxxx010x0x100000
4241 sqincw. */
4242 return 1756;
4243 }
4244 else
4245 {
4246 if (((word >> 23) & 0x1) == 0)
4247 {
4248 /* 33222222222211111111110000000000
4249 10987654321098765432109876543210
4250 xxxxxxxxxx00x011xxxx01100x100000
4251 sqinch. */
4252 return 1750;
4253 }
4254 else
4255 {
4256 /* 33222222222211111111110000000000
4257 10987654321098765432109876543210
4258 xxxxxxxxxx00x011xxxx01110x100000
4259 sqincd. */
4260 return 1747;
4261 }
4262 }
4263 }
4264 else
4265 {
4266 if (((word >> 22) & 0x1) == 0)
4267 {
4268 /* 33222222222211111111110000000000
4269 10987654321098765432109876543210
4270 xxxxxxxxxx00x011xxxx110x0x100000
4271 incw. */
4272 return 1416;
4273 }
4274 else
4275 {
4276 if (((word >> 23) & 0x1) == 0)
4277 {
4278 /* 33222222222211111111110000000000
4279 10987654321098765432109876543210
4280 xxxxxxxxxx00x011xxxx11100x100000
4281 inch. */
4282 return 1412;
4283 }
4284 else
4285 {
4286 /* 33222222222211111111110000000000
4287 10987654321098765432109876543210
4288 xxxxxxxxxx00x011xxxx11110x100000
4289 incd. */
4290 return 1410;
4291 }
4292 }
4293 }
4294 }
4295 else
4296 {
4297 if (((word >> 22) & 0x1) == 0)
4298 {
4299 /* 33222222222211111111110000000000
4300 10987654321098765432109876543210
4301 xxxxxxxxxx01x011xxxxx10x0x100000
4302 sqdecw. */
4303 return 1742;
4304 }
4305 else
4306 {
4307 if (((word >> 23) & 0x1) == 0)
4308 {
4309 /* 33222222222211111111110000000000
4310 10987654321098765432109876543210
4311 xxxxxxxxxx01x011xxxxx1100x100000
4312 sqdech. */
4313 return 1736;
4314 }
4315 else
4316 {
4317 /* 33222222222211111111110000000000
4318 10987654321098765432109876543210
4319 xxxxxxxxxx01x011xxxxx1110x100000
4320 sqdecd. */
4321 return 1733;
4322 }
4323 }
4324 }
4325 }
4326 else
4327 {
4328 if (((word >> 11) & 0x1) == 0)
4329 {
4330 if (((word >> 20) & 0x1) == 0)
4331 {
4332 if (((word >> 22) & 0x1) == 0)
4333 {
4334 /* 33222222222211111111110000000000
4335 10987654321098765432109876543210
4336 xxxxxxxxxx10x011xxxx010x0x100000
4337 uqincw. */
4338 return 1898;
4339 }
4340 else
4341 {
4342 if (((word >> 23) & 0x1) == 0)
4343 {
4344 /* 33222222222211111111110000000000
4345 10987654321098765432109876543210
4346 xxxxxxxxxx10x011xxxx01100x100000
4347 uqinch. */
4348 return 1892;
4349 }
4350 else
4351 {
4352 /* 33222222222211111111110000000000
4353 10987654321098765432109876543210
4354 xxxxxxxxxx10x011xxxx01110x100000
4355 uqincd. */
4356 return 1889;
4357 }
4358 }
4359 }
4360 else
4361 {
4362 if (((word >> 22) & 0x1) == 0)
4363 {
4364 /* 33222222222211111111110000000000
4365 10987654321098765432109876543210
4366 xxxxxxxxxx10x011xxxx110x0x100000
4367 decw. */
4368 return 1310;
4369 }
4370 else
4371 {
4372 if (((word >> 23) & 0x1) == 0)
4373 {
4374 /* 33222222222211111111110000000000
4375 10987654321098765432109876543210
4376 xxxxxxxxxx10x011xxxx11100x100000
4377 dech. */
4378 return 1306;
4379 }
4380 else
4381 {
4382 /* 33222222222211111111110000000000
4383 10987654321098765432109876543210
4384 xxxxxxxxxx10x011xxxx11110x100000
4385 decd. */
4386 return 1304;
4387 }
4388 }
4389 }
4390 }
4391 else
4392 {
4393 if (((word >> 22) & 0x1) == 0)
4394 {
4395 /* 33222222222211111111110000000000
4396 10987654321098765432109876543210
4397 xxxxxxxxxx11x011xxxxx10x0x100000
4398 uqdecw. */
4399 return 1884;
4400 }
4401 else
4402 {
4403 if (((word >> 23) & 0x1) == 0)
4404 {
4405 /* 33222222222211111111110000000000
4406 10987654321098765432109876543210
4407 xxxxxxxxxx11x011xxxxx1100x100000
4408 uqdech. */
4409 return 1878;
4410 }
4411 else
4412 {
4413 /* 33222222222211111111110000000000
4414 10987654321098765432109876543210
4415 xxxxxxxxxx11x011xxxxx1110x100000
4416 uqdecd. */
4417 return 1875;
4418 }
4419 }
4420 }
4421 }
4422 }
4423 }
4424 else
4425 {
4426 if (((word >> 22) & 0x1) == 0)
4427 {
4428 if (((word >> 21) & 0x1) == 0)
4429 {
4430 if (((word >> 23) & 0x1) == 0)
4431 {
4432 /* 33222222222211111111110000000000
4433 10987654321098765432109876543210
4434 xxxxxxxxxxxxx011xxxxx0000x100001
4435 prfb. */
4436 return 1667;
4437 }
4438 else
4439 {
4440 /* 33222222222211111111110000000000
4441 10987654321098765432109876543210
4442 xxxxxxxxxxxxx011xxxxx0010x100001
4443 prfh. */
4444 return 1682;
4445 }
4446 }
4447 else
4448 {
4449 if (((word >> 23) & 0x1) == 0)
4450 {
4451 /* 33222222222211111111110000000000
4452 10987654321098765432109876543210
4453 xxxxxxxxxxxxx011xxxxx1000x100001
4454 ld1b. */
4455 return 1435;
4456 }
4457 else
4458 {
4459 /* 33222222222211111111110000000000
4460 10987654321098765432109876543210
4461 xxxxxxxxxxxxx011xxxxx1010x100001
4462 ld1h. */
4463 return 1457;
4464 }
4465 }
4466 }
4467 else
4468 {
4469 if (((word >> 23) & 0x1) == 0)
4470 {
4471 /* 33222222222211111111110000000000
4472 10987654321098765432109876543210
4473 xxxxxxxxxxxxx011xxxxxx100x100001
4474 ld1rb. */
4475 return 1464;
4476 }
4477 else
4478 {
4479 /* 33222222222211111111110000000000
4480 10987654321098765432109876543210
4481 xxxxxxxxxxxxx011xxxxxx110x100001
4482 ld1rh. */
4483 return 1468;
4484 }
4485 }
4486 }
4487 }
4488 else
4489 {
4490 if (((word >> 21) & 0x1) == 0)
4491 {
4492 if (((word >> 23) & 0x1) == 0)
4493 {
4494 /* 33222222222211111111110000000000
4495 10987654321098765432109876543210
4496 xxxxxxxxxxxxx011xxxxx0x00x10001x
4497 ld1b. */
4498 return 1434;
4499 }
4500 else
4501 {
4502 /* 33222222222211111111110000000000
4503 10987654321098765432109876543210
4504 xxxxxxxxxxxxx011xxxxx0x10x10001x
4505 ld1h. */
4506 return 1455;
4507 }
4508 }
4509 else
4510 {
4511 if (((word >> 22) & 0x1) == 0)
4512 {
4513 if (((word >> 23) & 0x1) == 0)
4514 {
4515 /* 33222222222211111111110000000000
4516 10987654321098765432109876543210
4517 xxxxxxxxxxxxx011xxxxx1000x10001x
4518 ld1b. */
4519 return 1440;
4520 }
4521 else
4522 {
4523 /* 33222222222211111111110000000000
4524 10987654321098765432109876543210
4525 xxxxxxxxxxxxx011xxxxx1010x10001x
4526 ld1h. */
4527 return 1461;
4528 }
4529 }
4530 else
4531 {
4532 if (((word >> 23) & 0x1) == 0)
4533 {
4534 /* 33222222222211111111110000000000
4535 10987654321098765432109876543210
4536 xxxxxxxxxxxxx011xxxxx1100x10001x
4537 prfw. */
4538 return 1691;
4539 }
4540 else
4541 {
4542 /* 33222222222211111111110000000000
4543 10987654321098765432109876543210
4544 xxxxxxxxxxxxx011xxxxx1110x10001x
4545 ld1h. */
4546 return 1456;
4547 }
4548 }
4549 }
4550 }
4551 }
4552 }
4553 }
4554 else
4555 {
4556 if (((word >> 21) & 0x1) == 0)
4557 {
4558 if (((word >> 15) & 0x1) == 0)
4559 {
4560 if (((word >> 14) & 0x1) == 0)
4561 {
4562 if (((word >> 4) & 0x1) == 0)
4563 {
4564 /* 33222222222211111111110000000000
4565 10987654321098765432109876543210
4566 xxxx0xxxxxxxx000xxxxx0xx0x1001xx
4567 cmphs. */
4568 return 1276;
4569 }
4570 else
4571 {
4572 /* 33222222222211111111110000000000
4573 10987654321098765432109876543210
4574 xxxx1xxxxxxxx000xxxxx0xx0x1001xx
4575 cmphi. */
4576 return 1273;
4577 }
4578 }
4579 else
4580 {
4581 if (((word >> 30) & 0x1) == 0)
4582 {
4583 if (((word >> 31) & 0x1) == 0)
4584 {
4585 if (((word >> 4) & 0x1) == 0)
4586 {
4587 /* 33222222222211111111110000000000
4588 10987654321098765432109876543210
4589 xxxx0xxxxxxxx010xxxxx0xx0x100100
4590 cmpge. */
4591 return 1267;
4592 }
4593 else
4594 {
4595 /* 33222222222211111111110000000000
4596 10987654321098765432109876543210
4597 xxxx1xxxxxxxx010xxxxx0xx0x100100
4598 cmpgt. */
4599 return 1270;
4600 }
4601 }
4602 else
4603 {
4604 if (((word >> 22) & 0x1) == 0)
4605 {
4606 if (((word >> 23) & 0x1) == 0)
4607 {
4608 /* 33222222222211111111110000000000
4609 10987654321098765432109876543210
4610 xxxxxxxxxxxxx010xxxxx0000x100101
4611 ld1b. */
4612 return 1429;
4613 }
4614 else
4615 {
4616 /* 33222222222211111111110000000000
4617 10987654321098765432109876543210
4618 xxxxxxxxxxxxx010xxxxx0010x100101
4619 ld1sw. */
4620 return 1501;
4621 }
4622 }
4623 else
4624 {
4625 if (((word >> 23) & 0x1) == 0)
4626 {
4627 /* 33222222222211111111110000000000
4628 10987654321098765432109876543210
4629 xxxxxxxxxxxxx010xxxxx0100x100101
4630 ld1b. */
4631 return 1431;
4632 }
4633 else
4634 {
4635 /* 33222222222211111111110000000000
4636 10987654321098765432109876543210
4637 xxxxxxxxxxxxx010xxxxx0110x100101
4638 ld1h. */
4639 return 1451;
4640 }
4641 }
4642 }
4643 }
4644 else
4645 {
4646 if (((word >> 22) & 0x1) == 0)
4647 {
4648 /* 33222222222211111111110000000000
4649 10987654321098765432109876543210
4650 xxxxxxxxxxxxx010xxxxx00x0x10011x
4651 st1b. */
4652 return 1761;
4653 }
4654 else
4655 {
4656 if (((word >> 23) & 0x1) == 0)
4657 {
4658 /* 33222222222211111111110000000000
4659 10987654321098765432109876543210
4660 xxxxxxxxxxxxx010xxxxx0100x10011x
4661 st1b. */
4662 return 1765;
4663 }
4664 else
4665 {
4666 /* 33222222222211111111110000000000
4667 10987654321098765432109876543210
4668 xxxxxxxxxxxxx010xxxxx0110x10011x
4669 st1h. */
4670 return 1786;
4671 }
4672 }
4673 }
4674 }
4675 }
4676 else
4677 {
4678 if (((word >> 30) & 0x1) == 0)
4679 {
4680 if (((word >> 14) & 0x1) == 0)
4681 {
4682 if (((word >> 4) & 0x1) == 0)
4683 {
4684 /* 33222222222211111111110000000000
4685 10987654321098765432109876543210
4686 xxxx0xxxxxxxx001xxxxx0xx0x10010x
4687 cmpge. */
4688 return 1268;
4689 }
4690 else
4691 {
4692 /* 33222222222211111111110000000000
4693 10987654321098765432109876543210
4694 xxxx1xxxxxxxx001xxxxx0xx0x10010x
4695 cmpgt. */
4696 return 1271;
4697 }
4698 }
4699 else
4700 {
4701 if (((word >> 31) & 0x1) == 0)
4702 {
4703 if (((word >> 4) & 0x1) == 0)
4704 {
4705 /* 33222222222211111111110000000000
4706 10987654321098765432109876543210
4707 xxxx0xxxxxxxx011xxxxx0xx0x100100
4708 cmphs. */
4709 return 1277;
4710 }
4711 else
4712 {
4713 /* 33222222222211111111110000000000
4714 10987654321098765432109876543210
4715 xxxx1xxxxxxxx011xxxxx0xx0x100100
4716 cmphi. */
4717 return 1274;
4718 }
4719 }
4720 else
4721 {
4722 if (((word >> 22) & 0x1) == 0)
4723 {
4724 if (((word >> 23) & 0x1) == 0)
4725 {
4726 /* 33222222222211111111110000000000
4727 10987654321098765432109876543210
4728 xxxxxxxxxxxxx011xxxxx0000x100101
4729 ldnt1b. */
4730 return 1620;
4731 }
4732 else
4733 {
4734 /* 33222222222211111111110000000000
4735 10987654321098765432109876543210
4736 xxxxxxxxxxxxx011xxxxx0010x100101
4737 ldnt1h. */
4738 return 1624;
4739 }
4740 }
4741 else
4742 {
4743 if (((word >> 23) & 0x1) == 0)
4744 {
4745 /* 33222222222211111111110000000000
4746 10987654321098765432109876543210
4747 xxxxxxxxxxxxx011xxxxx0100x100101
4748 ld3b. */
4749 return 1528;
4750 }
4751 else
4752 {
4753 /* 33222222222211111111110000000000
4754 10987654321098765432109876543210
4755 xxxxxxxxxxxxx011xxxxx0110x100101
4756 ld3h. */
4757 return 1532;
4758 }
4759 }
4760 }
4761 }
4762 }
4763 else
4764 {
4765 if (((word >> 22) & 0x1) == 0)
4766 {
4767 if (((word >> 23) & 0x1) == 0)
4768 {
4769 /* 33222222222211111111110000000000
4770 10987654321098765432109876543210
4771 xxxxxxxxxxxxx0x1xxxxx0000x10011x
4772 st1b. */
4773 return 1762;
4774 }
4775 else
4776 {
4777 /* 33222222222211111111110000000000
4778 10987654321098765432109876543210
4779 xxxxxxxxxxxxx0x1xxxxx0010x10011x
4780 st1h. */
4781 return 1781;
4782 }
4783 }
4784 else
4785 {
4786 if (((word >> 23) & 0x1) == 0)
4787 {
4788 /* 33222222222211111111110000000000
4789 10987654321098765432109876543210
4790 xxxxxxxxxxxxx0x1xxxxx0100x10011x
4791 st1b. */
4792 return 1766;
4793 }
4794 else
4795 {
4796 /* 33222222222211111111110000000000
4797 10987654321098765432109876543210
4798 xxxxxxxxxxxxx0x1xxxxx0110x10011x
4799 st1h. */
4800 return 1787;
4801 }
4802 }
4803 }
4804 }
4805 }
4806 else
4807 {
4808 if (((word >> 30) & 0x1) == 0)
4809 {
4810 if (((word >> 31) & 0x1) == 0)
4811 {
4812 if (((word >> 4) & 0x1) == 0)
4813 {
4814 /* 33222222222211111111110000000000
4815 10987654321098765432109876543210
4816 xxxx0xxxxxxxx0xxxxxxx1xx0x100100
4817 cmphs. */
4818 return 1278;
4819 }
4820 else
4821 {
4822 /* 33222222222211111111110000000000
4823 10987654321098765432109876543210
4824 xxxx1xxxxxxxx0xxxxxxx1xx0x100100
4825 cmphi. */
4826 return 1275;
4827 }
4828 }
4829 else
4830 {
4831 if (((word >> 15) & 0x1) == 0)
4832 {
4833 if (((word >> 22) & 0x1) == 0)
4834 {
4835 if (((word >> 23) & 0x1) == 0)
4836 {
4837 /* 33222222222211111111110000000000
4838 10987654321098765432109876543210
4839 xxxxxxxxxxxxx0x0xxxxx1000x100101
4840 ld1b. */
4841 return 1430;
4842 }
4843 else
4844 {
4845 /* 33222222222211111111110000000000
4846 10987654321098765432109876543210
4847 xxxxxxxxxxxxx0x0xxxxx1010x100101
4848 ld1h. */
4849 return 1450;
4850 }
4851 }
4852 else
4853 {
4854 if (((word >> 23) & 0x1) == 0)
4855 {
4856 /* 33222222222211111111110000000000
4857 10987654321098765432109876543210
4858 xxxxxxxxxxxxx0x0xxxxx1100x100101
4859 ld1b. */
4860 return 1432;
4861 }
4862 else
4863 {
4864 /* 33222222222211111111110000000000
4865 10987654321098765432109876543210
4866 xxxxxxxxxxxxx0x0xxxxx1110x100101
4867 ld1h. */
4868 return 1452;
4869 }
4870 }
4871 }
4872 else
4873 {
4874 if (((word >> 22) & 0x1) == 0)
4875 {
4876 if (((word >> 23) & 0x1) == 0)
4877 {
4878 /* 33222222222211111111110000000000
4879 10987654321098765432109876543210
4880 xxxxxxxxxxxxx0x1xxxxx1000x100101
4881 ld2b. */
4882 return 1520;
4883 }
4884 else
4885 {
4886 /* 33222222222211111111110000000000
4887 10987654321098765432109876543210
4888 xxxxxxxxxxxxx0x1xxxxx1010x100101
4889 ld2h. */
4890 return 1524;
4891 }
4892 }
4893 else
4894 {
4895 if (((word >> 23) & 0x1) == 0)
4896 {
4897 /* 33222222222211111111110000000000
4898 10987654321098765432109876543210
4899 xxxxxxxxxxxxx0x1xxxxx1100x100101
4900 ld4b. */
4901 return 1536;
4902 }
4903 else
4904 {
4905 /* 33222222222211111111110000000000
4906 10987654321098765432109876543210
4907 xxxxxxxxxxxxx0x1xxxxx1110x100101
4908 ld4h. */
4909 return 1540;
4910 }
4911 }
4912 }
4913 }
4914 }
4915 else
4916 {
4917 if (((word >> 15) & 0x1) == 0)
4918 {
4919 if (((word >> 22) & 0x1) == 0)
4920 {
4921 if (((word >> 23) & 0x1) == 0)
4922 {
4923 /* 33222222222211111111110000000000
4924 10987654321098765432109876543210
4925 xxxxxxxxxxxxx0x0xxxxx1000x10011x
4926 st1b. */
4927 return 1764;
4928 }
4929 else
4930 {
4931 /* 33222222222211111111110000000000
4932 10987654321098765432109876543210
4933 xxxxxxxxxxxxx0x0xxxxx1010x10011x
4934 st1h. */
4935 return 1783;
4936 }
4937 }
4938 else
4939 {
4940 if (((word >> 23) & 0x1) == 0)
4941 {
4942 /* 33222222222211111111110000000000
4943 10987654321098765432109876543210
4944 xxxxxxxxxxxxx0x0xxxxx1100x10011x
4945 st1b. */
4946 return 1767;
4947 }
4948 else
4949 {
4950 /* 33222222222211111111110000000000
4951 10987654321098765432109876543210
4952 xxxxxxxxxxxxx0x0xxxxx1110x10011x
4953 st1h. */
4954 return 1788;
4955 }
4956 }
4957 }
4958 else
4959 {
4960 if (((word >> 22) & 0x1) == 0)
4961 {
4962 /* 33222222222211111111110000000000
4963 10987654321098765432109876543210
4964 xxxxxxxxxxxxx0x1xxxxx10x0x10011x
4965 st1h. */
4966 return 1784;
4967 }
4968 else
4969 {
4970 /* 33222222222211111111110000000000
4971 10987654321098765432109876543210
4972 xxxxxxxxxxxxx0x1xxxxx11x0x10011x
4973 st1h. */
4974 return 1789;
4975 }
4976 }
4977 }
4978 }
4979 }
4980 }
4981 else
4982 {
4983 if (((word >> 29) & 0x1) == 0)
4984 {
4985 if (((word >> 14) & 0x1) == 0)
4986 {
4987 if (((word >> 15) & 0x1) == 0)
4988 {
4989 if (((word >> 21) & 0x1) == 0)
4990 {
4991 if (((word >> 30) & 0x1) == 0)
4992 {
4993 if (((word >> 31) & 0x1) == 0)
4994 {
4995 if (((word >> 17) & 0x1) == 0)
4996 {
4997 if (((word >> 19) & 0x1) == 0)
4998 {
4999 if (((word >> 20) & 0x1) == 0)
5000 {
5001 if (((word >> 16) & 0x1) == 0)
5002 {
5003 /* 33222222222211111111110000000000
5004 10987654321098765432109876543210
5005 xxxxxxxxxxxxx10000x000xx0x100000
5006 saddv. */
5007 return 1711;
5008 }
5009 else
5010 {
5011 /* 33222222222211111111110000000000
5012 10987654321098765432109876543210
5013 xxxxxxxxxxxxx10010x000xx0x100000
5014 uaddv. */
5015 return 1857;
5016 }
5017 }
5018 else
5019 {
5020 /* 33222222222211111111110000000000
5021 10987654321098765432109876543210
5022 xxxxxxxxxxxxx100x0x010xx0x100000
5023 movprfx. */
5024 return 1646;
5025 }
5026 }
5027 else
5028 {
5029 if (((word >> 16) & 0x1) == 0)
5030 {
5031 if (((word >> 20) & 0x1) == 0)
5032 {
5033 /* 33222222222211111111110000000000
5034 10987654321098765432109876543210
5035 xxxxxxxxxxxxx10000x100xx0x100000
5036 smaxv. */
5037 return 1723;
5038 }
5039 else
5040 {
5041 /* 33222222222211111111110000000000
5042 10987654321098765432109876543210
5043 xxxxxxxxxxxxx10000x110xx0x100000
5044 orv. */
5045 return 1663;
5046 }
5047 }
5048 else
5049 {
5050 if (((word >> 20) & 0x1) == 0)
5051 {
5052 /* 33222222222211111111110000000000
5053 10987654321098765432109876543210
5054 xxxxxxxxxxxxx10010x100xx0x100000
5055 umaxv. */
5056 return 1866;
5057 }
5058 else
5059 {
5060 /* 33222222222211111111110000000000
5061 10987654321098765432109876543210
5062 xxxxxxxxxxxxx10010x110xx0x100000
5063 eorv. */
5064 return 1321;
5065 }
5066 }
5067 }
5068 }
5069 else
5070 {
5071 if (((word >> 16) & 0x1) == 0)
5072 {
5073 if (((word >> 20) & 0x1) == 0)
5074 {
5075 /* 33222222222211111111110000000000
5076 10987654321098765432109876543210
5077 xxxxxxxxxxxxx10001xx00xx0x100000
5078 sminv. */
5079 return 1726;
5080 }
5081 else
5082 {
5083 /* 33222222222211111111110000000000
5084 10987654321098765432109876543210
5085 xxxxxxxxxxxxx10001xx10xx0x100000
5086 andv. */
5087 return 1234;
5088 }
5089 }
5090 else
5091 {
5092 /* 33222222222211111111110000000000
5093 10987654321098765432109876543210
5094 xxxxxxxxxxxxx10011xxx0xx0x100000
5095 uminv. */
5096 return 1869;
5097 }
5098 }
5099 }
5100 else
5101 {
5102 if (((word >> 23) & 0x1) == 0)
5103 {
5104 /* 33222222222211111111110000000000
5105 10987654321098765432109876543210
5106 xxxxxxxxxxxxx100xxxxx0x00x100001
5107 ldff1sb. */
5108 return 1570;
5109 }
5110 else
5111 {
5112 /* 33222222222211111111110000000000
5113 10987654321098765432109876543210
5114 xxxxxxxxxxxxx100xxxxx0x10x100001
5115 ldff1sh. */
5116 return 1578;
5117 }
5118 }
5119 }
5120 else
5121 {
5122 if (((word >> 23) & 0x1) == 0)
5123 {
5124 /* 33222222222211111111110000000000
5125 10987654321098765432109876543210
5126 xxxxxxxxxxxxx100xxxxx0x00x10001x
5127 ldff1sb. */
5128 return 1574;
5129 }
5130 else
5131 {
5132 /* 33222222222211111111110000000000
5133 10987654321098765432109876543210
5134 xxxxxxxxxxxxx100xxxxx0x10x10001x
5135 ldff1sh. */
5136 return 1582;
5137 }
5138 }
5139 }
5140 else
5141 {
5142 if (((word >> 23) & 0x1) == 0)
5143 {
5144 if (((word >> 30) & 0x1) == 0)
5145 {
5146 if (((word >> 31) & 0x1) == 0)
5147 {
5148 if (((word >> 22) & 0x1) == 0)
5149 {
5150 /* 33222222222211111111110000000000
5151 10987654321098765432109876543210
5152 xxxxxxxxxxxxx100xxxxx1000x100000
5153 and. */
5154 return 1229;
5155 }
5156 else
5157 {
5158 /* 33222222222211111111110000000000
5159 10987654321098765432109876543210
5160 xxxxxxxxxxxxx100xxxxx1100x100000
5161 orr. */
5162 return 1658;
5163 }
5164 }
5165 else
5166 {
5167 /* 33222222222211111111110000000000
5168 10987654321098765432109876543210
5169 xxxxxxxxxxxxx100xxxxx1x00x100001
5170 prfh. */
5171 return 1681;
5172 }
5173 }
5174 else
5175 {
5176 /* 33222222222211111111110000000000
5177 10987654321098765432109876543210
5178 xxxxxxxxxxxxx100xxxxx1x00x10001x
5179 prfh. */
5180 return 1683;
5181 }
5182 }
5183 else
5184 {
5185 if (((word >> 30) & 0x1) == 0)
5186 {
5187 if (((word >> 31) & 0x1) == 0)
5188 {
5189 if (((word >> 22) & 0x1) == 0)
5190 {
5191 /* 33222222222211111111110000000000
5192 10987654321098765432109876543210
5193 xxxxxxxxxxxxx100xxxxx1010x100000
5194 eor. */
5195 return 1316;
5196 }
5197 else
5198 {
5199 /* 33222222222211111111110000000000
5200 10987654321098765432109876543210
5201 xxxxxxxxxxxxx100xxxxx1110x100000
5202 bic. */
5203 return 1242;
5204 }
5205 }
5206 else
5207 {
5208 /* 33222222222211111111110000000000
5209 10987654321098765432109876543210
5210 xxxxxxxxxxxxx100xxxxx1x10x100001
5211 ldff1sh. */
5212 return 1579;
5213 }
5214 }
5215 else
5216 {
5217 /* 33222222222211111111110000000000
5218 10987654321098765432109876543210
5219 xxxxxxxxxxxxx100xxxxx1x10x10001x
5220 ldff1sh. */
5221 return 1583;
5222 }
5223 }
5224 }
5225 }
5226 else
5227 {
5228 if (((word >> 30) & 0x1) == 0)
5229 {
5230 if (((word >> 31) & 0x1) == 0)
5231 {
5232 if (((word >> 21) & 0x1) == 0)
5233 {
5234 if (((word >> 16) & 0x1) == 0)
5235 {
5236 if (((word >> 17) & 0x1) == 0)
5237 {
5238 if (((word >> 18) & 0x1) == 0)
5239 {
5240 if (((word >> 19) & 0x1) == 0)
5241 {
5242 /* 33222222222211111111110000000000
5243 10987654321098765432109876543210
5244 xxxxxxxxxxxxx1010000x0xx0x100000
5245 sxtb. */
5246 return 1848;
5247 }
5248 else
5249 {
5250 /* 33222222222211111111110000000000
5251 10987654321098765432109876543210
5252 xxxxxxxxxxxxx1010001x0xx0x100000
5253 cls. */
5254 return 1262;
5255 }
5256 }
5257 else
5258 {
5259 if (((word >> 19) & 0x1) == 0)
5260 {
5261 /* 33222222222211111111110000000000
5262 10987654321098765432109876543210
5263 xxxxxxxxxxxxx1010010x0xx0x100000
5264 sxtw. */
5265 return 1850;
5266 }
5267 else
5268 {
5269 /* 33222222222211111111110000000000
5270 10987654321098765432109876543210
5271 xxxxxxxxxxxxx1010011x0xx0x100000
5272 fabs. */
5273 return 1324;
5274 }
5275 }
5276 }
5277 else
5278 {
5279 if (((word >> 18) & 0x1) == 0)
5280 {
5281 if (((word >> 19) & 0x1) == 0)
5282 {
5283 /* 33222222222211111111110000000000
5284 10987654321098765432109876543210
5285 xxxxxxxxxxxxx1010100x0xx0x100000
5286 sxth. */
5287 return 1849;
5288 }
5289 else
5290 {
5291 /* 33222222222211111111110000000000
5292 10987654321098765432109876543210
5293 xxxxxxxxxxxxx1010101x0xx0x100000
5294 cnt. */
5295 return 1291;
5296 }
5297 }
5298 else
5299 {
5300 if (((word >> 19) & 0x1) == 0)
5301 {
5302 /* 33222222222211111111110000000000
5303 10987654321098765432109876543210
5304 xxxxxxxxxxxxx1010110x0xx0x100000
5305 abs. */
5306 return 1220;
5307 }
5308 else
5309 {
5310 /* 33222222222211111111110000000000
5311 10987654321098765432109876543210
5312 xxxxxxxxxxxxx1010111x0xx0x100000
5313 not. */
5314 return 1655;
5315 }
5316 }
5317 }
5318 }
5319 else
5320 {
5321 if (((word >> 17) & 0x1) == 0)
5322 {
5323 if (((word >> 18) & 0x1) == 0)
5324 {
5325 if (((word >> 19) & 0x1) == 0)
5326 {
5327 /* 33222222222211111111110000000000
5328 10987654321098765432109876543210
5329 xxxxxxxxxxxxx1011000x0xx0x100000
5330 uxtb. */
5331 return 1905;
5332 }
5333 else
5334 {
5335 /* 33222222222211111111110000000000
5336 10987654321098765432109876543210
5337 xxxxxxxxxxxxx1011001x0xx0x100000
5338 clz. */
5339 return 1263;
5340 }
5341 }
5342 else
5343 {
5344 if (((word >> 19) & 0x1) == 0)
5345 {
5346 /* 33222222222211111111110000000000
5347 10987654321098765432109876543210
5348 xxxxxxxxxxxxx1011010x0xx0x100000
5349 uxtw. */
5350 return 1907;
5351 }
5352 else
5353 {
5354 /* 33222222222211111111110000000000
5355 10987654321098765432109876543210
5356 xxxxxxxxxxxxx1011011x0xx0x100000
5357 fneg. */
5358 return 1382;
5359 }
5360 }
5361 }
5362 else
5363 {
5364 if (((word >> 18) & 0x1) == 0)
5365 {
5366 if (((word >> 19) & 0x1) == 0)
5367 {
5368 /* 33222222222211111111110000000000
5369 10987654321098765432109876543210
5370 xxxxxxxxxxxxx1011100x0xx0x100000
5371 uxth. */
5372 return 1906;
5373 }
5374 else
5375 {
5376 /* 33222222222211111111110000000000
5377 10987654321098765432109876543210
5378 xxxxxxxxxxxxx1011101x0xx0x100000
5379 cnot. */
5380 return 1290;
5381 }
5382 }
5383 else
5384 {
5385 /* 33222222222211111111110000000000
5386 10987654321098765432109876543210
5387 xxxxxxxxxxxxx101111xx0xx0x100000
5388 neg. */
5389 return 1652;
5390 }
5391 }
5392 }
5393 }
5394 else
5395 {
5396 if (((word >> 12) & 0x1) == 0)
5397 {
5398 if (((word >> 23) & 0x1) == 0)
5399 {
5400 if (((word >> 22) & 0x1) == 0)
5401 {
5402 /* 33222222222211111111110000000000
5403 10987654321098765432109876543210
5404 xxxxxxxxxxxx0101xxxxx1000x100000
5405 adr. */
5406 return 1226;
5407 }
5408 else
5409 {
5410 /* 33222222222211111111110000000000
5411 10987654321098765432109876543210
5412 xxxxxxxxxxxx0101xxxxx1100x100000
5413 adr. */
5414 return 1227;
5415 }
5416 }
5417 else
5418 {
5419 /* 33222222222211111111110000000000
5420 10987654321098765432109876543210
5421 xxxxxxxxxxxx0101xxxxx1x10x100000
5422 adr. */
5423 return 1228;
5424 }
5425 }
5426 else
5427 {
5428 if (((word >> 10) & 0x1) == 0)
5429 {
5430 if (((word >> 11) & 0x1) == 0)
5431 {
5432 /* 33222222222211111111110000000000
5433 10987654321098765432109876543210
5434 xxxxxxxxxx001101xxxxx1xx0x100000
5435 ftssel. */
5436 return 1408;
5437 }
5438 else
5439 {
5440 /* 33222222222211111111110000000000
5441 10987654321098765432109876543210
5442 xxxxxxxxxx011101xxxxx1xx0x100000
5443 fexpa. */
5444 return 1361;
5445 }
5446 }
5447 else
5448 {
5449 /* 33222222222211111111110000000000
5450 10987654321098765432109876543210
5451 xxxxxxxxxx1x1101xxxxx1xx0x100000
5452 movprfx. */
5453 return 1645;
5454 }
5455 }
5456 }
5457 }
5458 else
5459 {
5460 if (((word >> 22) & 0x1) == 0)
5461 {
5462 if (((word >> 23) & 0x1) == 0)
5463 {
5464 /* 33222222222211111111110000000000
5465 10987654321098765432109876543210
5466 xxxxxxxxxxxxx101xxxxxx000x100001
5467 ldff1sb. */
5468 return 1576;
5469 }
5470 else
5471 {
5472 /* 33222222222211111111110000000000
5473 10987654321098765432109876543210
5474 xxxxxxxxxxxxx101xxxxxx010x100001
5475 ldff1sh. */
5476 return 1586;
5477 }
5478 }
5479 else
5480 {
5481 if (((word >> 23) & 0x1) == 0)
5482 {
5483 /* 33222222222211111111110000000000
5484 10987654321098765432109876543210
5485 xxxxxxxxxxxxx101xxxxxx100x100001
5486 ld1rb. */
5487 return 1463;
5488 }
5489 else
5490 {
5491 /* 33222222222211111111110000000000
5492 10987654321098765432109876543210
5493 xxxxxxxxxxxxx101xxxxxx110x100001
5494 ld1rh. */
5495 return 1467;
5496 }
5497 }
5498 }
5499 }
5500 else
5501 {
5502 if (((word >> 21) & 0x1) == 0)
5503 {
5504 if (((word >> 23) & 0x1) == 0)
5505 {
5506 /* 33222222222211111111110000000000
5507 10987654321098765432109876543210
5508 xxxxxxxxxxxxx101xxxxx0x00x10001x
5509 ldff1sb. */
5510 return 1575;
5511 }
5512 else
5513 {
5514 /* 33222222222211111111110000000000
5515 10987654321098765432109876543210
5516 xxxxxxxxxxxxx101xxxxx0x10x10001x
5517 ldff1sh. */
5518 return 1584;
5519 }
5520 }
5521 else
5522 {
5523 if (((word >> 22) & 0x1) == 0)
5524 {
5525 if (((word >> 23) & 0x1) == 0)
5526 {
5527 /* 33222222222211111111110000000000
5528 10987654321098765432109876543210
5529 xxxxxxxxxxxxx101xxxxx1000x10001x
5530 ldff1sb. */
5531 return 1577;
5532 }
5533 else
5534 {
5535 /* 33222222222211111111110000000000
5536 10987654321098765432109876543210
5537 xxxxxxxxxxxxx101xxxxx1010x10001x
5538 ldff1sh. */
5539 return 1587;
5540 }
5541 }
5542 else
5543 {
5544 if (((word >> 23) & 0x1) == 0)
5545 {
5546 /* 33222222222211111111110000000000
5547 10987654321098765432109876543210
5548 xxxxxxxxxxxxx101xxxxx1100x10001x
5549 prfh. */
5550 return 1684;
5551 }
5552 else
5553 {
5554 /* 33222222222211111111110000000000
5555 10987654321098765432109876543210
5556 xxxxxxxxxxxxx101xxxxx1110x10001x
5557 ldff1sh. */
5558 return 1585;
5559 }
5560 }
5561 }
5562 }
5563 }
5564 }
5565 else
5566 {
5567 if (((word >> 15) & 0x1) == 0)
5568 {
5569 if (((word >> 21) & 0x1) == 0)
5570 {
5571 if (((word >> 30) & 0x1) == 0)
5572 {
5573 if (((word >> 31) & 0x1) == 0)
5574 {
5575 /* 33222222222211111111110000000000
5576 10987654321098765432109876543210
5577 xxxxxxxxxxxxx110xxxxx0xx0x100000
5578 mls. */
5579 return 1644;
5580 }
5581 else
5582 {
5583 if (((word >> 23) & 0x1) == 0)
5584 {
5585 /* 33222222222211111111110000000000
5586 10987654321098765432109876543210
5587 xxxxxxxxxxxxx110xxxxx0x00x100001
5588 ldff1b. */
5589 return 1544;
5590 }
5591 else
5592 {
5593 /* 33222222222211111111110000000000
5594 10987654321098765432109876543210
5595 xxxxxxxxxxxxx110xxxxx0x10x100001
5596 ldff1h. */
5597 return 1559;
5598 }
5599 }
5600 }
5601 else
5602 {
5603 if (((word >> 23) & 0x1) == 0)
5604 {
5605 /* 33222222222211111111110000000000
5606 10987654321098765432109876543210
5607 xxxxxxxxxxxxx110xxxxx0x00x10001x
5608 ldff1b. */
5609 return 1549;
5610 }
5611 else
5612 {
5613 /* 33222222222211111111110000000000
5614 10987654321098765432109876543210
5615 xxxxxxxxxxxxx110xxxxx0x10x10001x
5616 ldff1h. */
5617 return 1564;
5618 }
5619 }
5620 }
5621 else
5622 {
5623 if (((word >> 23) & 0x1) == 0)
5624 {
5625 if (((word >> 30) & 0x1) == 0)
5626 {
5627 /* 33222222222211111111110000000000
5628 10987654321098765432109876543210
5629 xxxxxxxxxxxxx110xxxxx1x00x10000x
5630 prfd. */
5631 return 1674;
5632 }
5633 else
5634 {
5635 /* 33222222222211111111110000000000
5636 10987654321098765432109876543210
5637 xxxxxxxxxxxxx110xxxxx1x00x10001x
5638 prfd. */
5639 return 1676;
5640 }
5641 }
5642 else
5643 {
5644 if (((word >> 30) & 0x1) == 0)
5645 {
5646 /* 33222222222211111111110000000000
5647 10987654321098765432109876543210
5648 xxxxxxxxxxxxx110xxxxx1x10x10000x
5649 ldff1h. */
5650 return 1560;
5651 }
5652 else
5653 {
5654 /* 33222222222211111111110000000000
5655 10987654321098765432109876543210
5656 xxxxxxxxxxxxx110xxxxx1x10x10001x
5657 ldff1h. */
5658 return 1565;
5659 }
5660 }
5661 }
5662 }
5663 else
5664 {
5665 if (((word >> 30) & 0x1) == 0)
5666 {
5667 if (((word >> 31) & 0x1) == 0)
5668 {
5669 if (((word >> 21) & 0x1) == 0)
5670 {
5671 /* 33222222222211111111110000000000
5672 10987654321098765432109876543210
5673 xxxxxxxxxxxxx111xxxxx0xx0x100000
5674 msb. */
5675 return 1647;
5676 }
5677 else
5678 {
5679 if (((word >> 10) & 0x1) == 0)
5680 {
5681 if (((word >> 11) & 0x1) == 0)
5682 {
5683 if (((word >> 12) & 0x1) == 0)
5684 {
5685 if (((word >> 20) & 0x1) == 0)
5686 {
5687 if (((word >> 22) & 0x1) == 0)
5688 {
5689 if (((word >> 23) & 0x1) == 0)
5690 {
5691 /* 33222222222211111111110000000000
5692 10987654321098765432109876543210
5693 xxxxxxxxxx000111xxxx01000x100000
5694 cntb. */
5695 return 1292;
5696 }
5697 else
5698 {
5699 /* 33222222222211111111110000000000
5700 10987654321098765432109876543210
5701 xxxxxxxxxx000111xxxx01010x100000
5702 cntw. */
5703 return 1296;
5704 }
5705 }
5706 else
5707 {
5708 if (((word >> 23) & 0x1) == 0)
5709 {
5710 /* 33222222222211111111110000000000
5711 10987654321098765432109876543210
5712 xxxxxxxxxx000111xxxx01100x100000
5713 cnth. */
5714 return 1294;
5715 }
5716 else
5717 {
5718 /* 33222222222211111111110000000000
5719 10987654321098765432109876543210
5720 xxxxxxxxxx000111xxxx01110x100000
5721 cntd. */
5722 return 1293;
5723 }
5724 }
5725 }
5726 else
5727 {
5728 if (((word >> 22) & 0x1) == 0)
5729 {
5730 if (((word >> 23) & 0x1) == 0)
5731 {
5732 /* 33222222222211111111110000000000
5733 10987654321098765432109876543210
5734 xxxxxxxxxx000111xxxx11000x100000
5735 incb. */
5736 return 1409;
5737 }
5738 else
5739 {
5740 /* 33222222222211111111110000000000
5741 10987654321098765432109876543210
5742 xxxxxxxxxx000111xxxx11010x100000
5743 incw. */
5744 return 1417;
5745 }
5746 }
5747 else
5748 {
5749 if (((word >> 23) & 0x1) == 0)
5750 {
5751 /* 33222222222211111111110000000000
5752 10987654321098765432109876543210
5753 xxxxxxxxxx000111xxxx11100x100000
5754 inch. */
5755 return 1413;
5756 }
5757 else
5758 {
5759 /* 33222222222211111111110000000000
5760 10987654321098765432109876543210
5761 xxxxxxxxxx000111xxxx11110x100000
5762 incd. */
5763 return 1411;
5764 }
5765 }
5766 }
5767 }
5768 else
5769 {
5770 if (((word >> 20) & 0x1) == 0)
5771 {
5772 if (((word >> 22) & 0x1) == 0)
5773 {
5774 if (((word >> 23) & 0x1) == 0)
5775 {
5776 /* 33222222222211111111110000000000
5777 10987654321098765432109876543210
5778 xxxxxxxxxx001111xxxx01000x100000
5779 sqincb. */
5780 return 1746;
5781 }
5782 else
5783 {
5784 /* 33222222222211111111110000000000
5785 10987654321098765432109876543210
5786 xxxxxxxxxx001111xxxx01010x100000
5787 sqincw. */
5788 return 1758;
5789 }
5790 }
5791 else
5792 {
5793 if (((word >> 23) & 0x1) == 0)
5794 {
5795 /* 33222222222211111111110000000000
5796 10987654321098765432109876543210
5797 xxxxxxxxxx001111xxxx01100x100000
5798 sqinch. */
5799 return 1752;
5800 }
5801 else
5802 {
5803 /* 33222222222211111111110000000000
5804 10987654321098765432109876543210
5805 xxxxxxxxxx001111xxxx01110x100000
5806 sqincd. */
5807 return 1749;
5808 }
5809 }
5810 }
5811 else
5812 {
5813 if (((word >> 22) & 0x1) == 0)
5814 {
5815 if (((word >> 23) & 0x1) == 0)
5816 {
5817 /* 33222222222211111111110000000000
5818 10987654321098765432109876543210
5819 xxxxxxxxxx001111xxxx11000x100000
5820 sqincb. */
5821 return 1745;
5822 }
5823 else
5824 {
5825 /* 33222222222211111111110000000000
5826 10987654321098765432109876543210
5827 xxxxxxxxxx001111xxxx11010x100000
5828 sqincw. */
5829 return 1757;
5830 }
5831 }
5832 else
5833 {
5834 if (((word >> 23) & 0x1) == 0)
5835 {
5836 /* 33222222222211111111110000000000
5837 10987654321098765432109876543210
5838 xxxxxxxxxx001111xxxx11100x100000
5839 sqinch. */
5840 return 1751;
5841 }
5842 else
5843 {
5844 /* 33222222222211111111110000000000
5845 10987654321098765432109876543210
5846 xxxxxxxxxx001111xxxx11110x100000
5847 sqincd. */
5848 return 1748;
5849 }
5850 }
5851 }
5852 }
5853 }
5854 else
5855 {
5856 if (((word >> 20) & 0x1) == 0)
5857 {
5858 if (((word >> 22) & 0x1) == 0)
5859 {
5860 if (((word >> 23) & 0x1) == 0)
5861 {
5862 /* 33222222222211111111110000000000
5863 10987654321098765432109876543210
5864 xxxxxxxxxx01x111xxxx01000x100000
5865 sqdecb. */
5866 return 1732;
5867 }
5868 else
5869 {
5870 /* 33222222222211111111110000000000
5871 10987654321098765432109876543210
5872 xxxxxxxxxx01x111xxxx01010x100000
5873 sqdecw. */
5874 return 1744;
5875 }
5876 }
5877 else
5878 {
5879 if (((word >> 23) & 0x1) == 0)
5880 {
5881 /* 33222222222211111111110000000000
5882 10987654321098765432109876543210
5883 xxxxxxxxxx01x111xxxx01100x100000
5884 sqdech. */
5885 return 1738;
5886 }
5887 else
5888 {
5889 /* 33222222222211111111110000000000
5890 10987654321098765432109876543210
5891 xxxxxxxxxx01x111xxxx01110x100000
5892 sqdecd. */
5893 return 1735;
5894 }
5895 }
5896 }
5897 else
5898 {
5899 if (((word >> 22) & 0x1) == 0)
5900 {
5901 if (((word >> 23) & 0x1) == 0)
5902 {
5903 /* 33222222222211111111110000000000
5904 10987654321098765432109876543210
5905 xxxxxxxxxx01x111xxxx11000x100000
5906 sqdecb. */
5907 return 1731;
5908 }
5909 else
5910 {
5911 /* 33222222222211111111110000000000
5912 10987654321098765432109876543210
5913 xxxxxxxxxx01x111xxxx11010x100000
5914 sqdecw. */
5915 return 1743;
5916 }
5917 }
5918 else
5919 {
5920 if (((word >> 23) & 0x1) == 0)
5921 {
5922 /* 33222222222211111111110000000000
5923 10987654321098765432109876543210
5924 xxxxxxxxxx01x111xxxx11100x100000
5925 sqdech. */
5926 return 1737;
5927 }
5928 else
5929 {
5930 /* 33222222222211111111110000000000
5931 10987654321098765432109876543210
5932 xxxxxxxxxx01x111xxxx11110x100000
5933 sqdecd. */
5934 return 1734;
5935 }
5936 }
5937 }
5938 }
5939 }
5940 else
5941 {
5942 if (((word >> 11) & 0x1) == 0)
5943 {
5944 if (((word >> 12) & 0x1) == 0)
5945 {
5946 if (((word >> 22) & 0x1) == 0)
5947 {
5948 if (((word >> 23) & 0x1) == 0)
5949 {
5950 /* 33222222222211111111110000000000
5951 10987654321098765432109876543210
5952 xxxxxxxxxx100111xxxxx1000x100000
5953 decb. */
5954 return 1303;
5955 }
5956 else
5957 {
5958 /* 33222222222211111111110000000000
5959 10987654321098765432109876543210
5960 xxxxxxxxxx100111xxxxx1010x100000
5961 decw. */
5962 return 1311;
5963 }
5964 }
5965 else
5966 {
5967 if (((word >> 23) & 0x1) == 0)
5968 {
5969 /* 33222222222211111111110000000000
5970 10987654321098765432109876543210
5971 xxxxxxxxxx100111xxxxx1100x100000
5972 dech. */
5973 return 1307;
5974 }
5975 else
5976 {
5977 /* 33222222222211111111110000000000
5978 10987654321098765432109876543210
5979 xxxxxxxxxx100111xxxxx1110x100000
5980 decd. */
5981 return 1305;
5982 }
5983 }
5984 }
5985 else
5986 {
5987 if (((word >> 20) & 0x1) == 0)
5988 {
5989 if (((word >> 22) & 0x1) == 0)
5990 {
5991 if (((word >> 23) & 0x1) == 0)
5992 {
5993 /* 33222222222211111111110000000000
5994 10987654321098765432109876543210
5995 xxxxxxxxxx101111xxxx01000x100000
5996 uqincb. */
5997 return 1887;
5998 }
5999 else
6000 {
6001 /* 33222222222211111111110000000000
6002 10987654321098765432109876543210
6003 xxxxxxxxxx101111xxxx01010x100000
6004 uqincw. */
6005 return 1899;
6006 }
6007 }
6008 else
6009 {
6010 if (((word >> 23) & 0x1) == 0)
6011 {
6012 /* 33222222222211111111110000000000
6013 10987654321098765432109876543210
6014 xxxxxxxxxx101111xxxx01100x100000
6015 uqinch. */
6016 return 1893;
6017 }
6018 else
6019 {
6020 /* 33222222222211111111110000000000
6021 10987654321098765432109876543210
6022 xxxxxxxxxx101111xxxx01110x100000
6023 uqincd. */
6024 return 1890;
6025 }
6026 }
6027 }
6028 else
6029 {
6030 if (((word >> 22) & 0x1) == 0)
6031 {
6032 if (((word >> 23) & 0x1) == 0)
6033 {
6034 /* 33222222222211111111110000000000
6035 10987654321098765432109876543210
6036 xxxxxxxxxx101111xxxx11000x100000
6037 uqincb. */
6038 return 1888;
6039 }
6040 else
6041 {
6042 /* 33222222222211111111110000000000
6043 10987654321098765432109876543210
6044 xxxxxxxxxx101111xxxx11010x100000
6045 uqincw. */
6046 return 1900;
6047 }
6048 }
6049 else
6050 {
6051 if (((word >> 23) & 0x1) == 0)
6052 {
6053 /* 33222222222211111111110000000000
6054 10987654321098765432109876543210
6055 xxxxxxxxxx101111xxxx11100x100000
6056 uqinch. */
6057 return 1894;
6058 }
6059 else
6060 {
6061 /* 33222222222211111111110000000000
6062 10987654321098765432109876543210
6063 xxxxxxxxxx101111xxxx11110x100000
6064 uqincd. */
6065 return 1891;
6066 }
6067 }
6068 }
6069 }
6070 }
6071 else
6072 {
6073 if (((word >> 20) & 0x1) == 0)
6074 {
6075 if (((word >> 22) & 0x1) == 0)
6076 {
6077 if (((word >> 23) & 0x1) == 0)
6078 {
6079 /* 33222222222211111111110000000000
6080 10987654321098765432109876543210
6081 xxxxxxxxxx11x111xxxx01000x100000
6082 uqdecb. */
6083 return 1873;
6084 }
6085 else
6086 {
6087 /* 33222222222211111111110000000000
6088 10987654321098765432109876543210
6089 xxxxxxxxxx11x111xxxx01010x100000
6090 uqdecw. */
6091 return 1885;
6092 }
6093 }
6094 else
6095 {
6096 if (((word >> 23) & 0x1) == 0)
6097 {
6098 /* 33222222222211111111110000000000
6099 10987654321098765432109876543210
6100 xxxxxxxxxx11x111xxxx01100x100000
6101 uqdech. */
6102 return 1879;
6103 }
6104 else
6105 {
6106 /* 33222222222211111111110000000000
6107 10987654321098765432109876543210
6108 xxxxxxxxxx11x111xxxx01110x100000
6109 uqdecd. */
6110 return 1876;
6111 }
6112 }
6113 }
6114 else
6115 {
6116 if (((word >> 22) & 0x1) == 0)
6117 {
6118 if (((word >> 23) & 0x1) == 0)
6119 {
6120 /* 33222222222211111111110000000000
6121 10987654321098765432109876543210
6122 xxxxxxxxxx11x111xxxx11000x100000
6123 uqdecb. */
6124 return 1874;
6125 }
6126 else
6127 {
6128 /* 33222222222211111111110000000000
6129 10987654321098765432109876543210
6130 xxxxxxxxxx11x111xxxx11010x100000
6131 uqdecw. */
6132 return 1886;
6133 }
6134 }
6135 else
6136 {
6137 if (((word >> 23) & 0x1) == 0)
6138 {
6139 /* 33222222222211111111110000000000
6140 10987654321098765432109876543210
6141 xxxxxxxxxx11x111xxxx11100x100000
6142 uqdech. */
6143 return 1880;
6144 }
6145 else
6146 {
6147 /* 33222222222211111111110000000000
6148 10987654321098765432109876543210
6149 xxxxxxxxxx11x111xxxx11110x100000
6150 uqdecd. */
6151 return 1877;
6152 }
6153 }
6154 }
6155 }
6156 }
6157 }
6158 }
6159 else
6160 {
6161 if (((word >> 22) & 0x1) == 0)
6162 {
6163 if (((word >> 21) & 0x1) == 0)
6164 {
6165 if (((word >> 23) & 0x1) == 0)
6166 {
6167 /* 33222222222211111111110000000000
6168 10987654321098765432109876543210
6169 xxxxxxxxxxxxx111xxxxx0000x100001
6170 prfb. */
6171 return 1671;
6172 }
6173 else
6174 {
6175 /* 33222222222211111111110000000000
6176 10987654321098765432109876543210
6177 xxxxxxxxxxxxx111xxxxx0010x100001
6178 prfh. */
6179 return 1685;
6180 }
6181 }
6182 else
6183 {
6184 if (((word >> 23) & 0x1) == 0)
6185 {
6186 /* 33222222222211111111110000000000
6187 10987654321098765432109876543210
6188 xxxxxxxxxxxxx111xxxxx1000x100001
6189 ldff1b. */
6190 return 1551;
6191 }
6192 else
6193 {
6194 /* 33222222222211111111110000000000
6195 10987654321098765432109876543210
6196 xxxxxxxxxxxxx111xxxxx1010x100001
6197 ldff1h. */
6198 return 1568;
6199 }
6200 }
6201 }
6202 else
6203 {
6204 if (((word >> 23) & 0x1) == 0)
6205 {
6206 /* 33222222222211111111110000000000
6207 10987654321098765432109876543210
6208 xxxxxxxxxxxxx111xxxxxx100x100001
6209 ld1rb. */
6210 return 1465;
6211 }
6212 else
6213 {
6214 /* 33222222222211111111110000000000
6215 10987654321098765432109876543210
6216 xxxxxxxxxxxxx111xxxxxx110x100001
6217 ld1rh. */
6218 return 1469;
6219 }
6220 }
6221 }
6222 }
6223 else
6224 {
6225 if (((word >> 21) & 0x1) == 0)
6226 {
6227 if (((word >> 22) & 0x1) == 0)
6228 {
6229 if (((word >> 23) & 0x1) == 0)
6230 {
6231 /* 33222222222211111111110000000000
6232 10987654321098765432109876543210
6233 xxxxxxxxxxxxx111xxxxx0000x10001x
6234 prfb. */
6235 return 1673;
6236 }
6237 else
6238 {
6239 /* 33222222222211111111110000000000
6240 10987654321098765432109876543210
6241 xxxxxxxxxxxxx111xxxxx0010x10001x
6242 prfh. */
6243 return 1687;
6244 }
6245 }
6246 else
6247 {
6248 if (((word >> 23) & 0x1) == 0)
6249 {
6250 /* 33222222222211111111110000000000
6251 10987654321098765432109876543210
6252 xxxxxxxxxxxxx111xxxxx0100x10001x
6253 ldff1b. */
6254 return 1550;
6255 }
6256 else
6257 {
6258 /* 33222222222211111111110000000000
6259 10987654321098765432109876543210
6260 xxxxxxxxxxxxx111xxxxx0110x10001x
6261 ldff1h. */
6262 return 1566;
6263 }
6264 }
6265 }
6266 else
6267 {
6268 if (((word >> 22) & 0x1) == 0)
6269 {
6270 if (((word >> 23) & 0x1) == 0)
6271 {
6272 /* 33222222222211111111110000000000
6273 10987654321098765432109876543210
6274 xxxxxxxxxxxxx111xxxxx1000x10001x
6275 ldff1b. */
6276 return 1552;
6277 }
6278 else
6279 {
6280 /* 33222222222211111111110000000000
6281 10987654321098765432109876543210
6282 xxxxxxxxxxxxx111xxxxx1010x10001x
6283 ldff1h. */
6284 return 1569;
6285 }
6286 }
6287 else
6288 {
6289 if (((word >> 23) & 0x1) == 0)
6290 {
6291 /* 33222222222211111111110000000000
6292 10987654321098765432109876543210
6293 xxxxxxxxxxxxx111xxxxx1100x10001x
6294 prfd. */
6295 return 1677;
6296 }
6297 else
6298 {
6299 /* 33222222222211111111110000000000
6300 10987654321098765432109876543210
6301 xxxxxxxxxxxxx111xxxxx1110x10001x
6302 ldff1h. */
6303 return 1567;
6304 }
6305 }
6306 }
6307 }
6308 }
6309 }
6310 }
6311 else
6312 {
6313 if (((word >> 21) & 0x1) == 0)
6314 {
6315 if (((word >> 14) & 0x1) == 0)
6316 {
6317 if (((word >> 15) & 0x1) == 0)
6318 {
6319 if (((word >> 4) & 0x1) == 0)
6320 {
6321 /* 33222222222211111111110000000000
6322 10987654321098765432109876543210
6323 xxxx0xxxxxxxx100xxxxx0xx0x1001xx
6324 cmpeq. */
6325 return 1264;
6326 }
6327 else
6328 {
6329 /* 33222222222211111111110000000000
6330 10987654321098765432109876543210
6331 xxxx1xxxxxxxx100xxxxx0xx0x1001xx
6332 cmpne. */
6333 return 1287;
6334 }
6335 }
6336 else
6337 {
6338 if (((word >> 30) & 0x1) == 0)
6339 {
6340 if (((word >> 31) & 0x1) == 0)
6341 {
6342 if (((word >> 4) & 0x1) == 0)
6343 {
6344 /* 33222222222211111111110000000000
6345 10987654321098765432109876543210
6346 xxxx0xxxxxxxx101xxxxx0xx0x100100
6347 cmpeq. */
6348 return 1265;
6349 }
6350 else
6351 {
6352 /* 33222222222211111111110000000000
6353 10987654321098765432109876543210
6354 xxxx1xxxxxxxx101xxxxx0xx0x100100
6355 cmpne. */
6356 return 1288;
6357 }
6358 }
6359 else
6360 {
6361 if (((word >> 20) & 0x1) == 0)
6362 {
6363 if (((word >> 22) & 0x1) == 0)
6364 {
6365 if (((word >> 23) & 0x1) == 0)
6366 {
6367 /* 33222222222211111111110000000000
6368 10987654321098765432109876543210
6369 xxxxxxxxxxxxx101xxxx00000x100101
6370 ld1b. */
6371 return 1436;
6372 }
6373 else
6374 {
6375 /* 33222222222211111111110000000000
6376 10987654321098765432109876543210
6377 xxxxxxxxxxxxx101xxxx00010x100101
6378 ld1sw. */
6379 return 1506;
6380 }
6381 }
6382 else
6383 {
6384 if (((word >> 23) & 0x1) == 0)
6385 {
6386 /* 33222222222211111111110000000000
6387 10987654321098765432109876543210
6388 xxxxxxxxxxxxx101xxxx00100x100101
6389 ld1b. */
6390 return 1438;
6391 }
6392 else
6393 {
6394 /* 33222222222211111111110000000000
6395 10987654321098765432109876543210
6396 xxxxxxxxxxxxx101xxxx00110x100101
6397 ld1h. */
6398 return 1459;
6399 }
6400 }
6401 }
6402 else
6403 {
6404 if (((word >> 22) & 0x1) == 0)
6405 {
6406 if (((word >> 23) & 0x1) == 0)
6407 {
6408 /* 33222222222211111111110000000000
6409 10987654321098765432109876543210
6410 xxxxxxxxxxxxx101xxxx10000x100101
6411 ldnf1b. */
6412 return 1604;
6413 }
6414 else
6415 {
6416 /* 33222222222211111111110000000000
6417 10987654321098765432109876543210
6418 xxxxxxxxxxxxx101xxxx10010x100101
6419 ldnf1sw. */
6420 return 1617;
6421 }
6422 }
6423 else
6424 {
6425 if (((word >> 23) & 0x1) == 0)
6426 {
6427 /* 33222222222211111111110000000000
6428 10987654321098765432109876543210
6429 xxxxxxxxxxxxx101xxxx10100x100101
6430 ldnf1b. */
6431 return 1606;
6432 }
6433 else
6434 {
6435 /* 33222222222211111111110000000000
6436 10987654321098765432109876543210
6437 xxxxxxxxxxxxx101xxxx10110x100101
6438 ldnf1h. */
6439 return 1610;
6440 }
6441 }
6442 }
6443 }
6444 }
6445 else
6446 {
6447 if (((word >> 22) & 0x1) == 0)
6448 {
6449 if (((word >> 23) & 0x1) == 0)
6450 {
6451 /* 33222222222211111111110000000000
6452 10987654321098765432109876543210
6453 xxxxxxxxxxxxx101xxxxx0000x10011x
6454 st1b. */
6455 return 1763;
6456 }
6457 else
6458 {
6459 /* 33222222222211111111110000000000
6460 10987654321098765432109876543210
6461 xxxxxxxxxxxxx101xxxxx0010x10011x
6462 st1h. */
6463 return 1782;
6464 }
6465 }
6466 else
6467 {
6468 if (((word >> 23) & 0x1) == 0)
6469 {
6470 /* 33222222222211111111110000000000
6471 10987654321098765432109876543210
6472 xxxxxxxxxxxxx101xxxxx0100x10011x
6473 st1b. */
6474 return 1770;
6475 }
6476 else
6477 {
6478 /* 33222222222211111111110000000000
6479 10987654321098765432109876543210
6480 xxxxxxxxxxxxx101xxxxx0110x10011x
6481 st1h. */
6482 return 1791;
6483 }
6484 }
6485 }
6486 }
6487 }
6488 else
6489 {
6490 if (((word >> 15) & 0x1) == 0)
6491 {
6492 if (((word >> 30) & 0x1) == 0)
6493 {
6494 if (((word >> 31) & 0x1) == 0)
6495 {
6496 if (((word >> 4) & 0x1) == 0)
6497 {
6498 /* 33222222222211111111110000000000
6499 10987654321098765432109876543210
6500 xxxx0xxxxxxxx110xxxxx0xx0x100100
6501 cmplt. */
6502 return 1285;
6503 }
6504 else
6505 {
6506 /* 33222222222211111111110000000000
6507 10987654321098765432109876543210
6508 xxxx1xxxxxxxx110xxxxx0xx0x100100
6509 cmple. */
6510 return 1279;
6511 }
6512 }
6513 else
6514 {
6515 if (((word >> 22) & 0x1) == 0)
6516 {
6517 if (((word >> 23) & 0x1) == 0)
6518 {
6519 /* 33222222222211111111110000000000
6520 10987654321098765432109876543210
6521 xxxxxxxxxxxxx110xxxxx0000x100101
6522 ldff1b. */
6523 return 1545;
6524 }
6525 else
6526 {
6527 /* 33222222222211111111110000000000
6528 10987654321098765432109876543210
6529 xxxxxxxxxxxxx110xxxxx0010x100101
6530 ldff1sw. */
6531 return 1588;
6532 }
6533 }
6534 else
6535 {
6536 if (((word >> 23) & 0x1) == 0)
6537 {
6538 /* 33222222222211111111110000000000
6539 10987654321098765432109876543210
6540 xxxxxxxxxxxxx110xxxxx0100x100101
6541 ldff1b. */
6542 return 1547;
6543 }
6544 else
6545 {
6546 /* 33222222222211111111110000000000
6547 10987654321098765432109876543210
6548 xxxxxxxxxxxxx110xxxxx0110x100101
6549 ldff1h. */
6550 return 1562;
6551 }
6552 }
6553 }
6554 }
6555 else
6556 {
6557 if (((word >> 22) & 0x1) == 0)
6558 {
6559 if (((word >> 23) & 0x1) == 0)
6560 {
6561 /* 33222222222211111111110000000000
6562 10987654321098765432109876543210
6563 xxxxxxxxxxxxx110xxxxx0000x10011x
6564 stnt1b. */
6565 return 1831;
6566 }
6567 else
6568 {
6569 /* 33222222222211111111110000000000
6570 10987654321098765432109876543210
6571 xxxxxxxxxxxxx110xxxxx0010x10011x
6572 stnt1h. */
6573 return 1835;
6574 }
6575 }
6576 else
6577 {
6578 if (((word >> 23) & 0x1) == 0)
6579 {
6580 /* 33222222222211111111110000000000
6581 10987654321098765432109876543210
6582 xxxxxxxxxxxxx110xxxxx0100x10011x
6583 st3b. */
6584 return 1815;
6585 }
6586 else
6587 {
6588 /* 33222222222211111111110000000000
6589 10987654321098765432109876543210
6590 xxxxxxxxxxxxx110xxxxx0110x10011x
6591 st3h. */
6592 return 1819;
6593 }
6594 }
6595 }
6596 }
6597 else
6598 {
6599 if (((word >> 30) & 0x1) == 0)
6600 {
6601 if (((word >> 31) & 0x1) == 0)
6602 {
6603 if (((word >> 4) & 0x1) == 0)
6604 {
6605 /* 33222222222211111111110000000000
6606 10987654321098765432109876543210
6607 xxxx0xxxxxxxx111xxxxx0xx0x100100
6608 cmplo. */
6609 return 1281;
6610 }
6611 else
6612 {
6613 /* 33222222222211111111110000000000
6614 10987654321098765432109876543210
6615 xxxx1xxxxxxxx111xxxxx0xx0x100100
6616 cmpls. */
6617 return 1283;
6618 }
6619 }
6620 else
6621 {
6622 if (((word >> 22) & 0x1) == 0)
6623 {
6624 if (((word >> 23) & 0x1) == 0)
6625 {
6626 /* 33222222222211111111110000000000
6627 10987654321098765432109876543210
6628 xxxxxxxxxxxxx111xxxxx0000x100101
6629 ldnt1b. */
6630 return 1621;
6631 }
6632 else
6633 {
6634 /* 33222222222211111111110000000000
6635 10987654321098765432109876543210
6636 xxxxxxxxxxxxx111xxxxx0010x100101
6637 ldnt1h. */
6638 return 1625;
6639 }
6640 }
6641 else
6642 {
6643 if (((word >> 23) & 0x1) == 0)
6644 {
6645 /* 33222222222211111111110000000000
6646 10987654321098765432109876543210
6647 xxxxxxxxxxxxx111xxxxx0100x100101
6648 ld3b. */
6649 return 1529;
6650 }
6651 else
6652 {
6653 /* 33222222222211111111110000000000
6654 10987654321098765432109876543210
6655 xxxxxxxxxxxxx111xxxxx0110x100101
6656 ld3h. */
6657 return 1533;
6658 }
6659 }
6660 }
6661 }
6662 else
6663 {
6664 if (((word >> 20) & 0x1) == 0)
6665 {
6666 if (((word >> 22) & 0x1) == 0)
6667 {
6668 /* 33222222222211111111110000000000
6669 10987654321098765432109876543210
6670 xxxxxxxxxxxxx111xxxx000x0x10011x
6671 st1b. */
6672 return 1768;
6673 }
6674 else
6675 {
6676 if (((word >> 23) & 0x1) == 0)
6677 {
6678 /* 33222222222211111111110000000000
6679 10987654321098765432109876543210
6680 xxxxxxxxxxxxx111xxxx00100x10011x
6681 st1b. */
6682 return 1771;
6683 }
6684 else
6685 {
6686 /* 33222222222211111111110000000000
6687 10987654321098765432109876543210
6688 xxxxxxxxxxxxx111xxxx00110x10011x
6689 st1h. */
6690 return 1792;
6691 }
6692 }
6693 }
6694 else
6695 {
6696 if (((word >> 22) & 0x1) == 0)
6697 {
6698 if (((word >> 23) & 0x1) == 0)
6699 {
6700 /* 33222222222211111111110000000000
6701 10987654321098765432109876543210
6702 xxxxxxxxxxxxx111xxxx10000x10011x
6703 stnt1b. */
6704 return 1832;
6705 }
6706 else
6707 {
6708 /* 33222222222211111111110000000000
6709 10987654321098765432109876543210
6710 xxxxxxxxxxxxx111xxxx10010x10011x
6711 stnt1h. */
6712 return 1836;
6713 }
6714 }
6715 else
6716 {
6717 if (((word >> 23) & 0x1) == 0)
6718 {
6719 /* 33222222222211111111110000000000
6720 10987654321098765432109876543210
6721 xxxxxxxxxxxxx111xxxx10100x10011x
6722 st3b. */
6723 return 1816;
6724 }
6725 else
6726 {
6727 /* 33222222222211111111110000000000
6728 10987654321098765432109876543210
6729 xxxxxxxxxxxxx111xxxx10110x10011x
6730 st3h. */
6731 return 1820;
6732 }
6733 }
6734 }
6735 }
6736 }
6737 }
6738 }
6739 else
6740 {
6741 if (((word >> 30) & 0x1) == 0)
6742 {
6743 if (((word >> 31) & 0x1) == 0)
6744 {
6745 if (((word >> 4) & 0x1) == 0)
6746 {
6747 /* 33222222222211111111110000000000
6748 10987654321098765432109876543210
6749 xxxx0xxxxxxxx1xxxxxxx1xx0x100100
6750 cmplo. */
6751 return 1282;
6752 }
6753 else
6754 {
6755 /* 33222222222211111111110000000000
6756 10987654321098765432109876543210
6757 xxxx1xxxxxxxx1xxxxxxx1xx0x100100
6758 cmpls. */
6759 return 1284;
6760 }
6761 }
6762 else
6763 {
6764 if (((word >> 14) & 0x1) == 0)
6765 {
6766 if (((word >> 20) & 0x1) == 0)
6767 {
6768 if (((word >> 22) & 0x1) == 0)
6769 {
6770 if (((word >> 23) & 0x1) == 0)
6771 {
6772 /* 33222222222211111111110000000000
6773 10987654321098765432109876543210
6774 xxxxxxxxxxxxx10xxxxx01000x100101
6775 ld1b. */
6776 return 1437;
6777 }
6778 else
6779 {
6780 /* 33222222222211111111110000000000
6781 10987654321098765432109876543210
6782 xxxxxxxxxxxxx10xxxxx01010x100101
6783 ld1h. */
6784 return 1458;
6785 }
6786 }
6787 else
6788 {
6789 if (((word >> 23) & 0x1) == 0)
6790 {
6791 /* 33222222222211111111110000000000
6792 10987654321098765432109876543210
6793 xxxxxxxxxxxxx10xxxxx01100x100101
6794 ld1b. */
6795 return 1439;
6796 }
6797 else
6798 {
6799 /* 33222222222211111111110000000000
6800 10987654321098765432109876543210
6801 xxxxxxxxxxxxx10xxxxx01110x100101
6802 ld1h. */
6803 return 1460;
6804 }
6805 }
6806 }
6807 else
6808 {
6809 if (((word >> 22) & 0x1) == 0)
6810 {
6811 if (((word >> 23) & 0x1) == 0)
6812 {
6813 /* 33222222222211111111110000000000
6814 10987654321098765432109876543210
6815 xxxxxxxxxxxxx10xxxxx11000x100101
6816 ldnf1b. */
6817 return 1605;
6818 }
6819 else
6820 {
6821 /* 33222222222211111111110000000000
6822 10987654321098765432109876543210
6823 xxxxxxxxxxxxx10xxxxx11010x100101
6824 ldnf1h. */
6825 return 1609;
6826 }
6827 }
6828 else
6829 {
6830 if (((word >> 23) & 0x1) == 0)
6831 {
6832 /* 33222222222211111111110000000000
6833 10987654321098765432109876543210
6834 xxxxxxxxxxxxx10xxxxx11100x100101
6835 ldnf1b. */
6836 return 1607;
6837 }
6838 else
6839 {
6840 /* 33222222222211111111110000000000
6841 10987654321098765432109876543210
6842 xxxxxxxxxxxxx10xxxxx11110x100101
6843 ldnf1h. */
6844 return 1611;
6845 }
6846 }
6847 }
6848 }
6849 else
6850 {
6851 if (((word >> 15) & 0x1) == 0)
6852 {
6853 if (((word >> 22) & 0x1) == 0)
6854 {
6855 if (((word >> 23) & 0x1) == 0)
6856 {
6857 /* 33222222222211111111110000000000
6858 10987654321098765432109876543210
6859 xxxxxxxxxxxxx110xxxxx1000x100101
6860 ldff1b. */
6861 return 1546;
6862 }
6863 else
6864 {
6865 /* 33222222222211111111110000000000
6866 10987654321098765432109876543210
6867 xxxxxxxxxxxxx110xxxxx1010x100101
6868 ldff1h. */
6869 return 1561;
6870 }
6871 }
6872 else
6873 {
6874 if (((word >> 23) & 0x1) == 0)
6875 {
6876 /* 33222222222211111111110000000000
6877 10987654321098765432109876543210
6878 xxxxxxxxxxxxx110xxxxx1100x100101
6879 ldff1b. */
6880 return 1548;
6881 }
6882 else
6883 {
6884 /* 33222222222211111111110000000000
6885 10987654321098765432109876543210
6886 xxxxxxxxxxxxx110xxxxx1110x100101
6887 ldff1h. */
6888 return 1563;
6889 }
6890 }
6891 }
6892 else
6893 {
6894 if (((word >> 22) & 0x1) == 0)
6895 {
6896 if (((word >> 23) & 0x1) == 0)
6897 {
6898 /* 33222222222211111111110000000000
6899 10987654321098765432109876543210
6900 xxxxxxxxxxxxx111xxxxx1000x100101
6901 ld2b. */
6902 return 1521;
6903 }
6904 else
6905 {
6906 /* 33222222222211111111110000000000
6907 10987654321098765432109876543210
6908 xxxxxxxxxxxxx111xxxxx1010x100101
6909 ld2h. */
6910 return 1525;
6911 }
6912 }
6913 else
6914 {
6915 if (((word >> 23) & 0x1) == 0)
6916 {
6917 /* 33222222222211111111110000000000
6918 10987654321098765432109876543210
6919 xxxxxxxxxxxxx111xxxxx1100x100101
6920 ld4b. */
6921 return 1537;
6922 }
6923 else
6924 {
6925 /* 33222222222211111111110000000000
6926 10987654321098765432109876543210
6927 xxxxxxxxxxxxx111xxxxx1110x100101
6928 ld4h. */
6929 return 1541;
6930 }
6931 }
6932 }
6933 }
6934 }
6935 }
6936 else
6937 {
6938 if (((word >> 14) & 0x1) == 0)
6939 {
6940 if (((word >> 22) & 0x1) == 0)
6941 {
6942 /* 33222222222211111111110000000000
6943 10987654321098765432109876543210
6944 xxxxxxxxxxxxx10xxxxxx10x0x10011x
6945 st1h. */
6946 return 1785;
6947 }
6948 else
6949 {
6950 if (((word >> 23) & 0x1) == 0)
6951 {
6952 /* 33222222222211111111110000000000
6953 10987654321098765432109876543210
6954 xxxxxxxxxxxxx10xxxxxx1100x10011x
6955 st1b. */
6956 return 1772;
6957 }
6958 else
6959 {
6960 /* 33222222222211111111110000000000
6961 10987654321098765432109876543210
6962 xxxxxxxxxxxxx10xxxxxx1110x10011x
6963 st1h. */
6964 return 1793;
6965 }
6966 }
6967 }
6968 else
6969 {
6970 if (((word >> 15) & 0x1) == 0)
6971 {
6972 if (((word >> 22) & 0x1) == 0)
6973 {
6974 if (((word >> 23) & 0x1) == 0)
6975 {
6976 /* 33222222222211111111110000000000
6977 10987654321098765432109876543210
6978 xxxxxxxxxxxxx110xxxxx1000x10011x
6979 st2b. */
6980 return 1807;
6981 }
6982 else
6983 {
6984 /* 33222222222211111111110000000000
6985 10987654321098765432109876543210
6986 xxxxxxxxxxxxx110xxxxx1010x10011x
6987 st2h. */
6988 return 1811;
6989 }
6990 }
6991 else
6992 {
6993 if (((word >> 23) & 0x1) == 0)
6994 {
6995 /* 33222222222211111111110000000000
6996 10987654321098765432109876543210
6997 xxxxxxxxxxxxx110xxxxx1100x10011x
6998 st4b. */
6999 return 1823;
7000 }
7001 else
7002 {
7003 /* 33222222222211111111110000000000
7004 10987654321098765432109876543210
7005 xxxxxxxxxxxxx110xxxxx1110x10011x
7006 st4h. */
7007 return 1827;
7008 }
7009 }
7010 }
7011 else
7012 {
7013 if (((word >> 20) & 0x1) == 0)
7014 {
7015 if (((word >> 22) & 0x1) == 0)
7016 {
7017 if (((word >> 23) & 0x1) == 0)
7018 {
7019 /* 33222222222211111111110000000000
7020 10987654321098765432109876543210
7021 xxxxxxxxxxxxx111xxxx01000x10011x
7022 st1b. */
7023 return 1769;
7024 }
7025 else
7026 {
7027 /* 33222222222211111111110000000000
7028 10987654321098765432109876543210
7029 xxxxxxxxxxxxx111xxxx01010x10011x
7030 st1h. */
7031 return 1790;
7032 }
7033 }
7034 else
7035 {
7036 if (((word >> 23) & 0x1) == 0)
7037 {
7038 /* 33222222222211111111110000000000
7039 10987654321098765432109876543210
7040 xxxxxxxxxxxxx111xxxx01100x10011x
7041 st1b. */
7042 return 1773;
7043 }
7044 else
7045 {
7046 /* 33222222222211111111110000000000
7047 10987654321098765432109876543210
7048 xxxxxxxxxxxxx111xxxx01110x10011x
7049 st1h. */
7050 return 1794;
7051 }
7052 }
7053 }
7054 else
7055 {
7056 if (((word >> 22) & 0x1) == 0)
7057 {
7058 if (((word >> 23) & 0x1) == 0)
7059 {
7060 /* 33222222222211111111110000000000
7061 10987654321098765432109876543210
7062 xxxxxxxxxxxxx111xxxx11000x10011x
7063 st2b. */
7064 return 1808;
7065 }
7066 else
7067 {
7068 /* 33222222222211111111110000000000
7069 10987654321098765432109876543210
7070 xxxxxxxxxxxxx111xxxx11010x10011x
7071 st2h. */
7072 return 1812;
7073 }
7074 }
7075 else
7076 {
7077 if (((word >> 23) & 0x1) == 0)
7078 {
7079 /* 33222222222211111111110000000000
7080 10987654321098765432109876543210
7081 xxxxxxxxxxxxx111xxxx11100x10011x
7082 st4b. */
7083 return 1824;
7084 }
7085 else
7086 {
7087 /* 33222222222211111111110000000000
7088 10987654321098765432109876543210
7089 xxxxxxxxxxxxx111xxxx11110x10011x
7090 st4h. */
7091 return 1828;
7092 }
7093 }
7094 }
7095 }
7096 }
7097 }
7098 }
7099 }
7100 }
7101 }
7102 else
7103 {
7104 if (((word >> 29) & 0x1) == 0)
7105 {
7106 if (((word >> 30) & 0x1) == 0)
7107 {
7108 if (((word >> 31) & 0x1) == 0)
7109 {
7110 if (((word >> 21) & 0x1) == 0)
7111 {
7112 if (((word >> 20) & 0x1) == 0)
7113 {
7114 if (((word >> 22) & 0x1) == 0)
7115 {
7116 if (((word >> 23) & 0x1) == 0)
7117 {
7118 /* 33222222222211111111110000000000
7119 10987654321098765432109876543210
7120 xxxxxxxxxxxxxxxxxxxx00001x100000
7121 orr. */
7122 return 1659;
7123 }
7124 else
7125 {
7126 /* 33222222222211111111110000000000
7127 10987654321098765432109876543210
7128 xxxxxxxxxxxxxxxxxxxx00011x100000
7129 and. */
7130 return 1230;
7131 }
7132 }
7133 else
7134 {
7135 if (((word >> 23) & 0x1) == 0)
7136 {
7137 /* 33222222222211111111110000000000
7138 10987654321098765432109876543210
7139 xxxxxxxxxxxxxxxxxxxx00101x100000
7140 eor. */
7141 return 1317;
7142 }
7143 else
7144 {
7145 /* 33222222222211111111110000000000
7146 10987654321098765432109876543210
7147 xxxxxxxxxxxxxxxxxxxx00111x100000
7148 dupm. */
7149 return 1315;
7150 }
7151 }
7152 }
7153 else
7154 {
7155 if (((word >> 15) & 0x1) == 0)
7156 {
7157 /* 33222222222211111111110000000000
7158 10987654321098765432109876543210
7159 xxxxxxxxxxxxxxx0xxxx10xx1x100000
7160 cpy. */
7161 return 1300;
7162 }
7163 else
7164 {
7165 /* 33222222222211111111110000000000
7166 10987654321098765432109876543210
7167 xxxxxxxxxxxxxxx1xxxx10xx1x100000
7168 fcpy. */
7169 return 1343;
7170 }
7171 }
7172 }
7173 else
7174 {
7175 if (((word >> 14) & 0x1) == 0)
7176 {
7177 if (((word >> 13) & 0x1) == 0)
7178 {
7179 if (((word >> 15) & 0x1) == 0)
7180 {
7181 /* 33222222222211111111110000000000
7182 10987654321098765432109876543210
7183 xxxxxxxxxxxxx000xxxxx1xx1x100000
7184 ext. */
7185 return 1322;
7186 }
7187 else
7188 {
7189 if (((word >> 16) & 0x1) == 0)
7190 {
7191 if (((word >> 17) & 0x1) == 0)
7192 {
7193 if (((word >> 18) & 0x1) == 0)
7194 {
7195 if (((word >> 19) & 0x1) == 0)
7196 {
7197 /* 33222222222211111111110000000000
7198 10987654321098765432109876543210
7199 xxxxxxxxxxxxx0010000x1xx1x100000
7200 cpy. */
7201 return 1298;
7202 }
7203 else
7204 {
7205 /* 33222222222211111111110000000000
7206 10987654321098765432109876543210
7207 xxxxxxxxxxxxx0010001x1xx1x100000
7208 clasta. */
7209 return 1256;
7210 }
7211 }
7212 else
7213 {
7214 if (((word >> 19) & 0x1) == 0)
7215 {
7216 /* 33222222222211111111110000000000
7217 10987654321098765432109876543210
7218 xxxxxxxxxxxxx0010010x1xx1x100000
7219 revb. */
7220 return 1707;
7221 }
7222 else
7223 {
7224 /* 33222222222211111111110000000000
7225 10987654321098765432109876543210
7226 xxxxxxxxxxxxx0010011x1xx1x100000
7227 splice. */
7228 return 1728;
7229 }
7230 }
7231 }
7232 else
7233 {
7234 if (((word >> 18) & 0x1) == 0)
7235 {
7236 if (((word >> 19) & 0x1) == 0)
7237 {
7238 /* 33222222222211111111110000000000
7239 10987654321098765432109876543210
7240 xxxxxxxxxxxxx0010100x1xx1x100000
7241 lasta. */
7242 return 1425;
7243 }
7244 else
7245 {
7246 /* 33222222222211111111110000000000
7247 10987654321098765432109876543210
7248 xxxxxxxxxxxxx0010101x1xx1x100000
7249 clasta. */
7250 return 1257;
7251 }
7252 }
7253 else
7254 {
7255 /* 33222222222211111111110000000000
7256 10987654321098765432109876543210
7257 xxxxxxxxxxxxx001011xx1xx1x100000
7258 revw. */
7259 return 1709;
7260 }
7261 }
7262 }
7263 else
7264 {
7265 if (((word >> 17) & 0x1) == 0)
7266 {
7267 if (((word >> 18) & 0x1) == 0)
7268 {
7269 if (((word >> 19) & 0x1) == 0)
7270 {
7271 /* 33222222222211111111110000000000
7272 10987654321098765432109876543210
7273 xxxxxxxxxxxxx0011000x1xx1x100000
7274 compact. */
7275 return 1297;
7276 }
7277 else
7278 {
7279 /* 33222222222211111111110000000000
7280 10987654321098765432109876543210
7281 xxxxxxxxxxxxx0011001x1xx1x100000
7282 clastb. */
7283 return 1259;
7284 }
7285 }
7286 else
7287 {
7288 /* 33222222222211111111110000000000
7289 10987654321098765432109876543210
7290 xxxxxxxxxxxxx001101xx1xx1x100000
7291 revh. */
7292 return 1708;
7293 }
7294 }
7295 else
7296 {
7297 if (((word >> 18) & 0x1) == 0)
7298 {
7299 if (((word >> 19) & 0x1) == 0)
7300 {
7301 /* 33222222222211111111110000000000
7302 10987654321098765432109876543210
7303 xxxxxxxxxxxxx0011100x1xx1x100000
7304 lastb. */
7305 return 1427;
7306 }
7307 else
7308 {
7309 /* 33222222222211111111110000000000
7310 10987654321098765432109876543210
7311 xxxxxxxxxxxxx0011101x1xx1x100000
7312 clastb. */
7313 return 1260;
7314 }
7315 }
7316 else
7317 {
7318 /* 33222222222211111111110000000000
7319 10987654321098765432109876543210
7320 xxxxxxxxxxxxx001111xx1xx1x100000
7321 rbit. */
7322 return 1700;
7323 }
7324 }
7325 }
7326 }
7327 }
7328 else
7329 {
7330 if (((word >> 15) & 0x1) == 0)
7331 {
7332 if (((word >> 11) & 0x1) == 0)
7333 {
7334 if (((word >> 12) & 0x1) == 0)
7335 {
7336 /* 33222222222211111111110000000000
7337 10987654321098765432109876543210
7338 xxxxxxxxxxx00100xxxxx1xx1x100000
7339 dup. */
7340 return 1313;
7341 }
7342 else
7343 {
7344 /* 33222222222211111111110000000000
7345 10987654321098765432109876543210
7346 xxxxxxxxxxx01100xxxxx1xx1x100000
7347 tbl. */
7348 return 1851;
7349 }
7350 }
7351 else
7352 {
7353 if (((word >> 16) & 0x1) == 0)
7354 {
7355 if (((word >> 17) & 0x1) == 0)
7356 {
7357 if (((word >> 18) & 0x1) == 0)
7358 {
7359 if (((word >> 19) & 0x1) == 0)
7360 {
7361 if (((word >> 20) & 0x1) == 0)
7362 {
7363 /* 33222222222211111111110000000000
7364 10987654321098765432109876543210
7365 xxxxxxxxxxx1x100000001xx1x100000
7366 dup. */
7367 return 1312;
7368 }
7369 else
7370 {
7371 /* 33222222222211111111110000000000
7372 10987654321098765432109876543210
7373 xxxxxxxxxxx1x100000011xx1x100000
7374 sunpklo. */
7375 return 1847;
7376 }
7377 }
7378 else
7379 {
7380 /* 33222222222211111111110000000000
7381 10987654321098765432109876543210
7382 xxxxxxxxxxx1x1000001x1xx1x100000
7383 rev. */
7384 return 1706;
7385 }
7386 }
7387 else
7388 {
7389 if (((word >> 20) & 0x1) == 0)
7390 {
7391 /* 33222222222211111111110000000000
7392 10987654321098765432109876543210
7393 xxxxxxxxxxx1x100001x01xx1x100000
7394 insr. */
7395 return 1422;
7396 }
7397 else
7398 {
7399 /* 33222222222211111111110000000000
7400 10987654321098765432109876543210
7401 xxxxxxxxxxx1x100001x11xx1x100000
7402 insr. */
7403 return 1423;
7404 }
7405 }
7406 }
7407 else
7408 {
7409 /* 33222222222211111111110000000000
7410 10987654321098765432109876543210
7411 xxxxxxxxxxx1x10001xxx1xx1x100000
7412 uunpklo. */
7413 return 1904;
7414 }
7415 }
7416 else
7417 {
7418 if (((word >> 17) & 0x1) == 0)
7419 {
7420 /* 33222222222211111111110000000000
7421 10987654321098765432109876543210
7422 xxxxxxxxxxx1x10010xxx1xx1x100000
7423 sunpkhi. */
7424 return 1846;
7425 }
7426 else
7427 {
7428 /* 33222222222211111111110000000000
7429 10987654321098765432109876543210
7430 xxxxxxxxxxx1x10011xxx1xx1x100000
7431 uunpkhi. */
7432 return 1903;
7433 }
7434 }
7435 }
7436 }
7437 else
7438 {
7439 if (((word >> 16) & 0x1) == 0)
7440 {
7441 if (((word >> 19) & 0x1) == 0)
7442 {
7443 if (((word >> 20) & 0x1) == 0)
7444 {
7445 /* 33222222222211111111110000000000
7446 10987654321098765432109876543210
7447 xxxxxxxxxxxxx1010xx001xx1x100000
7448 lasta. */
7449 return 1424;
7450 }
7451 else
7452 {
7453 /* 33222222222211111111110000000000
7454 10987654321098765432109876543210
7455 xxxxxxxxxxxxx1010xx011xx1x100000
7456 clasta. */
7457 return 1258;
7458 }
7459 }
7460 else
7461 {
7462 /* 33222222222211111111110000000000
7463 10987654321098765432109876543210
7464 xxxxxxxxxxxxx1010xx1x1xx1x100000
7465 cpy. */
7466 return 1299;
7467 }
7468 }
7469 else
7470 {
7471 if (((word >> 20) & 0x1) == 0)
7472 {
7473 /* 33222222222211111111110000000000
7474 10987654321098765432109876543210
7475 xxxxxxxxxxxxx1011xxx01xx1x100000
7476 lastb. */
7477 return 1426;
7478 }
7479 else
7480 {
7481 /* 33222222222211111111110000000000
7482 10987654321098765432109876543210
7483 xxxxxxxxxxxxx1011xxx11xx1x100000
7484 clastb. */
7485 return 1261;
7486 }
7487 }
7488 }
7489 }
7490 }
7491 else
7492 {
7493 if (((word >> 15) & 0x1) == 0)
7494 {
7495 if (((word >> 10) & 0x1) == 0)
7496 {
7497 if (((word >> 11) & 0x1) == 0)
7498 {
7499 if (((word >> 12) & 0x1) == 0)
7500 {
7501 if (((word >> 13) & 0x1) == 0)
7502 {
7503 if (((word >> 20) & 0x1) == 0)
7504 {
7505 /* 33222222222211111111110000000000
7506 10987654321098765432109876543210
7507 xxxxxxxxxx000010xxxx01xx1x100000
7508 zip1. */
7509 return 1921;
7510 }
7511 else
7512 {
7513 if (((word >> 16) & 0x1) == 0)
7514 {
7515 if (((word >> 18) & 0x1) == 0)
7516 {
7517 /* 33222222222211111111110000000000
7518 10987654321098765432109876543210
7519 xxxxxxxxxx0000100x0x11xx1x100000
7520 punpklo. */
7521 return 1699;
7522 }
7523 else
7524 {
7525 /* 33222222222211111111110000000000
7526 10987654321098765432109876543210
7527 xxxxxxxxxx0000100x1x11xx1x100000
7528 rev. */
7529 return 1705;
7530 }
7531 }
7532 else
7533 {
7534 /* 33222222222211111111110000000000
7535 10987654321098765432109876543210
7536 xxxxxxxxxx0000101xxx11xx1x100000
7537 punpkhi. */
7538 return 1698;
7539 }
7540 }
7541 }
7542 else
7543 {
7544 /* 33222222222211111111110000000000
7545 10987654321098765432109876543210
7546 xxxxxxxxxx000110xxxxx1xx1x100000
7547 zip1. */
7548 return 1922;
7549 }
7550 }
7551 else
7552 {
7553 if (((word >> 13) & 0x1) == 0)
7554 {
7555 /* 33222222222211111111110000000000
7556 10987654321098765432109876543210
7557 xxxxxxxxxx001010xxxxx1xx1x100000
7558 trn1. */
7559 return 1852;
7560 }
7561 else
7562 {
7563 /* 33222222222211111111110000000000
7564 10987654321098765432109876543210
7565 xxxxxxxxxx001110xxxxx1xx1x100000
7566 trn1. */
7567 return 1853;
7568 }
7569 }
7570 }
7571 else
7572 {
7573 if (((word >> 13) & 0x1) == 0)
7574 {
7575 /* 33222222222211111111110000000000
7576 10987654321098765432109876543210
7577 xxxxxxxxxx01x010xxxxx1xx1x100000
7578 uzp1. */
7579 return 1908;
7580 }
7581 else
7582 {
7583 /* 33222222222211111111110000000000
7584 10987654321098765432109876543210
7585 xxxxxxxxxx01x110xxxxx1xx1x100000
7586 uzp1. */
7587 return 1909;
7588 }
7589 }
7590 }
7591 else
7592 {
7593 if (((word >> 11) & 0x1) == 0)
7594 {
7595 if (((word >> 12) & 0x1) == 0)
7596 {
7597 if (((word >> 13) & 0x1) == 0)
7598 {
7599 /* 33222222222211111111110000000000
7600 10987654321098765432109876543210
7601 xxxxxxxxxx100010xxxxx1xx1x100000
7602 zip2. */
7603 return 1923;
7604 }
7605 else
7606 {
7607 /* 33222222222211111111110000000000
7608 10987654321098765432109876543210
7609 xxxxxxxxxx100110xxxxx1xx1x100000
7610 zip2. */
7611 return 1924;
7612 }
7613 }
7614 else
7615 {
7616 if (((word >> 13) & 0x1) == 0)
7617 {
7618 /* 33222222222211111111110000000000
7619 10987654321098765432109876543210
7620 xxxxxxxxxx101010xxxxx1xx1x100000
7621 trn2. */
7622 return 1854;
7623 }
7624 else
7625 {
7626 /* 33222222222211111111110000000000
7627 10987654321098765432109876543210
7628 xxxxxxxxxx101110xxxxx1xx1x100000
7629 trn2. */
7630 return 1855;
7631 }
7632 }
7633 }
7634 else
7635 {
7636 if (((word >> 13) & 0x1) == 0)
7637 {
7638 /* 33222222222211111111110000000000
7639 10987654321098765432109876543210
7640 xxxxxxxxxx11x010xxxxx1xx1x100000
7641 uzp2. */
7642 return 1910;
7643 }
7644 else
7645 {
7646 /* 33222222222211111111110000000000
7647 10987654321098765432109876543210
7648 xxxxxxxxxx11x110xxxxx1xx1x100000
7649 uzp2. */
7650 return 1911;
7651 }
7652 }
7653 }
7654 }
7655 else
7656 {
7657 /* 33222222222211111111110000000000
7658 10987654321098765432109876543210
7659 xxxxxxxxxxxxxx11xxxxx1xx1x100000
7660 sel. */
7661 return 1718;
7662 }
7663 }
7664 }
7665 }
7666 else
7667 {
7668 if (((word >> 13) & 0x1) == 0)
7669 {
7670 if (((word >> 14) & 0x1) == 0)
7671 {
7672 if (((word >> 15) & 0x1) == 0)
7673 {
7674 if (((word >> 22) & 0x1) == 0)
7675 {
7676 /* 33222222222211111111110000000000
7677 10987654321098765432109876543210
7678 xxxxxxxxxxxxx000xxxxxx0x1x100001
7679 ldr. */
7680 return 1628;
7681 }
7682 else
7683 {
7684 /* 33222222222211111111110000000000
7685 10987654321098765432109876543210
7686 xxxxxxxxxxxxx000xxxxxx1x1x100001
7687 prfb. */
7688 return 1672;
7689 }
7690 }
7691 else
7692 {
7693 if (((word >> 23) & 0x1) == 0)
7694 {
7695 /* 33222222222211111111110000000000
7696 10987654321098765432109876543210
7697 xxxxxxxxxxxxx001xxxxxxx01x100001
7698 ld1rsh. */
7699 return 1473;
7700 }
7701 else
7702 {
7703 /* 33222222222211111111110000000000
7704 10987654321098765432109876543210
7705 xxxxxxxxxxxxx001xxxxxxx11x100001
7706 ld1rsb. */
7707 return 1470;
7708 }
7709 }
7710 }
7711 else
7712 {
7713 if (((word >> 15) & 0x1) == 0)
7714 {
7715 if (((word >> 23) & 0x1) == 0)
7716 {
7717 if (((word >> 21) & 0x1) == 0)
7718 {
7719 /* 33222222222211111111110000000000
7720 10987654321098765432109876543210
7721 xxxxxxxxxxxxx010xxxxx0x01x100001
7722 ld1w. */
7723 return 1508;
7724 }
7725 else
7726 {
7727 /* 33222222222211111111110000000000
7728 10987654321098765432109876543210
7729 xxxxxxxxxxxxx010xxxxx1x01x100001
7730 ld1w. */
7731 return 1509;
7732 }
7733 }
7734 else
7735 {
7736 if (((word >> 22) & 0x1) == 0)
7737 {
7738 /* 33222222222211111111110000000000
7739 10987654321098765432109876543210
7740 xxxxxxxxxxxxx010xxxxxx011x100001
7741 ldr. */
7742 return 1629;
7743 }
7744 else
7745 {
7746 /* 33222222222211111111110000000000
7747 10987654321098765432109876543210
7748 xxxxxxxxxxxxx010xxxxxx111x100001
7749 prfw. */
7750 return 1693;
7751 }
7752 }
7753 }
7754 else
7755 {
7756 if (((word >> 22) & 0x1) == 0)
7757 {
7758 if (((word >> 21) & 0x1) == 0)
7759 {
7760 if (((word >> 23) & 0x1) == 0)
7761 {
7762 /* 33222222222211111111110000000000
7763 10987654321098765432109876543210
7764 xxxxxxxxxxxxx011xxxxx0001x100001
7765 prfw. */
7766 return 1689;
7767 }
7768 else
7769 {
7770 /* 33222222222211111111110000000000
7771 10987654321098765432109876543210
7772 xxxxxxxxxxxxx011xxxxx0011x100001
7773 prfd. */
7774 return 1675;
7775 }
7776 }
7777 else
7778 {
7779 /* 33222222222211111111110000000000
7780 10987654321098765432109876543210
7781 xxxxxxxxxxxxx011xxxxx10x1x100001
7782 ld1w. */
7783 return 1516;
7784 }
7785 }
7786 else
7787 {
7788 if (((word >> 23) & 0x1) == 0)
7789 {
7790 /* 33222222222211111111110000000000
7791 10987654321098765432109876543210
7792 xxxxxxxxxxxxx011xxxxxx101x100001
7793 ld1rw. */
7794 return 1476;
7795 }
7796 else
7797 {
7798 /* 33222222222211111111110000000000
7799 10987654321098765432109876543210
7800 xxxxxxxxxxxxx011xxxxxx111x100001
7801 ld1rsb. */
7802 return 1472;
7803 }
7804 }
7805 }
7806 }
7807 }
7808 else
7809 {
7810 if (((word >> 14) & 0x1) == 0)
7811 {
7812 if (((word >> 15) & 0x1) == 0)
7813 {
7814 /* 33222222222211111111110000000000
7815 10987654321098765432109876543210
7816 xxxxxxxxxxxxx100xxxxxxxx1x100001
7817 prfh. */
7818 return 1686;
7819 }
7820 else
7821 {
7822 if (((word >> 23) & 0x1) == 0)
7823 {
7824 /* 33222222222211111111110000000000
7825 10987654321098765432109876543210
7826 xxxxxxxxxxxxx101xxxxxxx01x100001
7827 ld1rsh. */
7828 return 1474;
7829 }
7830 else
7831 {
7832 /* 33222222222211111111110000000000
7833 10987654321098765432109876543210
7834 xxxxxxxxxxxxx101xxxxxxx11x100001
7835 ld1rsb. */
7836 return 1471;
7837 }
7838 }
7839 }
7840 else
7841 {
7842 if (((word >> 15) & 0x1) == 0)
7843 {
7844 if (((word >> 23) & 0x1) == 0)
7845 {
7846 if (((word >> 21) & 0x1) == 0)
7847 {
7848 /* 33222222222211111111110000000000
7849 10987654321098765432109876543210
7850 xxxxxxxxxxxxx110xxxxx0x01x100001
7851 ldff1w. */
7852 return 1594;
7853 }
7854 else
7855 {
7856 /* 33222222222211111111110000000000
7857 10987654321098765432109876543210
7858 xxxxxxxxxxxxx110xxxxx1x01x100001
7859 ldff1w. */
7860 return 1595;
7861 }
7862 }
7863 else
7864 {
7865 /* 33222222222211111111110000000000
7866 10987654321098765432109876543210
7867 xxxxxxxxxxxxx110xxxxxxx11x100001
7868 prfd. */
7869 return 1679;
7870 }
7871 }
7872 else
7873 {
7874 if (((word >> 22) & 0x1) == 0)
7875 {
7876 if (((word >> 21) & 0x1) == 0)
7877 {
7878 if (((word >> 23) & 0x1) == 0)
7879 {
7880 /* 33222222222211111111110000000000
7881 10987654321098765432109876543210
7882 xxxxxxxxxxxxx111xxxxx0001x100001
7883 prfw. */
7884 return 1692;
7885 }
7886 else
7887 {
7888 /* 33222222222211111111110000000000
7889 10987654321098765432109876543210
7890 xxxxxxxxxxxxx111xxxxx0011x100001
7891 prfd. */
7892 return 1678;
7893 }
7894 }
7895 else
7896 {
7897 /* 33222222222211111111110000000000
7898 10987654321098765432109876543210
7899 xxxxxxxxxxxxx111xxxxx10x1x100001
7900 ldff1w. */
7901 return 1602;
7902 }
7903 }
7904 else
7905 {
7906 if (((word >> 23) & 0x1) == 0)
7907 {
7908 /* 33222222222211111111110000000000
7909 10987654321098765432109876543210
7910 xxxxxxxxxxxxx111xxxxxx101x100001
7911 ld1rw. */
7912 return 1477;
7913 }
7914 else
7915 {
7916 /* 33222222222211111111110000000000
7917 10987654321098765432109876543210
7918 xxxxxxxxxxxxx111xxxxxx111x100001
7919 ld1rd. */
7920 return 1466;
7921 }
7922 }
7923 }
7924 }
7925 }
7926 }
7927 }
7928 else
7929 {
7930 if (((word >> 13) & 0x1) == 0)
7931 {
7932 if (((word >> 14) & 0x1) == 0)
7933 {
7934 if (((word >> 15) & 0x1) == 0)
7935 {
7936 if (((word >> 21) & 0x1) == 0)
7937 {
7938 /* 33222222222211111111110000000000
7939 10987654321098765432109876543210
7940 xxxxxxxxxxxxx000xxxxx0xx1x10001x
7941 ld1sw. */
7942 return 1502;
7943 }
7944 else
7945 {
7946 /* 33222222222211111111110000000000
7947 10987654321098765432109876543210
7948 xxxxxxxxxxxxx000xxxxx1xx1x10001x
7949 ld1sw. */
7950 return 1503;
7951 }
7952 }
7953 else
7954 {
7955 if (((word >> 21) & 0x1) == 0)
7956 {
7957 /* 33222222222211111111110000000000
7958 10987654321098765432109876543210
7959 xxxxxxxxxxxxx001xxxxx0xx1x10001x
7960 ld1sw. */
7961 return 1504;
7962 }
7963 else
7964 {
7965 if (((word >> 22) & 0x1) == 0)
7966 {
7967 /* 33222222222211111111110000000000
7968 10987654321098765432109876543210
7969 xxxxxxxxxxxxx001xxxxx10x1x10001x
7970 ld1sw. */
7971 return 1507;
7972 }
7973 else
7974 {
7975 /* 33222222222211111111110000000000
7976 10987654321098765432109876543210
7977 xxxxxxxxxxxxx001xxxxx11x1x10001x
7978 ld1sw. */
7979 return 1505;
7980 }
7981 }
7982 }
7983 }
7984 else
7985 {
7986 if (((word >> 15) & 0x1) == 0)
7987 {
7988 if (((word >> 21) & 0x1) == 0)
7989 {
7990 if (((word >> 23) & 0x1) == 0)
7991 {
7992 /* 33222222222211111111110000000000
7993 10987654321098765432109876543210
7994 xxxxxxxxxxxxx010xxxxx0x01x10001x
7995 ld1w. */
7996 return 1512;
7997 }
7998 else
7999 {
8000 /* 33222222222211111111110000000000
8001 10987654321098765432109876543210
8002 xxxxxxxxxxxxx010xxxxx0x11x10001x
8003 ld1d. */
8004 return 1442;
8005 }
8006 }
8007 else
8008 {
8009 if (((word >> 23) & 0x1) == 0)
8010 {
8011 /* 33222222222211111111110000000000
8012 10987654321098765432109876543210
8013 xxxxxxxxxxxxx010xxxxx1x01x10001x
8014 ld1w. */
8015 return 1513;
8016 }
8017 else
8018 {
8019 /* 33222222222211111111110000000000
8020 10987654321098765432109876543210
8021 xxxxxxxxxxxxx010xxxxx1x11x10001x
8022 ld1d. */
8023 return 1443;
8024 }
8025 }
8026 }
8027 else
8028 {
8029 if (((word >> 21) & 0x1) == 0)
8030 {
8031 if (((word >> 23) & 0x1) == 0)
8032 {
8033 /* 33222222222211111111110000000000
8034 10987654321098765432109876543210
8035 xxxxxxxxxxxxx011xxxxx0x01x10001x
8036 ld1w. */
8037 return 1514;
8038 }
8039 else
8040 {
8041 /* 33222222222211111111110000000000
8042 10987654321098765432109876543210
8043 xxxxxxxxxxxxx011xxxxx0x11x10001x
8044 ld1d. */
8045 return 1444;
8046 }
8047 }
8048 else
8049 {
8050 if (((word >> 22) & 0x1) == 0)
8051 {
8052 if (((word >> 23) & 0x1) == 0)
8053 {
8054 /* 33222222222211111111110000000000
8055 10987654321098765432109876543210
8056 xxxxxxxxxxxxx011xxxxx1001x10001x
8057 ld1w. */
8058 return 1519;
8059 }
8060 else
8061 {
8062 /* 33222222222211111111110000000000
8063 10987654321098765432109876543210
8064 xxxxxxxxxxxxx011xxxxx1011x10001x
8065 ld1d. */
8066 return 1447;
8067 }
8068 }
8069 else
8070 {
8071 if (((word >> 23) & 0x1) == 0)
8072 {
8073 /* 33222222222211111111110000000000
8074 10987654321098765432109876543210
8075 xxxxxxxxxxxxx011xxxxx1101x10001x
8076 ld1w. */
8077 return 1515;
8078 }
8079 else
8080 {
8081 /* 33222222222211111111110000000000
8082 10987654321098765432109876543210
8083 xxxxxxxxxxxxx011xxxxx1111x10001x
8084 ld1d. */
8085 return 1445;
8086 }
8087 }
8088 }
8089 }
8090 }
8091 }
8092 else
8093 {
8094 if (((word >> 14) & 0x1) == 0)
8095 {
8096 if (((word >> 15) & 0x1) == 0)
8097 {
8098 if (((word >> 21) & 0x1) == 0)
8099 {
8100 /* 33222222222211111111110000000000
8101 10987654321098765432109876543210
8102 xxxxxxxxxxxxx100xxxxx0xx1x10001x
8103 ldff1sw. */
8104 return 1589;
8105 }
8106 else
8107 {
8108 /* 33222222222211111111110000000000
8109 10987654321098765432109876543210
8110 xxxxxxxxxxxxx100xxxxx1xx1x10001x
8111 ldff1sw. */
8112 return 1590;
8113 }
8114 }
8115 else
8116 {
8117 if (((word >> 21) & 0x1) == 0)
8118 {
8119 /* 33222222222211111111110000000000
8120 10987654321098765432109876543210
8121 xxxxxxxxxxxxx101xxxxx0xx1x10001x
8122 ldff1sw. */
8123 return 1591;
8124 }
8125 else
8126 {
8127 if (((word >> 22) & 0x1) == 0)
8128 {
8129 /* 33222222222211111111110000000000
8130 10987654321098765432109876543210
8131 xxxxxxxxxxxxx101xxxxx10x1x10001x
8132 ldff1sw. */
8133 return 1593;
8134 }
8135 else
8136 {
8137 /* 33222222222211111111110000000000
8138 10987654321098765432109876543210
8139 xxxxxxxxxxxxx101xxxxx11x1x10001x
8140 ldff1sw. */
8141 return 1592;
8142 }
8143 }
8144 }
8145 }
8146 else
8147 {
8148 if (((word >> 15) & 0x1) == 0)
8149 {
8150 if (((word >> 21) & 0x1) == 0)
8151 {
8152 if (((word >> 23) & 0x1) == 0)
8153 {
8154 /* 33222222222211111111110000000000
8155 10987654321098765432109876543210
8156 xxxxxxxxxxxxx110xxxxx0x01x10001x
8157 ldff1w. */
8158 return 1598;
8159 }
8160 else
8161 {
8162 /* 33222222222211111111110000000000
8163 10987654321098765432109876543210
8164 xxxxxxxxxxxxx110xxxxx0x11x10001x
8165 ldff1d. */
8166 return 1554;
8167 }
8168 }
8169 else
8170 {
8171 if (((word >> 23) & 0x1) == 0)
8172 {
8173 /* 33222222222211111111110000000000
8174 10987654321098765432109876543210
8175 xxxxxxxxxxxxx110xxxxx1x01x10001x
8176 ldff1w. */
8177 return 1599;
8178 }
8179 else
8180 {
8181 /* 33222222222211111111110000000000
8182 10987654321098765432109876543210
8183 xxxxxxxxxxxxx110xxxxx1x11x10001x
8184 ldff1d. */
8185 return 1555;
8186 }
8187 }
8188 }
8189 else
8190 {
8191 if (((word >> 21) & 0x1) == 0)
8192 {
8193 if (((word >> 22) & 0x1) == 0)
8194 {
8195 if (((word >> 23) & 0x1) == 0)
8196 {
8197 /* 33222222222211111111110000000000
8198 10987654321098765432109876543210
8199 xxxxxxxxxxxxx111xxxxx0001x10001x
8200 prfw. */
8201 return 1694;
8202 }
8203 else
8204 {
8205 /* 33222222222211111111110000000000
8206 10987654321098765432109876543210
8207 xxxxxxxxxxxxx111xxxxx0011x10001x
8208 prfd. */
8209 return 1680;
8210 }
8211 }
8212 else
8213 {
8214 if (((word >> 23) & 0x1) == 0)
8215 {
8216 /* 33222222222211111111110000000000
8217 10987654321098765432109876543210
8218 xxxxxxxxxxxxx111xxxxx0101x10001x
8219 ldff1w. */
8220 return 1600;
8221 }
8222 else
8223 {
8224 /* 33222222222211111111110000000000
8225 10987654321098765432109876543210
8226 xxxxxxxxxxxxx111xxxxx0111x10001x
8227 ldff1d. */
8228 return 1556;
8229 }
8230 }
8231 }
8232 else
8233 {
8234 if (((word >> 22) & 0x1) == 0)
8235 {
8236 if (((word >> 23) & 0x1) == 0)
8237 {
8238 /* 33222222222211111111110000000000
8239 10987654321098765432109876543210
8240 xxxxxxxxxxxxx111xxxxx1001x10001x
8241 ldff1w. */
8242 return 1603;
8243 }
8244 else
8245 {
8246 /* 33222222222211111111110000000000
8247 10987654321098765432109876543210
8248 xxxxxxxxxxxxx111xxxxx1011x10001x
8249 ldff1d. */
8250 return 1558;
8251 }
8252 }
8253 else
8254 {
8255 if (((word >> 23) & 0x1) == 0)
8256 {
8257 /* 33222222222211111111110000000000
8258 10987654321098765432109876543210
8259 xxxxxxxxxxxxx111xxxxx1101x10001x
8260 ldff1w. */
8261 return 1601;
8262 }
8263 else
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
8267 xxxxxxxxxxxxx111xxxxx1111x10001x
8268 ldff1d. */
8269 return 1557;
8270 }
8271 }
8272 }
8273 }
8274 }
8275 }
8276 }
8277 }
8278 else
8279 {
8280 if (((word >> 15) & 0x1) == 0)
8281 {
8282 if (((word >> 14) & 0x1) == 0)
8283 {
8284 if (((word >> 13) & 0x1) == 0)
8285 {
8286 if (((word >> 30) & 0x1) == 0)
8287 {
8288 if (((word >> 4) & 0x1) == 0)
8289 {
8290 if (((word >> 21) & 0x1) == 0)
8291 {
8292 /* 33222222222211111111110000000000
8293 10987654321098765432109876543210
8294 xxxx0xxxxxxxx000xxxxx0xx1x10010x
8295 cmpge. */
8296 return 1269;
8297 }
8298 else
8299 {
8300 if (((word >> 11) & 0x1) == 0)
8301 {
8302 if (((word >> 12) & 0x1) == 0)
8303 {
8304 /* 33222222222211111111110000000000
8305 10987654321098765432109876543210
8306 xxxx0xxxxxx00000xxxxx1xx1x10010x
8307 whilelt. */
8308 return 1918;
8309 }
8310 else
8311 {
8312 /* 33222222222211111111110000000000
8313 10987654321098765432109876543210
8314 xxxx0xxxxxx01000xxxxx1xx1x10010x
8315 whilelt. */
8316 return 1919;
8317 }
8318 }
8319 else
8320 {
8321 if (((word >> 12) & 0x1) == 0)
8322 {
8323 /* 33222222222211111111110000000000
8324 10987654321098765432109876543210
8325 xxxx0xxxxxx10000xxxxx1xx1x10010x
8326 whilelo. */
8327 return 1914;
8328 }
8329 else
8330 {
8331 /* 33222222222211111111110000000000
8332 10987654321098765432109876543210
8333 xxxx0xxxxxx11000xxxxx1xx1x10010x
8334 whilelo. */
8335 return 1915;
8336 }
8337 }
8338 }
8339 }
8340 else
8341 {
8342 if (((word >> 21) & 0x1) == 0)
8343 {
8344 /* 33222222222211111111110000000000
8345 10987654321098765432109876543210
8346 xxxx1xxxxxxxx000xxxxx0xx1x10010x
8347 cmpgt. */
8348 return 1272;
8349 }
8350 else
8351 {
8352 if (((word >> 11) & 0x1) == 0)
8353 {
8354 if (((word >> 12) & 0x1) == 0)
8355 {
8356 /* 33222222222211111111110000000000
8357 10987654321098765432109876543210
8358 xxxx1xxxxxx00000xxxxx1xx1x10010x
8359 whilele. */
8360 return 1912;
8361 }
8362 else
8363 {
8364 /* 33222222222211111111110000000000
8365 10987654321098765432109876543210
8366 xxxx1xxxxxx01000xxxxx1xx1x10010x
8367 whilele. */
8368 return 1913;
8369 }
8370 }
8371 else
8372 {
8373 if (((word >> 12) & 0x1) == 0)
8374 {
8375 /* 33222222222211111111110000000000
8376 10987654321098765432109876543210
8377 xxxx1xxxxxx10000xxxxx1xx1x10010x
8378 whilels. */
8379 return 1916;
8380 }
8381 else
8382 {
8383 /* 33222222222211111111110000000000
8384 10987654321098765432109876543210
8385 xxxx1xxxxxx11000xxxxx1xx1x10010x
8386 whilels. */
8387 return 1917;
8388 }
8389 }
8390 }
8391 }
8392 }
8393 else
8394 {
8395 if (((word >> 31) & 0x1) == 0)
8396 {
8397 if (((word >> 21) & 0x1) == 0)
8398 {
8399 if (((word >> 10) & 0x1) == 0)
8400 {
8401 if (((word >> 11) & 0x1) == 0)
8402 {
8403 /* 33222222222211111111110000000000
8404 10987654321098765432109876543210
8405 xxxxxxxxxx00x000xxxxx0xx1x100110
8406 fadd. */
8407 return 1327;
8408 }
8409 else
8410 {
8411 if (((word >> 12) & 0x1) == 0)
8412 {
8413 /* 33222222222211111111110000000000
8414 10987654321098765432109876543210
8415 xxxxxxxxxx010000xxxxx0xx1x100110
8416 fmul. */
8417 return 1378;
8418 }
8419 else
8420 {
8421 /* 33222222222211111111110000000000
8422 10987654321098765432109876543210
8423 xxxxxxxxxx011000xxxxx0xx1x100110
8424 frecps. */
8425 return 1388;
8426 }
8427 }
8428 }
8429 else
8430 {
8431 if (((word >> 11) & 0x1) == 0)
8432 {
8433 /* 33222222222211111111110000000000
8434 10987654321098765432109876543210
8435 xxxxxxxxxx10x000xxxxx0xx1x100110
8436 fsub. */
8437 return 1401;
8438 }
8439 else
8440 {
8441 if (((word >> 12) & 0x1) == 0)
8442 {
8443 /* 33222222222211111111110000000000
8444 10987654321098765432109876543210
8445 xxxxxxxxxx110000xxxxx0xx1x100110
8446 ftsmul. */
8447 return 1407;
8448 }
8449 else
8450 {
8451 /* 33222222222211111111110000000000
8452 10987654321098765432109876543210
8453 xxxxxxxxxx111000xxxxx0xx1x100110
8454 frsqrts. */
8455 return 1398;
8456 }
8457 }
8458 }
8459 }
8460 else
8461 {
8462 /* 33222222222211111111110000000000
8463 10987654321098765432109876543210
8464 xxxxxxxxxxxxx000xxxxx1xx1x100110
8465 fmla. */
8466 return 1375;
8467 }
8468 }
8469 else
8470 {
8471 /* 33222222222211111111110000000000
8472 10987654321098765432109876543210
8473 xxxxxxxxxxxxx000xxxxxxxx1x100111
8474 str. */
8475 return 1839;
8476 }
8477 }
8478 }
8479 else
8480 {
8481 if (((word >> 21) & 0x1) == 0)
8482 {
8483 if (((word >> 30) & 0x1) == 0)
8484 {
8485 if (((word >> 4) & 0x1) == 0)
8486 {
8487 /* 33222222222211111111110000000000
8488 10987654321098765432109876543210
8489 xxxx0xxxxxxxx100xxxxx0xx1x10010x
8490 cmplt. */
8491 return 1286;
8492 }
8493 else
8494 {
8495 /* 33222222222211111111110000000000
8496 10987654321098765432109876543210
8497 xxxx1xxxxxxxx100xxxxx0xx1x10010x
8498 cmple. */
8499 return 1280;
8500 }
8501 }
8502 else
8503 {
8504 if (((word >> 16) & 0x1) == 0)
8505 {
8506 if (((word >> 17) & 0x1) == 0)
8507 {
8508 if (((word >> 18) & 0x1) == 0)
8509 {
8510 if (((word >> 19) & 0x1) == 0)
8511 {
8512 if (((word >> 20) & 0x1) == 0)
8513 {
8514 /* 33222222222211111111110000000000
8515 10987654321098765432109876543210
8516 xxxxxxxxxxxxx100000000xx1x10011x
8517 faddv. */
8518 return 1331;
8519 }
8520 else
8521 {
8522 if (((word >> 4) & 0x1) == 0)
8523 {
8524 /* 33222222222211111111110000000000
8525 10987654321098765432109876543210
8526 xxxx0xxxxxxxx100000010xx1x10011x
8527 fcmge. */
8528 return 1334;
8529 }
8530 else
8531 {
8532 /* 33222222222211111111110000000000
8533 10987654321098765432109876543210
8534 xxxx1xxxxxxxx100000010xx1x10011x
8535 fcmgt. */
8536 return 1336;
8537 }
8538 }
8539 }
8540 else
8541 {
8542 /* 33222222222211111111110000000000
8543 10987654321098765432109876543210
8544 xxxxxxxxxxxxx1000001x0xx1x10011x
8545 fadda. */
8546 return 1330;
8547 }
8548 }
8549 else
8550 {
8551 /* 33222222222211111111110000000000
8552 10987654321098765432109876543210
8553 xxxxxxxxxxxxx100001xx0xx1x10011x
8554 fmaxnmv. */
8555 return 1367;
8556 }
8557 }
8558 else
8559 {
8560 if (((word >> 18) & 0x1) == 0)
8561 {
8562 /* 33222222222211111111110000000000
8563 10987654321098765432109876543210
8564 xxxxxxxxxxxxx100010xx0xx1x10011x
8565 fcmeq. */
8566 return 1332;
8567 }
8568 else
8569 {
8570 if (((word >> 19) & 0x1) == 0)
8571 {
8572 /* 33222222222211111111110000000000
8573 10987654321098765432109876543210
8574 xxxxxxxxxxxxx1000110x0xx1x10011x
8575 fmaxv. */
8576 return 1368;
8577 }
8578 else
8579 {
8580 /* 33222222222211111111110000000000
8581 10987654321098765432109876543210
8582 xxxxxxxxxxxxx1000111x0xx1x10011x
8583 frecpe. */
8584 return 1387;
8585 }
8586 }
8587 }
8588 }
8589 else
8590 {
8591 if (((word >> 17) & 0x1) == 0)
8592 {
8593 if (((word >> 18) & 0x1) == 0)
8594 {
8595 if (((word >> 4) & 0x1) == 0)
8596 {
8597 /* 33222222222211111111110000000000
8598 10987654321098765432109876543210
8599 xxxx0xxxxxxxx100100xx0xx1x10011x
8600 fcmlt. */
8601 return 1339;
8602 }
8603 else
8604 {
8605 /* 33222222222211111111110000000000
8606 10987654321098765432109876543210
8607 xxxx1xxxxxxxx100100xx0xx1x10011x
8608 fcmle. */
8609 return 1338;
8610 }
8611 }
8612 else
8613 {
8614 /* 33222222222211111111110000000000
8615 10987654321098765432109876543210
8616 xxxxxxxxxxxxx100101xx0xx1x10011x
8617 fminnmv. */
8618 return 1373;
8619 }
8620 }
8621 else
8622 {
8623 if (((word >> 18) & 0x1) == 0)
8624 {
8625 /* 33222222222211111111110000000000
8626 10987654321098765432109876543210
8627 xxxxxxxxxxxxx100110xx0xx1x10011x
8628 fcmne. */
8629 return 1340;
8630 }
8631 else
8632 {
8633 if (((word >> 19) & 0x1) == 0)
8634 {
8635 /* 33222222222211111111110000000000
8636 10987654321098765432109876543210
8637 xxxxxxxxxxxxx1001110x0xx1x10011x
8638 fminv. */
8639 return 1374;
8640 }
8641 else
8642 {
8643 /* 33222222222211111111110000000000
8644 10987654321098765432109876543210
8645 xxxxxxxxxxxxx1001111x0xx1x10011x
8646 frsqrte. */
8647 return 1397;
8648 }
8649 }
8650 }
8651 }
8652 }
8653 }
8654 else
8655 {
8656 if (((word >> 30) & 0x1) == 0)
8657 {
8658 if (((word >> 4) & 0x1) == 0)
8659 {
8660 /* 33222222222211111111110000000000
8661 10987654321098765432109876543210
8662 xxxx0xxxxxxxx100xxxxx1xx1x10010x
8663 ctermeq. */
8664 return 1301;
8665 }
8666 else
8667 {
8668 /* 33222222222211111111110000000000
8669 10987654321098765432109876543210
8670 xxxx1xxxxxxxx100xxxxx1xx1x10010x
8671 ctermne. */
8672 return 1302;
8673 }
8674 }
8675 else
8676 {
8677 /* 33222222222211111111110000000000
8678 10987654321098765432109876543210
8679 xxxxxxxxxxxxx100xxxxx1xx1x10011x
8680 fmls. */
8681 return 1376;
8682 }
8683 }
8684 }
8685 }
8686 else
8687 {
8688 if (((word >> 23) & 0x1) == 0)
8689 {
8690 if (((word >> 21) & 0x1) == 0)
8691 {
8692 if (((word >> 22) & 0x1) == 0)
8693 {
8694 if (((word >> 30) & 0x1) == 0)
8695 {
8696 if (((word >> 31) & 0x1) == 0)
8697 {
8698 if (((word >> 9) & 0x1) == 0)
8699 {
8700 if (((word >> 20) & 0x1) == 0)
8701 {
8702 if (((word >> 4) & 0x1) == 0)
8703 {
8704 /* 33222222222211111111110000000000
8705 10987654321098765432109876543210
8706 xxxx0xxxx0xxxx10xxxx00001x100100
8707 and. */
8708 return 1232;
8709 }
8710 else
8711 {
8712 /* 33222222222211111111110000000000
8713 10987654321098765432109876543210
8714 xxxx1xxxx0xxxx10xxxx00001x100100
8715 bic. */
8716 return 1244;
8717 }
8718 }
8719 else
8720 {
8721 if (((word >> 19) & 0x1) == 0)
8722 {
8723 /* 33222222222211111111110000000000
8724 10987654321098765432109876543210
8725 xxxxxxxxx0xxxx10xxx010001x100100
8726 brka. */
8727 return 1246;
8728 }
8729 else
8730 {
8731 /* 33222222222211111111110000000000
8732 10987654321098765432109876543210
8733 xxxxxxxxx0xxxx10xxx110001x100100
8734 brkn. */
8735 return 1250;
8736 }
8737 }
8738 }
8739 else
8740 {
8741 if (((word >> 4) & 0x1) == 0)
8742 {
8743 /* 33222222222211111111110000000000
8744 10987654321098765432109876543210
8745 xxxx0xxxx1xxxx10xxxxx0001x100100
8746 eor. */
8747 return 1319;
8748 }
8749 else
8750 {
8751 /* 33222222222211111111110000000000
8752 10987654321098765432109876543210
8753 xxxx1xxxx1xxxx10xxxxx0001x100100
8754 sel. */
8755 return 1719;
8756 }
8757 }
8758 }
8759 else
8760 {
8761 if (((word >> 13) & 0x1) == 0)
8762 {
8763 /* 33222222222211111111110000000000
8764 10987654321098765432109876543210
8765 xxxxxxxxxxxxx010xxxxx0001x100101
8766 ld1sh. */
8767 return 1491;
8768 }
8769 else
8770 {
8771 /* 33222222222211111111110000000000
8772 10987654321098765432109876543210
8773 xxxxxxxxxxxxx110xxxxx0001x100101
8774 ldff1sh. */
8775 return 1580;
8776 }
8777 }
8778 }
8779 else
8780 {
8781 /* 33222222222211111111110000000000
8782 10987654321098765432109876543210
8783 xxxxxxxxxxxxxx10xxxxx0001x10011x
8784 stnt1w. */
8785 return 1837;
8786 }
8787 }
8788 else
8789 {
8790 if (((word >> 30) & 0x1) == 0)
8791 {
8792 if (((word >> 31) & 0x1) == 0)
8793 {
8794 if (((word >> 4) & 0x1) == 0)
8795 {
8796 if (((word >> 9) & 0x1) == 0)
8797 {
8798 if (((word >> 20) & 0x1) == 0)
8799 {
8800 /* 33222222222211111111110000000000
8801 10987654321098765432109876543210
8802 xxxx0xxxx0xxxx10xxxx00101x100100
8803 ands. */
8804 return 1233;
8805 }
8806 else
8807 {
8808 if (((word >> 19) & 0x1) == 0)
8809 {
8810 /* 33222222222211111111110000000000
8811 10987654321098765432109876543210
8812 xxxx0xxxx0xxxx10xxx010101x100100
8813 brkas. */
8814 return 1247;
8815 }
8816 else
8817 {
8818 /* 33222222222211111111110000000000
8819 10987654321098765432109876543210
8820 xxxx0xxxx0xxxx10xxx110101x100100
8821 brkns. */
8822 return 1251;
8823 }
8824 }
8825 }
8826 else
8827 {
8828 /* 33222222222211111111110000000000
8829 10987654321098765432109876543210
8830 xxxx0xxxx1xxxx10xxxxx0101x100100
8831 eors. */
8832 return 1320;
8833 }
8834 }
8835 else
8836 {
8837 /* 33222222222211111111110000000000
8838 10987654321098765432109876543210
8839 xxxx1xxxxxxxxx10xxxxx0101x100100
8840 bics. */
8841 return 1245;
8842 }
8843 }
8844 else
8845 {
8846 if (((word >> 13) & 0x1) == 0)
8847 {
8848 /* 33222222222211111111110000000000
8849 10987654321098765432109876543210
8850 xxxxxxxxxxxxx010xxxxx0101x100101
8851 ld1w. */
8852 return 1510;
8853 }
8854 else
8855 {
8856 /* 33222222222211111111110000000000
8857 10987654321098765432109876543210
8858 xxxxxxxxxxxxx110xxxxx0101x100101
8859 ldff1w. */
8860 return 1596;
8861 }
8862 }
8863 }
8864 else
8865 {
8866 if (((word >> 13) & 0x1) == 0)
8867 {
8868 /* 33222222222211111111110000000000
8869 10987654321098765432109876543210
8870 xxxxxxxxxxxxx010xxxxx0101x10011x
8871 st1w. */
8872 return 1799;
8873 }
8874 else
8875 {
8876 /* 33222222222211111111110000000000
8877 10987654321098765432109876543210
8878 xxxxxxxxxxxxx110xxxxx0101x10011x
8879 st3w. */
8880 return 1821;
8881 }
8882 }
8883 }
8884 }
8885 else
8886 {
8887 if (((word >> 13) & 0x1) == 0)
8888 {
8889 if (((word >> 22) & 0x1) == 0)
8890 {
8891 /* 33222222222211111111110000000000
8892 10987654321098765432109876543210
8893 xxxxxxxxxxxxx010xxxxx1001x1001xx
8894 ld1sh. */
8895 return 1492;
8896 }
8897 else
8898 {
8899 if (((word >> 30) & 0x1) == 0)
8900 {
8901 /* 33222222222211111111110000000000
8902 10987654321098765432109876543210
8903 xxxxxxxxxxxxx010xxxxx1101x10010x
8904 ld1w. */
8905 return 1511;
8906 }
8907 else
8908 {
8909 /* 33222222222211111111110000000000
8910 10987654321098765432109876543210
8911 xxxxxxxxxxxxx010xxxxx1101x10011x
8912 st1w. */
8913 return 1801;
8914 }
8915 }
8916 }
8917 else
8918 {
8919 if (((word >> 22) & 0x1) == 0)
8920 {
8921 if (((word >> 30) & 0x1) == 0)
8922 {
8923 /* 33222222222211111111110000000000
8924 10987654321098765432109876543210
8925 xxxxxxxxxxxxx110xxxxx1001x10010x
8926 ldff1sh. */
8927 return 1581;
8928 }
8929 else
8930 {
8931 /* 33222222222211111111110000000000
8932 10987654321098765432109876543210
8933 xxxxxxxxxxxxx110xxxxx1001x10011x
8934 st2w. */
8935 return 1813;
8936 }
8937 }
8938 else
8939 {
8940 if (((word >> 30) & 0x1) == 0)
8941 {
8942 /* 33222222222211111111110000000000
8943 10987654321098765432109876543210
8944 xxxxxxxxxxxxx110xxxxx1101x10010x
8945 ldff1w. */
8946 return 1597;
8947 }
8948 else
8949 {
8950 /* 33222222222211111111110000000000
8951 10987654321098765432109876543210
8952 xxxxxxxxxxxxx110xxxxx1101x10011x
8953 st4w. */
8954 return 1829;
8955 }
8956 }
8957 }
8958 }
8959 }
8960 else
8961 {
8962 if (((word >> 30) & 0x1) == 0)
8963 {
8964 if (((word >> 21) & 0x1) == 0)
8965 {
8966 if (((word >> 22) & 0x1) == 0)
8967 {
8968 if (((word >> 31) & 0x1) == 0)
8969 {
8970 if (((word >> 9) & 0x1) == 0)
8971 {
8972 if (((word >> 20) & 0x1) == 0)
8973 {
8974 if (((word >> 4) & 0x1) == 0)
8975 {
8976 /* 33222222222211111111110000000000
8977 10987654321098765432109876543210
8978 xxxx0xxxx0xxxx10xxxx00011x100100
8979 orr. */
8980 return 1661;
8981 }
8982 else
8983 {
8984 /* 33222222222211111111110000000000
8985 10987654321098765432109876543210
8986 xxxx1xxxx0xxxx10xxxx00011x100100
8987 orn. */
8988 return 1656;
8989 }
8990 }
8991 else
8992 {
8993 /* 33222222222211111111110000000000
8994 10987654321098765432109876543210
8995 xxxxxxxxx0xxxx10xxxx10011x100100
8996 brkb. */
8997 return 1248;
8998 }
8999 }
9000 else
9001 {
9002 if (((word >> 4) & 0x1) == 0)
9003 {
9004 /* 33222222222211111111110000000000
9005 10987654321098765432109876543210
9006 xxxx0xxxx1xxxx10xxxxx0011x100100
9007 nor. */
9008 return 1653;
9009 }
9010 else
9011 {
9012 /* 33222222222211111111110000000000
9013 10987654321098765432109876543210
9014 xxxx1xxxx1xxxx10xxxxx0011x100100
9015 nand. */
9016 return 1650;
9017 }
9018 }
9019 }
9020 else
9021 {
9022 if (((word >> 13) & 0x1) == 0)
9023 {
9024 /* 33222222222211111111110000000000
9025 10987654321098765432109876543210
9026 xxxxxxxxxxxxx010xxxxx0011x100101
9027 ld1sb. */
9028 return 1479;
9029 }
9030 else
9031 {
9032 /* 33222222222211111111110000000000
9033 10987654321098765432109876543210
9034 xxxxxxxxxxxxx110xxxxx0011x100101
9035 ldff1sb. */
9036 return 1571;
9037 }
9038 }
9039 }
9040 else
9041 {
9042 if (((word >> 31) & 0x1) == 0)
9043 {
9044 if (((word >> 4) & 0x1) == 0)
9045 {
9046 if (((word >> 9) & 0x1) == 0)
9047 {
9048 if (((word >> 20) & 0x1) == 0)
9049 {
9050 /* 33222222222211111111110000000000
9051 10987654321098765432109876543210
9052 xxxx0xxxx0xxxx10xxxx00111x100100
9053 orrs. */
9054 return 1662;
9055 }
9056 else
9057 {
9058 /* 33222222222211111111110000000000
9059 10987654321098765432109876543210
9060 xxxx0xxxx0xxxx10xxxx10111x100100
9061 brkbs. */
9062 return 1249;
9063 }
9064 }
9065 else
9066 {
9067 /* 33222222222211111111110000000000
9068 10987654321098765432109876543210
9069 xxxx0xxxx1xxxx10xxxxx0111x100100
9070 nors. */
9071 return 1654;
9072 }
9073 }
9074 else
9075 {
9076 if (((word >> 9) & 0x1) == 0)
9077 {
9078 /* 33222222222211111111110000000000
9079 10987654321098765432109876543210
9080 xxxx1xxxx0xxxx10xxxxx0111x100100
9081 orns. */
9082 return 1657;
9083 }
9084 else
9085 {
9086 /* 33222222222211111111110000000000
9087 10987654321098765432109876543210
9088 xxxx1xxxx1xxxx10xxxxx0111x100100
9089 nands. */
9090 return 1651;
9091 }
9092 }
9093 }
9094 else
9095 {
9096 if (((word >> 13) & 0x1) == 0)
9097 {
9098 /* 33222222222211111111110000000000
9099 10987654321098765432109876543210
9100 xxxxxxxxxxxxx010xxxxx0111x100101
9101 ld1sb. */
9102 return 1481;
9103 }
9104 else
9105 {
9106 /* 33222222222211111111110000000000
9107 10987654321098765432109876543210
9108 xxxxxxxxxxxxx110xxxxx0111x100101
9109 ldff1sb. */
9110 return 1573;
9111 }
9112 }
9113 }
9114 }
9115 else
9116 {
9117 if (((word >> 13) & 0x1) == 0)
9118 {
9119 if (((word >> 22) & 0x1) == 0)
9120 {
9121 /* 33222222222211111111110000000000
9122 10987654321098765432109876543210
9123 xxxxxxxxxxxxx010xxxxx1011x10010x
9124 ld1sb. */
9125 return 1480;
9126 }
9127 else
9128 {
9129 /* 33222222222211111111110000000000
9130 10987654321098765432109876543210
9131 xxxxxxxxxxxxx010xxxxx1111x10010x
9132 ld1d. */
9133 return 1441;
9134 }
9135 }
9136 else
9137 {
9138 if (((word >> 22) & 0x1) == 0)
9139 {
9140 /* 33222222222211111111110000000000
9141 10987654321098765432109876543210
9142 xxxxxxxxxxxxx110xxxxx1011x10010x
9143 ldff1sb. */
9144 return 1572;
9145 }
9146 else
9147 {
9148 /* 33222222222211111111110000000000
9149 10987654321098765432109876543210
9150 xxxxxxxxxxxxx110xxxxx1111x10010x
9151 ldff1d. */
9152 return 1553;
9153 }
9154 }
9155 }
9156 }
9157 else
9158 {
9159 if (((word >> 13) & 0x1) == 0)
9160 {
9161 if (((word >> 31) & 0x1) == 0)
9162 {
9163 if (((word >> 21) & 0x1) == 0)
9164 {
9165 if (((word >> 4) & 0x1) == 0)
9166 {
9167 /* 33222222222211111111110000000000
9168 10987654321098765432109876543210
9169 xxxx0xxxxxxxx010xxxxx0x11x100110
9170 fcmge. */
9171 return 1335;
9172 }
9173 else
9174 {
9175 /* 33222222222211111111110000000000
9176 10987654321098765432109876543210
9177 xxxx1xxxxxxxx010xxxxx0x11x100110
9178 fcmgt. */
9179 return 1337;
9180 }
9181 }
9182 else
9183 {
9184 /* 33222222222211111111110000000000
9185 10987654321098765432109876543210
9186 xxxxxxxxxxxxx010xxxxx1x11x100110
9187 fnmla. */
9188 return 1384;
9189 }
9190 }
9191 else
9192 {
9193 if (((word >> 22) & 0x1) == 0)
9194 {
9195 /* 33222222222211111111110000000000
9196 10987654321098765432109876543210
9197 xxxxxxxxxxxxx010xxxxxx011x100111
9198 str. */
9199 return 1840;
9200 }
9201 else
9202 {
9203 /* 33222222222211111111110000000000
9204 10987654321098765432109876543210
9205 xxxxxxxxxxxxx010xxxxxx111x100111
9206 st1d. */
9207 return 1778;
9208 }
9209 }
9210 }
9211 else
9212 {
9213 if (((word >> 21) & 0x1) == 0)
9214 {
9215 if (((word >> 31) & 0x1) == 0)
9216 {
9217 if (((word >> 4) & 0x1) == 0)
9218 {
9219 /* 33222222222211111111110000000000
9220 10987654321098765432109876543210
9221 xxxx0xxxxxxxx110xxxxx0x11x100110
9222 fcmeq. */
9223 return 1333;
9224 }
9225 else
9226 {
9227 /* 33222222222211111111110000000000
9228 10987654321098765432109876543210
9229 xxxx1xxxxxxxx110xxxxx0x11x100110
9230 fcmne. */
9231 return 1341;
9232 }
9233 }
9234 else
9235 {
9236 if (((word >> 22) & 0x1) == 0)
9237 {
9238 /* 33222222222211111111110000000000
9239 10987654321098765432109876543210
9240 xxxxxxxxxxxxx110xxxxx0011x100111
9241 stnt1d. */
9242 return 1833;
9243 }
9244 else
9245 {
9246 /* 33222222222211111111110000000000
9247 10987654321098765432109876543210
9248 xxxxxxxxxxxxx110xxxxx0111x100111
9249 st3d. */
9250 return 1817;
9251 }
9252 }
9253 }
9254 else
9255 {
9256 if (((word >> 31) & 0x1) == 0)
9257 {
9258 /* 33222222222211111111110000000000
9259 10987654321098765432109876543210
9260 xxxxxxxxxxxxx110xxxxx1x11x100110
9261 fnmls. */
9262 return 1385;
9263 }
9264 else
9265 {
9266 if (((word >> 22) & 0x1) == 0)
9267 {
9268 /* 33222222222211111111110000000000
9269 10987654321098765432109876543210
9270 xxxxxxxxxxxxx110xxxxx1011x100111
9271 st2d. */
9272 return 1809;
9273 }
9274 else
9275 {
9276 /* 33222222222211111111110000000000
9277 10987654321098765432109876543210
9278 xxxxxxxxxxxxx110xxxxx1111x100111
9279 st4d. */
9280 return 1825;
9281 }
9282 }
9283 }
9284 }
9285 }
9286 }
9287 }
9288 }
9289 else
9290 {
9291 if (((word >> 21) & 0x1) == 0)
9292 {
9293 if (((word >> 30) & 0x1) == 0)
9294 {
9295 if (((word >> 14) & 0x1) == 0)
9296 {
9297 if (((word >> 13) & 0x1) == 0)
9298 {
9299 if (((word >> 4) & 0x1) == 0)
9300 {
9301 /* 33222222222211111111110000000000
9302 10987654321098765432109876543210
9303 xxxx0xxxxxxxx001xxxxx0xx1x10010x
9304 cmpeq. */
9305 return 1266;
9306 }
9307 else
9308 {
9309 /* 33222222222211111111110000000000
9310 10987654321098765432109876543210
9311 xxxx1xxxxxxxx001xxxxx0xx1x10010x
9312 cmpne. */
9313 return 1289;
9314 }
9315 }
9316 else
9317 {
9318 if (((word >> 20) & 0x1) == 0)
9319 {
9320 if (((word >> 22) & 0x1) == 0)
9321 {
9322 if (((word >> 23) & 0x1) == 0)
9323 {
9324 /* 33222222222211111111110000000000
9325 10987654321098765432109876543210
9326 xxxxxxxxxxxxx101xxxx00001x10010x
9327 ld1sh. */
9328 return 1498;
9329 }
9330 else
9331 {
9332 /* 33222222222211111111110000000000
9333 10987654321098765432109876543210
9334 xxxxxxxxxxxxx101xxxx00011x10010x
9335 ld1sb. */
9336 return 1485;
9337 }
9338 }
9339 else
9340 {
9341 if (((word >> 23) & 0x1) == 0)
9342 {
9343 /* 33222222222211111111110000000000
9344 10987654321098765432109876543210
9345 xxxxxxxxxxxxx101xxxx00101x10010x
9346 ld1w. */
9347 return 1517;
9348 }
9349 else
9350 {
9351 /* 33222222222211111111110000000000
9352 10987654321098765432109876543210
9353 xxxxxxxxxxxxx101xxxx00111x10010x
9354 ld1sb. */
9355 return 1487;
9356 }
9357 }
9358 }
9359 else
9360 {
9361 if (((word >> 22) & 0x1) == 0)
9362 {
9363 if (((word >> 23) & 0x1) == 0)
9364 {
9365 /* 33222222222211111111110000000000
9366 10987654321098765432109876543210
9367 xxxxxxxxxxxxx101xxxx10001x10010x
9368 ldnf1sh. */
9369 return 1615;
9370 }
9371 else
9372 {
9373 /* 33222222222211111111110000000000
9374 10987654321098765432109876543210
9375 xxxxxxxxxxxxx101xxxx10011x10010x
9376 ldnf1sb. */
9377 return 1612;
9378 }
9379 }
9380 else
9381 {
9382 if (((word >> 23) & 0x1) == 0)
9383 {
9384 /* 33222222222211111111110000000000
9385 10987654321098765432109876543210
9386 xxxxxxxxxxxxx101xxxx10101x10010x
9387 ldnf1w. */
9388 return 1618;
9389 }
9390 else
9391 {
9392 /* 33222222222211111111110000000000
9393 10987654321098765432109876543210
9394 xxxxxxxxxxxxx101xxxx10111x10010x
9395 ldnf1sb. */
9396 return 1614;
9397 }
9398 }
9399 }
9400 }
9401 }
9402 else
9403 {
9404 if (((word >> 31) & 0x1) == 0)
9405 {
9406 if (((word >> 4) & 0x1) == 0)
9407 {
9408 if (((word >> 20) & 0x1) == 0)
9409 {
9410 if (((word >> 22) & 0x1) == 0)
9411 {
9412 /* 33222222222211111111110000000000
9413 10987654321098765432109876543210
9414 xxxx0xxxxxxxxx11xxxx000x1x100100
9415 brkpa. */
9416 return 1252;
9417 }
9418 else
9419 {
9420 /* 33222222222211111111110000000000
9421 10987654321098765432109876543210
9422 xxxx0xxxxxxxxx11xxxx001x1x100100
9423 brkpas. */
9424 return 1253;
9425 }
9426 }
9427 else
9428 {
9429 if (((word >> 16) & 0x1) == 0)
9430 {
9431 if (((word >> 19) & 0x1) == 0)
9432 {
9433 /* 33222222222211111111110000000000
9434 10987654321098765432109876543210
9435 xxxx0xxxxxxxxx110xx010xx1x100100
9436 ptest. */
9437 return 1695;
9438 }
9439 else
9440 {
9441 if (((word >> 10) & 0x1) == 0)
9442 {
9443 if (((word >> 12) & 0x1) == 0)
9444 {
9445 if (((word >> 13) & 0x1) == 0)
9446 {
9447 /* 33222222222211111111110000000000
9448 10987654321098765432109876543210
9449 xxxx0xxxxx0x00110xx110xx1x100100
9450 pfirst. */
9451 return 1665;
9452 }
9453 else
9454 {
9455 /* 33222222222211111111110000000000
9456 10987654321098765432109876543210
9457 xxxx0xxxxx0x01110xx110xx1x100100
9458 ptrue. */
9459 return 1696;
9460 }
9461 }
9462 else
9463 {
9464 if (((word >> 22) & 0x1) == 0)
9465 {
9466 /* 33222222222211111111110000000000
9467 10987654321098765432109876543210
9468 xxxx0xxxxx0x1x110xx1100x1x100100
9469 rdffr. */
9470 return 1702;
9471 }
9472 else
9473 {
9474 /* 33222222222211111111110000000000
9475 10987654321098765432109876543210
9476 xxxx0xxxxx0x1x110xx1101x1x100100
9477 rdffrs. */
9478 return 1703;
9479 }
9480 }
9481 }
9482 else
9483 {
9484 /* 33222222222211111111110000000000
9485 10987654321098765432109876543210
9486 xxxx0xxxxx1xxx110xx110xx1x100100
9487 pfalse. */
9488 return 1664;
9489 }
9490 }
9491 }
9492 else
9493 {
9494 if (((word >> 10) & 0x1) == 0)
9495 {
9496 if (((word >> 12) & 0x1) == 0)
9497 {
9498 /* 33222222222211111111110000000000
9499 10987654321098765432109876543210
9500 xxxx0xxxxx0x0x111xxx10xx1x100100
9501 ptrues. */
9502 return 1697;
9503 }
9504 else
9505 {
9506 /* 33222222222211111111110000000000
9507 10987654321098765432109876543210
9508 xxxx0xxxxx0x1x111xxx10xx1x100100
9509 rdffr. */
9510 return 1701;
9511 }
9512 }
9513 else
9514 {
9515 /* 33222222222211111111110000000000
9516 10987654321098765432109876543210
9517 xxxx0xxxxx1xxx111xxx10xx1x100100
9518 pnext. */
9519 return 1666;
9520 }
9521 }
9522 }
9523 }
9524 else
9525 {
9526 if (((word >> 22) & 0x1) == 0)
9527 {
9528 /* 33222222222211111111110000000000
9529 10987654321098765432109876543210
9530 xxxx1xxxxxxxxx11xxxxx00x1x100100
9531 brkpb. */
9532 return 1254;
9533 }
9534 else
9535 {
9536 /* 33222222222211111111110000000000
9537 10987654321098765432109876543210
9538 xxxx1xxxxxxxxx11xxxxx01x1x100100
9539 brkpbs. */
9540 return 1255;
9541 }
9542 }
9543 }
9544 else
9545 {
9546 if (((word >> 13) & 0x1) == 0)
9547 {
9548 if (((word >> 22) & 0x1) == 0)
9549 {
9550 if (((word >> 23) & 0x1) == 0)
9551 {
9552 /* 33222222222211111111110000000000
9553 10987654321098765432109876543210
9554 xxxxxxxxxxxxx011xxxxx0001x100101
9555 ldnt1w. */
9556 return 1626;
9557 }
9558 else
9559 {
9560 /* 33222222222211111111110000000000
9561 10987654321098765432109876543210
9562 xxxxxxxxxxxxx011xxxxx0011x100101
9563 ldnt1d. */
9564 return 1622;
9565 }
9566 }
9567 else
9568 {
9569 if (((word >> 23) & 0x1) == 0)
9570 {
9571 /* 33222222222211111111110000000000
9572 10987654321098765432109876543210
9573 xxxxxxxxxxxxx011xxxxx0101x100101
9574 ld3w. */
9575 return 1534;
9576 }
9577 else
9578 {
9579 /* 33222222222211111111110000000000
9580 10987654321098765432109876543210
9581 xxxxxxxxxxxxx011xxxxx0111x100101
9582 ld3d. */
9583 return 1530;
9584 }
9585 }
9586 }
9587 else
9588 {
9589 if (((word >> 22) & 0x1) == 0)
9590 {
9591 if (((word >> 23) & 0x1) == 0)
9592 {
9593 /* 33222222222211111111110000000000
9594 10987654321098765432109876543210
9595 xxxxxxxxxxxxx111xxxxx0001x100101
9596 ldnt1w. */
9597 return 1627;
9598 }
9599 else
9600 {
9601 /* 33222222222211111111110000000000
9602 10987654321098765432109876543210
9603 xxxxxxxxxxxxx111xxxxx0011x100101
9604 ldnt1d. */
9605 return 1623;
9606 }
9607 }
9608 else
9609 {
9610 if (((word >> 23) & 0x1) == 0)
9611 {
9612 /* 33222222222211111111110000000000
9613 10987654321098765432109876543210
9614 xxxxxxxxxxxxx111xxxxx0101x100101
9615 ld3w. */
9616 return 1535;
9617 }
9618 else
9619 {
9620 /* 33222222222211111111110000000000
9621 10987654321098765432109876543210
9622 xxxxxxxxxxxxx111xxxxx0111x100101
9623 ld3d. */
9624 return 1531;
9625 }
9626 }
9627 }
9628 }
9629 }
9630 }
9631 else
9632 {
9633 if (((word >> 13) & 0x1) == 0)
9634 {
9635 if (((word >> 23) & 0x1) == 0)
9636 {
9637 if (((word >> 22) & 0x1) == 0)
9638 {
9639 /* 33222222222211111111110000000000
9640 10987654321098765432109876543210
9641 xxxxxxxxxxxxx0x1xxxxx0001x10011x
9642 st1w. */
9643 return 1795;
9644 }
9645 else
9646 {
9647 /* 33222222222211111111110000000000
9648 10987654321098765432109876543210
9649 xxxxxxxxxxxxx0x1xxxxx0101x10011x
9650 st1w. */
9651 return 1800;
9652 }
9653 }
9654 else
9655 {
9656 if (((word >> 31) & 0x1) == 0)
9657 {
9658 if (((word >> 14) & 0x1) == 0)
9659 {
9660 if (((word >> 19) & 0x1) == 0)
9661 {
9662 if (((word >> 20) & 0x1) == 0)
9663 {
9664 if (((word >> 16) & 0x1) == 0)
9665 {
9666 if (((word >> 17) & 0x1) == 0)
9667 {
9668 if (((word >> 18) & 0x1) == 0)
9669 {
9670 /* 33222222222211111111110000000000
9671 10987654321098765432109876543210
9672 xxxxxxxxxxxxx001000000x11x100110
9673 fadd. */
9674 return 1328;
9675 }
9676 else
9677 {
9678 /* 33222222222211111111110000000000
9679 10987654321098765432109876543210
9680 xxxxxxxxxxxxx001001000x11x100110
9681 fmaxnm. */
9682 return 1365;
9683 }
9684 }
9685 else
9686 {
9687 if (((word >> 18) & 0x1) == 0)
9688 {
9689 /* 33222222222211111111110000000000
9690 10987654321098765432109876543210
9691 xxxxxxxxxxxxx001010000x11x100110
9692 fmul. */
9693 return 1379;
9694 }
9695 else
9696 {
9697 /* 33222222222211111111110000000000
9698 10987654321098765432109876543210
9699 xxxxxxxxxxxxx001011000x11x100110
9700 fmax. */
9701 return 1363;
9702 }
9703 }
9704 }
9705 else
9706 {
9707 if (((word >> 17) & 0x1) == 0)
9708 {
9709 if (((word >> 18) & 0x1) == 0)
9710 {
9711 /* 33222222222211111111110000000000
9712 10987654321098765432109876543210
9713 xxxxxxxxxxxxx001100000x11x100110
9714 fsub. */
9715 return 1402;
9716 }
9717 else
9718 {
9719 /* 33222222222211111111110000000000
9720 10987654321098765432109876543210
9721 xxxxxxxxxxxxx001101000x11x100110
9722 fminnm. */
9723 return 1371;
9724 }
9725 }
9726 else
9727 {
9728 if (((word >> 18) & 0x1) == 0)
9729 {
9730 /* 33222222222211111111110000000000
9731 10987654321098765432109876543210
9732 xxxxxxxxxxxxx001110000x11x100110
9733 fsubr. */
9734 return 1404;
9735 }
9736 else
9737 {
9738 /* 33222222222211111111110000000000
9739 10987654321098765432109876543210
9740 xxxxxxxxxxxxx001111000x11x100110
9741 fmin. */
9742 return 1369;
9743 }
9744 }
9745 }
9746 }
9747 else
9748 {
9749 /* 33222222222211111111110000000000
9750 10987654321098765432109876543210
9751 xxxxxxxxxxxxx001xxx010x11x100110
9752 ftmad. */
9753 return 1406;
9754 }
9755 }
9756 else
9757 {
9758 if (((word >> 16) & 0x1) == 0)
9759 {
9760 if (((word >> 17) & 0x1) == 0)
9761 {
9762 if (((word >> 18) & 0x1) == 0)
9763 {
9764 if (((word >> 20) & 0x1) == 0)
9765 {
9766 /* 33222222222211111111110000000000
9767 10987654321098765432109876543210
9768 xxxxxxxxxxxxx001000100x11x100110
9769 fabd. */
9770 return 1323;
9771 }
9772 else
9773 {
9774 /* 33222222222211111111110000000000
9775 10987654321098765432109876543210
9776 xxxxxxxxxxxxx001000110x11x100110
9777 fadd. */
9778 return 1329;
9779 }
9780 }
9781 else
9782 {
9783 if (((word >> 20) & 0x1) == 0)
9784 {
9785 /* 33222222222211111111110000000000
9786 10987654321098765432109876543210
9787 xxxxxxxxxxxxx001001100x11x100110
9788 fdivr. */
9789 return 1359;
9790 }
9791 else
9792 {
9793 /* 33222222222211111111110000000000
9794 10987654321098765432109876543210
9795 xxxxxxxxxxxxx001001110x11x100110
9796 fmaxnm. */
9797 return 1366;
9798 }
9799 }
9800 }
9801 else
9802 {
9803 if (((word >> 18) & 0x1) == 0)
9804 {
9805 if (((word >> 20) & 0x1) == 0)
9806 {
9807 /* 33222222222211111111110000000000
9808 10987654321098765432109876543210
9809 xxxxxxxxxxxxx001010100x11x100110
9810 fmulx. */
9811 return 1381;
9812 }
9813 else
9814 {
9815 /* 33222222222211111111110000000000
9816 10987654321098765432109876543210
9817 xxxxxxxxxxxxx001010110x11x100110
9818 fmul. */
9819 return 1380;
9820 }
9821 }
9822 else
9823 {
9824 /* 33222222222211111111110000000000
9825 10987654321098765432109876543210
9826 xxxxxxxxxxxxx0010111x0x11x100110
9827 fmax. */
9828 return 1364;
9829 }
9830 }
9831 }
9832 else
9833 {
9834 if (((word >> 17) & 0x1) == 0)
9835 {
9836 if (((word >> 18) & 0x1) == 0)
9837 {
9838 if (((word >> 20) & 0x1) == 0)
9839 {
9840 /* 33222222222211111111110000000000
9841 10987654321098765432109876543210
9842 xxxxxxxxxxxxx001100100x11x100110
9843 fscale. */
9844 return 1399;
9845 }
9846 else
9847 {
9848 /* 33222222222211111111110000000000
9849 10987654321098765432109876543210
9850 xxxxxxxxxxxxx001100110x11x100110
9851 fsub. */
9852 return 1403;
9853 }
9854 }
9855 else
9856 {
9857 if (((word >> 20) & 0x1) == 0)
9858 {
9859 /* 33222222222211111111110000000000
9860 10987654321098765432109876543210
9861 xxxxxxxxxxxxx001101100x11x100110
9862 fdiv. */
9863 return 1358;
9864 }
9865 else
9866 {
9867 /* 33222222222211111111110000000000
9868 10987654321098765432109876543210
9869 xxxxxxxxxxxxx001101110x11x100110
9870 fminnm. */
9871 return 1372;
9872 }
9873 }
9874 }
9875 else
9876 {
9877 if (((word >> 18) & 0x1) == 0)
9878 {
9879 /* 33222222222211111111110000000000
9880 10987654321098765432109876543210
9881 xxxxxxxxxxxxx0011101x0x11x100110
9882 fsubr. */
9883 return 1405;
9884 }
9885 else
9886 {
9887 /* 33222222222211111111110000000000
9888 10987654321098765432109876543210
9889 xxxxxxxxxxxxx0011111x0x11x100110
9890 fmin. */
9891 return 1370;
9892 }
9893 }
9894 }
9895 }
9896 }
9897 else
9898 {
9899 if (((word >> 4) & 0x1) == 0)
9900 {
9901 /* 33222222222211111111110000000000
9902 10987654321098765432109876543210
9903 xxxx0xxxxxxxx011xxxxx0x11x100110
9904 fcmuo. */
9905 return 1342;
9906 }
9907 else
9908 {
9909 /* 33222222222211111111110000000000
9910 10987654321098765432109876543210
9911 xxxx1xxxxxxxx011xxxxx0x11x100110
9912 facge. */
9913 return 1325;
9914 }
9915 }
9916 }
9917 else
9918 {
9919 /* 33222222222211111111110000000000
9920 10987654321098765432109876543210
9921 xxxxxxxxxxxxx0x1xxxxx0x11x100111
9922 st1d. */
9923 return 1774;
9924 }
9925 }
9926 }
9927 else
9928 {
9929 if (((word >> 14) & 0x1) == 0)
9930 {
9931 if (((word >> 23) & 0x1) == 0)
9932 {
9933 if (((word >> 22) & 0x1) == 0)
9934 {
9935 /* 33222222222211111111110000000000
9936 10987654321098765432109876543210
9937 xxxxxxxxxxxxx101xxxxx0001x10011x
9938 st1w. */
9939 return 1796;
9940 }
9941 else
9942 {
9943 /* 33222222222211111111110000000000
9944 10987654321098765432109876543210
9945 xxxxxxxxxxxxx101xxxxx0101x10011x
9946 st1w. */
9947 return 1803;
9948 }
9949 }
9950 else
9951 {
9952 if (((word >> 31) & 0x1) == 0)
9953 {
9954 if (((word >> 16) & 0x1) == 0)
9955 {
9956 if (((word >> 17) & 0x1) == 0)
9957 {
9958 if (((word >> 18) & 0x1) == 0)
9959 {
9960 if (((word >> 19) & 0x1) == 0)
9961 {
9962 if (((word >> 20) & 0x1) == 0)
9963 {
9964 /* 33222222222211111111110000000000
9965 10987654321098765432109876543210
9966 xxxxxxxxxxxxx101000000x11x100110
9967 frintn. */
9968 return 1393;
9969 }
9970 else
9971 {
9972 /* 33222222222211111111110000000000
9973 10987654321098765432109876543210
9974 xxxxxxxxxxxxx101000010x11x100110
9975 scvtf. */
9976 return 1713;
9977 }
9978 }
9979 else
9980 {
9981 if (((word >> 20) & 0x1) == 0)
9982 {
9983 if (((word >> 22) & 0x1) == 0)
9984 {
9985 /* 33222222222211111111110000000000
9986 10987654321098765432109876543210
9987 xxxxxxxxxxxxx101000100011x100110
9988 fcvt. */
9989 return 1344;
9990 }
9991 else
9992 {
9993 /* 33222222222211111111110000000000
9994 10987654321098765432109876543210
9995 xxxxxxxxxxxxx101000100111x100110
9996 fcvt. */
9997 return 1346;
9998 }
9999 }
10000 else
10001 {
10002 /* 33222222222211111111110000000000
10003 10987654321098765432109876543210
10004 xxxxxxxxxxxxx101000110x11x100110
10005 fcvtzs. */
10006 return 1351;
10007 }
10008 }
10009 }
10010 else
10011 {
10012 if (((word >> 19) & 0x1) == 0)
10013 {
10014 if (((word >> 20) & 0x1) == 0)
10015 {
10016 /* 33222222222211111111110000000000
10017 10987654321098765432109876543210
10018 xxxxxxxxxxxxx101001000x11x100110
10019 frinta. */
10020 return 1390;
10021 }
10022 else
10023 {
10024 if (((word >> 22) & 0x1) == 0)
10025 {
10026 /* 33222222222211111111110000000000
10027 10987654321098765432109876543210
10028 xxxxxxxxxxxxx101001010011x100110
10029 scvtf. */
10030 return 1712;
10031 }
10032 else
10033 {
10034 /* 33222222222211111111110000000000
10035 10987654321098765432109876543210
10036 xxxxxxxxxxxxx101001010111x100110
10037 scvtf. */
10038 return 1714;
10039 }
10040 }
10041 }
10042 else
10043 {
10044 if (((word >> 20) & 0x1) == 0)
10045 {
10046 /* 33222222222211111111110000000000
10047 10987654321098765432109876543210
10048 xxxxxxxxxxxxx101001100x11x100110
10049 frecpx. */
10050 return 1389;
10051 }
10052 else
10053 {
10054 if (((word >> 22) & 0x1) == 0)
10055 {
10056 /* 33222222222211111111110000000000
10057 10987654321098765432109876543210
10058 xxxxxxxxxxxxx101001110011x100110
10059 fcvtzs. */
10060 return 1350;
10061 }
10062 else
10063 {
10064 /* 33222222222211111111110000000000
10065 10987654321098765432109876543210
10066 xxxxxxxxxxxxx101001110111x100110
10067 fcvtzs. */
10068 return 1352;
10069 }
10070 }
10071 }
10072 }
10073 }
10074 else
10075 {
10076 if (((word >> 18) & 0x1) == 0)
10077 {
10078 if (((word >> 19) & 0x1) == 0)
10079 {
10080 /* 33222222222211111111110000000000
10081 10987654321098765432109876543210
10082 xxxxxxxxxxxxx1010100x0x11x100110
10083 frintm. */
10084 return 1392;
10085 }
10086 else
10087 {
10088 /* 33222222222211111111110000000000
10089 10987654321098765432109876543210
10090 xxxxxxxxxxxxx1010101x0x11x100110
10091 fcvt. */
10092 return 1348;
10093 }
10094 }
10095 else
10096 {
10097 if (((word >> 19) & 0x1) == 0)
10098 {
10099 if (((word >> 20) & 0x1) == 0)
10100 {
10101 /* 33222222222211111111110000000000
10102 10987654321098765432109876543210
10103 xxxxxxxxxxxxx101011000x11x100110
10104 frintx. */
10105 return 1395;
10106 }
10107 else
10108 {
10109 /* 33222222222211111111110000000000
10110 10987654321098765432109876543210
10111 xxxxxxxxxxxxx101011010x11x100110
10112 scvtf. */
10113 return 1715;
10114 }
10115 }
10116 else
10117 {
10118 /* 33222222222211111111110000000000
10119 10987654321098765432109876543210
10120 xxxxxxxxxxxxx1010111x0x11x100110
10121 fcvtzs. */
10122 return 1353;
10123 }
10124 }
10125 }
10126 }
10127 else
10128 {
10129 if (((word >> 17) & 0x1) == 0)
10130 {
10131 if (((word >> 18) & 0x1) == 0)
10132 {
10133 if (((word >> 19) & 0x1) == 0)
10134 {
10135 if (((word >> 20) & 0x1) == 0)
10136 {
10137 /* 33222222222211111111110000000000
10138 10987654321098765432109876543210
10139 xxxxxxxxxxxxx101100000x11x100110
10140 frintp. */
10141 return 1394;
10142 }
10143 else
10144 {
10145 /* 33222222222211111111110000000000
10146 10987654321098765432109876543210
10147 xxxxxxxxxxxxx101100010x11x100110
10148 ucvtf. */
10149 return 1859;
10150 }
10151 }
10152 else
10153 {
10154 if (((word >> 20) & 0x1) == 0)
10155 {
10156 if (((word >> 22) & 0x1) == 0)
10157 {
10158 /* 33222222222211111111110000000000
10159 10987654321098765432109876543210
10160 xxxxxxxxxxxxx101100100011x100110
10161 fcvt. */
10162 return 1345;
10163 }
10164 else
10165 {
10166 /* 33222222222211111111110000000000
10167 10987654321098765432109876543210
10168 xxxxxxxxxxxxx101100100111x100110
10169 fcvt. */
10170 return 1347;
10171 }
10172 }
10173 else
10174 {
10175 /* 33222222222211111111110000000000
10176 10987654321098765432109876543210
10177 xxxxxxxxxxxxx101100110x11x100110
10178 fcvtzu. */
10179 return 1355;
10180 }
10181 }
10182 }
10183 else
10184 {
10185 if (((word >> 19) & 0x1) == 0)
10186 {
10187 if (((word >> 22) & 0x1) == 0)
10188 {
10189 /* 33222222222211111111110000000000
10190 10987654321098765432109876543210
10191 xxxxxxxxxxxxx1011010x0011x100110
10192 ucvtf. */
10193 return 1858;
10194 }
10195 else
10196 {
10197 /* 33222222222211111111110000000000
10198 10987654321098765432109876543210
10199 xxxxxxxxxxxxx1011010x0111x100110
10200 ucvtf. */
10201 return 1860;
10202 }
10203 }
10204 else
10205 {
10206 if (((word >> 20) & 0x1) == 0)
10207 {
10208 /* 33222222222211111111110000000000
10209 10987654321098765432109876543210
10210 xxxxxxxxxxxxx101101100x11x100110
10211 fsqrt. */
10212 return 1400;
10213 }
10214 else
10215 {
10216 if (((word >> 22) & 0x1) == 0)
10217 {
10218 /* 33222222222211111111110000000000
10219 10987654321098765432109876543210
10220 xxxxxxxxxxxxx101101110011x100110
10221 fcvtzu. */
10222 return 1354;
10223 }
10224 else
10225 {
10226 /* 33222222222211111111110000000000
10227 10987654321098765432109876543210
10228 xxxxxxxxxxxxx101101110111x100110
10229 fcvtzu. */
10230 return 1356;
10231 }
10232 }
10233 }
10234 }
10235 }
10236 else
10237 {
10238 if (((word >> 18) & 0x1) == 0)
10239 {
10240 if (((word >> 19) & 0x1) == 0)
10241 {
10242 /* 33222222222211111111110000000000
10243 10987654321098765432109876543210
10244 xxxxxxxxxxxxx1011100x0x11x100110
10245 frintz. */
10246 return 1396;
10247 }
10248 else
10249 {
10250 /* 33222222222211111111110000000000
10251 10987654321098765432109876543210
10252 xxxxxxxxxxxxx1011101x0x11x100110
10253 fcvt. */
10254 return 1349;
10255 }
10256 }
10257 else
10258 {
10259 if (((word >> 19) & 0x1) == 0)
10260 {
10261 if (((word >> 20) & 0x1) == 0)
10262 {
10263 /* 33222222222211111111110000000000
10264 10987654321098765432109876543210
10265 xxxxxxxxxxxxx101111000x11x100110
10266 frinti. */
10267 return 1391;
10268 }
10269 else
10270 {
10271 /* 33222222222211111111110000000000
10272 10987654321098765432109876543210
10273 xxxxxxxxxxxxx101111010x11x100110
10274 ucvtf. */
10275 return 1861;
10276 }
10277 }
10278 else
10279 {
10280 /* 33222222222211111111110000000000
10281 10987654321098765432109876543210
10282 xxxxxxxxxxxxx1011111x0x11x100110
10283 fcvtzu. */
10284 return 1357;
10285 }
10286 }
10287 }
10288 }
10289 }
10290 else
10291 {
10292 if (((word >> 22) & 0x1) == 0)
10293 {
10294 /* 33222222222211111111110000000000
10295 10987654321098765432109876543210
10296 xxxxxxxxxxxxx101xxxxx0011x100111
10297 st1d. */
10298 return 1775;
10299 }
10300 else
10301 {
10302 /* 33222222222211111111110000000000
10303 10987654321098765432109876543210
10304 xxxxxxxxxxxxx101xxxxx0111x100111
10305 st1d. */
10306 return 1779;
10307 }
10308 }
10309 }
10310 }
10311 else
10312 {
10313 if (((word >> 23) & 0x1) == 0)
10314 {
10315 if (((word >> 20) & 0x1) == 0)
10316 {
10317 /* 33222222222211111111110000000000
10318 10987654321098765432109876543210
10319 xxxxxxxxxxxxx111xxxx00x01x10011x
10320 st1w. */
10321 return 1804;
10322 }
10323 else
10324 {
10325 if (((word >> 22) & 0x1) == 0)
10326 {
10327 /* 33222222222211111111110000000000
10328 10987654321098765432109876543210
10329 xxxxxxxxxxxxx111xxxx10001x10011x
10330 stnt1w. */
10331 return 1838;
10332 }
10333 else
10334 {
10335 /* 33222222222211111111110000000000
10336 10987654321098765432109876543210
10337 xxxxxxxxxxxxx111xxxx10101x10011x
10338 st3w. */
10339 return 1822;
10340 }
10341 }
10342 }
10343 else
10344 {
10345 if (((word >> 31) & 0x1) == 0)
10346 {
10347 /* 33222222222211111111110000000000
10348 10987654321098765432109876543210
10349 xxxxxxxxxxxxx111xxxxx0x11x100110
10350 facgt. */
10351 return 1326;
10352 }
10353 else
10354 {
10355 if (((word >> 22) & 0x1) == 0)
10356 {
10357 /* 33222222222211111111110000000000
10358 10987654321098765432109876543210
10359 xxxxxxxxxxxxx111xxxxx0011x100111
10360 stnt1d. */
10361 return 1834;
10362 }
10363 else
10364 {
10365 /* 33222222222211111111110000000000
10366 10987654321098765432109876543210
10367 xxxxxxxxxxxxx111xxxxx0111x100111
10368 st3d. */
10369 return 1818;
10370 }
10371 }
10372 }
10373 }
10374 }
10375 }
10376 }
10377 else
10378 {
10379 if (((word >> 30) & 0x1) == 0)
10380 {
10381 if (((word >> 14) & 0x1) == 0)
10382 {
10383 if (((word >> 20) & 0x1) == 0)
10384 {
10385 if (((word >> 31) & 0x1) == 0)
10386 {
10387 if (((word >> 16) & 0x1) == 0)
10388 {
10389 if (((word >> 17) & 0x1) == 0)
10390 {
10391 if (((word >> 18) & 0x1) == 0)
10392 {
10393 if (((word >> 19) & 0x1) == 0)
10394 {
10395 /* 33222222222211111111110000000000
10396 10987654321098765432109876543210
10397 xxxxxxxxxxxxxx01000001xx1x100100
10398 cntp. */
10399 return 1295;
10400 }
10401 else
10402 {
10403 if (((word >> 10) & 0x1) == 0)
10404 {
10405 if (((word >> 11) & 0x1) == 0)
10406 {
10407 if (((word >> 12) & 0x1) == 0)
10408 {
10409 /* 33222222222211111111110000000000
10410 10987654321098765432109876543210
10411 xxxxxxxxxx000x01000101xx1x100100
10412 sqincp. */
10413 return 1753;
10414 }
10415 else
10416 {
10417 /* 33222222222211111111110000000000
10418 10987654321098765432109876543210
10419 xxxxxxxxxx001x01000101xx1x100100
10420 wrffr. */
10421 return 1920;
10422 }
10423 }
10424 else
10425 {
10426 /* 33222222222211111111110000000000
10427 10987654321098765432109876543210
10428 xxxxxxxxxx01xx01000101xx1x100100
10429 sqincp. */
10430 return 1755;
10431 }
10432 }
10433 else
10434 {
10435 /* 33222222222211111111110000000000
10436 10987654321098765432109876543210
10437 xxxxxxxxxx1xxx01000101xx1x100100
10438 sqincp. */
10439 return 1754;
10440 }
10441 }
10442 }
10443 else
10444 {
10445 if (((word >> 11) & 0x1) == 0)
10446 {
10447 if (((word >> 12) & 0x1) == 0)
10448 {
10449 /* 33222222222211111111110000000000
10450 10987654321098765432109876543210
10451 xxxxxxxxxxx00x01001x01xx1x100100
10452 incp. */
10453 return 1414;
10454 }
10455 else
10456 {
10457 /* 33222222222211111111110000000000
10458 10987654321098765432109876543210
10459 xxxxxxxxxxx01x01001x01xx1x100100
10460 setffr. */
10461 return 1720;
10462 }
10463 }
10464 else
10465 {
10466 /* 33222222222211111111110000000000
10467 10987654321098765432109876543210
10468 xxxxxxxxxxx1xx01001x01xx1x100100
10469 incp. */
10470 return 1415;
10471 }
10472 }
10473 }
10474 else
10475 {
10476 if (((word >> 10) & 0x1) == 0)
10477 {
10478 if (((word >> 11) & 0x1) == 0)
10479 {
10480 /* 33222222222211111111110000000000
10481 10987654321098765432109876543210
10482 xxxxxxxxxx00xx0101xx01xx1x100100
10483 sqdecp. */
10484 return 1739;
10485 }
10486 else
10487 {
10488 /* 33222222222211111111110000000000
10489 10987654321098765432109876543210
10490 xxxxxxxxxx01xx0101xx01xx1x100100
10491 sqdecp. */
10492 return 1741;
10493 }
10494 }
10495 else
10496 {
10497 /* 33222222222211111111110000000000
10498 10987654321098765432109876543210
10499 xxxxxxxxxx1xxx0101xx01xx1x100100
10500 sqdecp. */
10501 return 1740;
10502 }
10503 }
10504 }
10505 else
10506 {
10507 if (((word >> 10) & 0x1) == 0)
10508 {
10509 if (((word >> 11) & 0x1) == 0)
10510 {
10511 if (((word >> 17) & 0x1) == 0)
10512 {
10513 if (((word >> 18) & 0x1) == 0)
10514 {
10515 /* 33222222222211111111110000000000
10516 10987654321098765432109876543210
10517 xxxxxxxxxx00xx01100x01xx1x100100
10518 uqincp. */
10519 return 1895;
10520 }
10521 else
10522 {
10523 /* 33222222222211111111110000000000
10524 10987654321098765432109876543210
10525 xxxxxxxxxx00xx01101x01xx1x100100
10526 decp. */
10527 return 1308;
10528 }
10529 }
10530 else
10531 {
10532 /* 33222222222211111111110000000000
10533 10987654321098765432109876543210
10534 xxxxxxxxxx00xx0111xx01xx1x100100
10535 uqdecp. */
10536 return 1881;
10537 }
10538 }
10539 else
10540 {
10541 if (((word >> 17) & 0x1) == 0)
10542 {
10543 if (((word >> 18) & 0x1) == 0)
10544 {
10545 /* 33222222222211111111110000000000
10546 10987654321098765432109876543210
10547 xxxxxxxxxx01xx01100x01xx1x100100
10548 uqincp. */
10549 return 1896;
10550 }
10551 else
10552 {
10553 /* 33222222222211111111110000000000
10554 10987654321098765432109876543210
10555 xxxxxxxxxx01xx01101x01xx1x100100
10556 decp. */
10557 return 1309;
10558 }
10559 }
10560 else
10561 {
10562 /* 33222222222211111111110000000000
10563 10987654321098765432109876543210
10564 xxxxxxxxxx01xx0111xx01xx1x100100
10565 uqdecp. */
10566 return 1882;
10567 }
10568 }
10569 }
10570 else
10571 {
10572 if (((word >> 17) & 0x1) == 0)
10573 {
10574 /* 33222222222211111111110000000000
10575 10987654321098765432109876543210
10576 xxxxxxxxxx1xxx0110xx01xx1x100100
10577 uqincp. */
10578 return 1897;
10579 }
10580 else
10581 {
10582 /* 33222222222211111111110000000000
10583 10987654321098765432109876543210
10584 xxxxxxxxxx1xxx0111xx01xx1x100100
10585 uqdecp. */
10586 return 1883;
10587 }
10588 }
10589 }
10590 }
10591 else
10592 {
10593 if (((word >> 22) & 0x1) == 0)
10594 {
10595 if (((word >> 23) & 0x1) == 0)
10596 {
10597 /* 33222222222211111111110000000000
10598 10987654321098765432109876543210
10599 xxxxxxxxxxxxxx01xxxx01001x100101
10600 ld1sh. */
10601 return 1499;
10602 }
10603 else
10604 {
10605 /* 33222222222211111111110000000000
10606 10987654321098765432109876543210
10607 xxxxxxxxxxxxxx01xxxx01011x100101
10608 ld1sb. */
10609 return 1486;
10610 }
10611 }
10612 else
10613 {
10614 if (((word >> 23) & 0x1) == 0)
10615 {
10616 /* 33222222222211111111110000000000
10617 10987654321098765432109876543210
10618 xxxxxxxxxxxxxx01xxxx01101x100101
10619 ld1w. */
10620 return 1518;
10621 }
10622 else
10623 {
10624 /* 33222222222211111111110000000000
10625 10987654321098765432109876543210
10626 xxxxxxxxxxxxxx01xxxx01111x100101
10627 ld1d. */
10628 return 1446;
10629 }
10630 }
10631 }
10632 }
10633 else
10634 {
10635 if (((word >> 22) & 0x1) == 0)
10636 {
10637 if (((word >> 23) & 0x1) == 0)
10638 {
10639 /* 33222222222211111111110000000000
10640 10987654321098765432109876543210
10641 xxxxxxxxxxxxxx01xxxx11001x10010x
10642 ldnf1sh. */
10643 return 1616;
10644 }
10645 else
10646 {
10647 /* 33222222222211111111110000000000
10648 10987654321098765432109876543210
10649 xxxxxxxxxxxxxx01xxxx11011x10010x
10650 ldnf1sb. */
10651 return 1613;
10652 }
10653 }
10654 else
10655 {
10656 if (((word >> 23) & 0x1) == 0)
10657 {
10658 /* 33222222222211111111110000000000
10659 10987654321098765432109876543210
10660 xxxxxxxxxxxxxx01xxxx11101x10010x
10661 ldnf1w. */
10662 return 1619;
10663 }
10664 else
10665 {
10666 /* 33222222222211111111110000000000
10667 10987654321098765432109876543210
10668 xxxxxxxxxxxxxx01xxxx11111x10010x
10669 ldnf1d. */
10670 return 1608;
10671 }
10672 }
10673 }
10674 }
10675 else
10676 {
10677 if (((word >> 31) & 0x1) == 0)
10678 {
10679 if (((word >> 16) & 0x1) == 0)
10680 {
10681 if (((word >> 17) & 0x1) == 0)
10682 {
10683 if (((word >> 18) & 0x1) == 0)
10684 {
10685 if (((word >> 19) & 0x1) == 0)
10686 {
10687 if (((word >> 20) & 0x1) == 0)
10688 {
10689 /* 33222222222211111111110000000000
10690 10987654321098765432109876543210
10691 xxxxxxxxxxxxxx11000001xx1x100100
10692 add. */
10693 return 1222;
10694 }
10695 else
10696 {
10697 /* 33222222222211111111110000000000
10698 10987654321098765432109876543210
10699 xxxxxxxxxxxxxx11000011xx1x100100
10700 mul. */
10701 return 1648;
10702 }
10703 }
10704 else
10705 {
10706 if (((word >> 20) & 0x1) == 0)
10707 {
10708 /* 33222222222211111111110000000000
10709 10987654321098765432109876543210
10710 xxxxxxxxxxxxxx11000101xx1x100100
10711 smax. */
10712 return 1721;
10713 }
10714 else
10715 {
10716 /* 33222222222211111111110000000000
10717 10987654321098765432109876543210
10718 xxxxxxxxxxxxxx11000111xx1x100100
10719 dup. */
10720 return 1314;
10721 }
10722 }
10723 }
10724 else
10725 {
10726 /* 33222222222211111111110000000000
10727 10987654321098765432109876543210
10728 xxxxxxxxxxxxxx11001xx1xx1x100100
10729 sqadd. */
10730 return 1730;
10731 }
10732 }
10733 else
10734 {
10735 if (((word >> 18) & 0x1) == 0)
10736 {
10737 /* 33222222222211111111110000000000
10738 10987654321098765432109876543210
10739 xxxxxxxxxxxxxx11010xx1xx1x100100
10740 smin. */
10741 return 1724;
10742 }
10743 else
10744 {
10745 /* 33222222222211111111110000000000
10746 10987654321098765432109876543210
10747 xxxxxxxxxxxxxx11011xx1xx1x100100
10748 sqsub. */
10749 return 1760;
10750 }
10751 }
10752 }
10753 else
10754 {
10755 if (((word >> 17) & 0x1) == 0)
10756 {
10757 if (((word >> 18) & 0x1) == 0)
10758 {
10759 if (((word >> 19) & 0x1) == 0)
10760 {
10761 /* 33222222222211111111110000000000
10762 10987654321098765432109876543210
10763 xxxxxxxxxxxxxx111000x1xx1x100100
10764 sub. */
10765 return 1842;
10766 }
10767 else
10768 {
10769 if (((word >> 20) & 0x1) == 0)
10770 {
10771 /* 33222222222211111111110000000000
10772 10987654321098765432109876543210
10773 xxxxxxxxxxxxxx11100101xx1x100100
10774 umax. */
10775 return 1864;
10776 }
10777 else
10778 {
10779 /* 33222222222211111111110000000000
10780 10987654321098765432109876543210
10781 xxxxxxxxxxxxxx11100111xx1x100100
10782 fdup. */
10783 return 1360;
10784 }
10785 }
10786 }
10787 else
10788 {
10789 /* 33222222222211111111110000000000
10790 10987654321098765432109876543210
10791 xxxxxxxxxxxxxx11101xx1xx1x100100
10792 uqadd. */
10793 return 1872;
10794 }
10795 }
10796 else
10797 {
10798 if (((word >> 18) & 0x1) == 0)
10799 {
10800 if (((word >> 19) & 0x1) == 0)
10801 {
10802 /* 33222222222211111111110000000000
10803 10987654321098765432109876543210
10804 xxxxxxxxxxxxxx111100x1xx1x100100
10805 subr. */
10806 return 1844;
10807 }
10808 else
10809 {
10810 /* 33222222222211111111110000000000
10811 10987654321098765432109876543210
10812 xxxxxxxxxxxxxx111101x1xx1x100100
10813 umin. */
10814 return 1867;
10815 }
10816 }
10817 else
10818 {
10819 /* 33222222222211111111110000000000
10820 10987654321098765432109876543210
10821 xxxxxxxxxxxxxx11111xx1xx1x100100
10822 uqsub. */
10823 return 1902;
10824 }
10825 }
10826 }
10827 }
10828 else
10829 {
10830 if (((word >> 13) & 0x1) == 0)
10831 {
10832 if (((word >> 22) & 0x1) == 0)
10833 {
10834 if (((word >> 23) & 0x1) == 0)
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
10838 xxxxxxxxxxxxx011xxxxx1001x100101
10839 ld2w. */
10840 return 1526;
10841 }
10842 else
10843 {
10844 /* 33222222222211111111110000000000
10845 10987654321098765432109876543210
10846 xxxxxxxxxxxxx011xxxxx1011x100101
10847 ld2d. */
10848 return 1522;
10849 }
10850 }
10851 else
10852 {
10853 if (((word >> 23) & 0x1) == 0)
10854 {
10855 /* 33222222222211111111110000000000
10856 10987654321098765432109876543210
10857 xxxxxxxxxxxxx011xxxxx1101x100101
10858 ld4w. */
10859 return 1542;
10860 }
10861 else
10862 {
10863 /* 33222222222211111111110000000000
10864 10987654321098765432109876543210
10865 xxxxxxxxxxxxx011xxxxx1111x100101
10866 ld4d. */
10867 return 1538;
10868 }
10869 }
10870 }
10871 else
10872 {
10873 if (((word >> 22) & 0x1) == 0)
10874 {
10875 if (((word >> 23) & 0x1) == 0)
10876 {
10877 /* 33222222222211111111110000000000
10878 10987654321098765432109876543210
10879 xxxxxxxxxxxxx111xxxxx1001x100101
10880 ld2w. */
10881 return 1527;
10882 }
10883 else
10884 {
10885 /* 33222222222211111111110000000000
10886 10987654321098765432109876543210
10887 xxxxxxxxxxxxx111xxxxx1011x100101
10888 ld2d. */
10889 return 1523;
10890 }
10891 }
10892 else
10893 {
10894 if (((word >> 23) & 0x1) == 0)
10895 {
10896 /* 33222222222211111111110000000000
10897 10987654321098765432109876543210
10898 xxxxxxxxxxxxx111xxxxx1101x100101
10899 ld4w. */
10900 return 1543;
10901 }
10902 else
10903 {
10904 /* 33222222222211111111110000000000
10905 10987654321098765432109876543210
10906 xxxxxxxxxxxxx111xxxxx1111x100101
10907 ld4d. */
10908 return 1539;
10909 }
10910 }
10911 }
10912 }
10913 }
10914 }
10915 else
10916 {
10917 if (((word >> 13) & 0x1) == 0)
10918 {
10919 if (((word >> 23) & 0x1) == 0)
10920 {
10921 if (((word >> 22) & 0x1) == 0)
10922 {
10923 /* 33222222222211111111110000000000
10924 10987654321098765432109876543210
10925 xxxxxxxxxxxxx0x1xxxxx1001x10011x
10926 st1w. */
10927 return 1797;
10928 }
10929 else
10930 {
10931 /* 33222222222211111111110000000000
10932 10987654321098765432109876543210
10933 xxxxxxxxxxxxx0x1xxxxx1101x10011x
10934 st1w. */
10935 return 1802;
10936 }
10937 }
10938 else
10939 {
10940 if (((word >> 31) & 0x1) == 0)
10941 {
10942 if (((word >> 14) & 0x1) == 0)
10943 {
10944 /* 33222222222211111111110000000000
10945 10987654321098765432109876543210
10946 xxxxxxxxxxxxx001xxxxx1x11x100110
10947 fmad. */
10948 return 1362;
10949 }
10950 else
10951 {
10952 /* 33222222222211111111110000000000
10953 10987654321098765432109876543210
10954 xxxxxxxxxxxxx011xxxxx1x11x100110
10955 fnmad. */
10956 return 1383;
10957 }
10958 }
10959 else
10960 {
10961 /* 33222222222211111111110000000000
10962 10987654321098765432109876543210
10963 xxxxxxxxxxxxx0x1xxxxx1x11x100111
10964 st1d. */
10965 return 1776;
10966 }
10967 }
10968 }
10969 else
10970 {
10971 if (((word >> 14) & 0x1) == 0)
10972 {
10973 if (((word >> 23) & 0x1) == 0)
10974 {
10975 if (((word >> 22) & 0x1) == 0)
10976 {
10977 /* 33222222222211111111110000000000
10978 10987654321098765432109876543210
10979 xxxxxxxxxxxxx101xxxxx1001x10011x
10980 st1w. */
10981 return 1798;
10982 }
10983 else
10984 {
10985 /* 33222222222211111111110000000000
10986 10987654321098765432109876543210
10987 xxxxxxxxxxxxx101xxxxx1101x10011x
10988 st1w. */
10989 return 1805;
10990 }
10991 }
10992 else
10993 {
10994 if (((word >> 31) & 0x1) == 0)
10995 {
10996 /* 33222222222211111111110000000000
10997 10987654321098765432109876543210
10998 xxxxxxxxxxxxx101xxxxx1x11x100110
10999 fmsb. */
11000 return 1377;
11001 }
11002 else
11003 {
11004 /* 33222222222211111111110000000000
11005 10987654321098765432109876543210
11006 xxxxxxxxxxxxx101xxxxx1x11x100111
11007 st1d. */
11008 return 1777;
11009 }
11010 }
11011 }
11012 else
11013 {
11014 if (((word >> 23) & 0x1) == 0)
11015 {
11016 if (((word >> 20) & 0x1) == 0)
11017 {
11018 /* 33222222222211111111110000000000
11019 10987654321098765432109876543210
11020 xxxxxxxxxxxxx111xxxx01x01x10011x
11021 st1w. */
11022 return 1806;
11023 }
11024 else
11025 {
11026 if (((word >> 22) & 0x1) == 0)
11027 {
11028 /* 33222222222211111111110000000000
11029 10987654321098765432109876543210
11030 xxxxxxxxxxxxx111xxxx11001x10011x
11031 st2w. */
11032 return 1814;
11033 }
11034 else
11035 {
11036 /* 33222222222211111111110000000000
11037 10987654321098765432109876543210
11038 xxxxxxxxxxxxx111xxxx11101x10011x
11039 st4w. */
11040 return 1830;
11041 }
11042 }
11043 }
11044 else
11045 {
11046 if (((word >> 31) & 0x1) == 0)
11047 {
11048 /* 33222222222211111111110000000000
11049 10987654321098765432109876543210
11050 xxxxxxxxxxxxx111xxxxx1x11x100110
11051 fnmsb. */
11052 return 1386;
11053 }
11054 else
11055 {
11056 if (((word >> 20) & 0x1) == 0)
11057 {
11058 /* 33222222222211111111110000000000
11059 10987654321098765432109876543210
11060 xxxxxxxxxxxxx111xxxx01x11x100111
11061 st1d. */
11062 return 1780;
11063 }
11064 else
11065 {
11066 if (((word >> 22) & 0x1) == 0)
11067 {
11068 /* 33222222222211111111110000000000
11069 10987654321098765432109876543210
11070 xxxxxxxxxxxxx111xxxx11011x100111
11071 st2d. */
11072 return 1810;
11073 }
11074 else
11075 {
11076 /* 33222222222211111111110000000000
11077 10987654321098765432109876543210
11078 xxxxxxxxxxxxx111xxxx11111x100111
11079 st4d. */
11080 return 1826;
11081 }
11082 }
11083 }
11084 }
11085 }
11086 }
11087 }
11088 }
11089 }
11090 }
11091 }
11092 }
11093 else
11094 {
11095 if (((word >> 29) & 0x1) == 0)
11096 {
11097 if (((word >> 30) & 0x1) == 0)
11098 {
11099 if (((word >> 31) & 0x1) == 0)
11100 {
11101 /* 33222222222211111111110000000000
11102 10987654321098765432109876543210
11103 xxxxxxxxxxxxxxxxxxxxxxxxxx101000
11104 b. */
11105 return 627;
11106 }
11107 else
11108 {
11109 /* 33222222222211111111110000000000
11110 10987654321098765432109876543210
11111 xxxxxxxxxxxxxxxxxxxxxxxxxx101001
11112 bl. */
11113 return 628;
11114 }
11115 }
11116 else
11117 {
11118 if (((word >> 24) & 0x1) == 0)
11119 {
11120 if (((word >> 4) & 0x1) == 0)
11121 {
11122 if (((word >> 25) & 0x1) == 0)
11123 {
11124 if (((word >> 31) & 0x1) == 0)
11125 {
11126 /* 33222222222211111111110000000000
11127 10987654321098765432109876543210
11128 xxxx0xxxxxxxxxxxxxxxxxxx00101010
11129 b.c. */
11130 return 648;
11131 }
11132 else
11133 {
11134 if (((word >> 0) & 0x1) == 0)
11135 {
11136 if (((word >> 1) & 0x1) == 0)
11137 {
11138 if (((word >> 21) & 0x1) == 0)
11139 {
11140 /* 33222222222211111111110000000000
11141 10987654321098765432109876543210
11142 00xx0xxxxxxxxxxxxxxxx0xx00101011
11143 hlt. */
11144 return 739;
11145 }
11146 else
11147 {
11148 /* 33222222222211111111110000000000
11149 10987654321098765432109876543210
11150 00xx0xxxxxxxxxxxxxxxx1xx00101011
11151 brk. */
11152 return 738;
11153 }
11154 }
11155 else
11156 {
11157 if (((word >> 21) & 0x1) == 0)
11158 {
11159 /* 33222222222211111111110000000000
11160 10987654321098765432109876543210
11161 01xx0xxxxxxxxxxxxxxxx0xx00101011
11162 hvc. */
11163 return 736;
11164 }
11165 else
11166 {
11167 /* 33222222222211111111110000000000
11168 10987654321098765432109876543210
11169 01xx0xxxxxxxxxxxxxxxx1xx00101011
11170 dcps2. */
11171 return 741;
11172 }
11173 }
11174 }
11175 else
11176 {
11177 if (((word >> 1) & 0x1) == 0)
11178 {
11179 if (((word >> 21) & 0x1) == 0)
11180 {
11181 /* 33222222222211111111110000000000
11182 10987654321098765432109876543210
11183 10xx0xxxxxxxxxxxxxxxx0xx00101011
11184 svc. */
11185 return 735;
11186 }
11187 else
11188 {
11189 /* 33222222222211111111110000000000
11190 10987654321098765432109876543210
11191 10xx0xxxxxxxxxxxxxxxx1xx00101011
11192 dcps1. */
11193 return 740;
11194 }
11195 }
11196 else
11197 {
11198 if (((word >> 21) & 0x1) == 0)
11199 {
11200 /* 33222222222211111111110000000000
11201 10987654321098765432109876543210
11202 11xx0xxxxxxxxxxxxxxxx0xx00101011
11203 smc. */
11204 return 737;
11205 }
11206 else
11207 {
11208 /* 33222222222211111111110000000000
11209 10987654321098765432109876543210
11210 11xx0xxxxxxxxxxxxxxxx1xx00101011
11211 dcps3. */
11212 return 742;
11213 }
11214 }
11215 }
11216 }
11217 }
11218 else
11219 {
11220 if (((word >> 21) & 0x1) == 0)
11221 {
11222 if (((word >> 22) & 0x1) == 0)
11223 {
11224 if (((word >> 23) & 0x1) == 0)
11225 {
11226 /* 33222222222211111111110000000000
11227 10987654321098765432109876543210
11228 xxxx0xxxxxxxxxxxxxxxx0000110101x
11229 br. */
11230 return 629;
11231 }
11232 else
11233 {
11234 /* 33222222222211111111110000000000
11235 10987654321098765432109876543210
11236 xxxx0xxxxxxxxxxxxxxxx0010110101x
11237 eret. */
11238 return 632;
11239 }
11240 }
11241 else
11242 {
11243 /* 33222222222211111111110000000000
11244 10987654321098765432109876543210
11245 xxxx0xxxxxxxxxxxxxxxx01x0110101x
11246 ret. */
11247 return 631;
11248 }
11249 }
11250 else
11251 {
11252 if (((word >> 23) & 0x1) == 0)
11253 {
11254 /* 33222222222211111111110000000000
11255 10987654321098765432109876543210
11256 xxxx0xxxxxxxxxxxxxxxx1x00110101x
11257 blr. */
11258 return 630;
11259 }
11260 else
11261 {
11262 /* 33222222222211111111110000000000
11263 10987654321098765432109876543210
11264 xxxx0xxxxxxxxxxxxxxxx1x10110101x
11265 drps. */
11266 return 633;
11267 }
11268 }
11269 }
11270 }
11271 else
11272 {
11273 if (((word >> 10) & 0x1) == 0)
11274 {
11275 if (((word >> 21) & 0x1) == 0)
11276 {
11277 if (((word >> 22) & 0x1) == 0)
11278 {
11279 if (((word >> 23) & 0x1) == 0)
11280 {
11281 /* 33222222222211111111110000000000
11282 10987654321098765432109876543210
11283 xxxx1xxxxx0xxxxxxxxxx0000x10101x
11284 braaz. */
11285 return 638;
11286 }
11287 else
11288 {
11289 /* 33222222222211111111110000000000
11290 10987654321098765432109876543210
11291 xxxx1xxxxx0xxxxxxxxxx0010x10101x
11292 eretaa. */
11293 return 644;
11294 }
11295 }
11296 else
11297 {
11298 /* 33222222222211111111110000000000
11299 10987654321098765432109876543210
11300 xxxx1xxxxx0xxxxxxxxxx01x0x10101x
11301 retaa. */
11302 return 642;
11303 }
11304 }
11305 else
11306 {
11307 /* 33222222222211111111110000000000
11308 10987654321098765432109876543210
11309 xxxx1xxxxx0xxxxxxxxxx1xx0x10101x
11310 blraaz. */
11311 return 640;
11312 }
11313 }
11314 else
11315 {
11316 if (((word >> 21) & 0x1) == 0)
11317 {
11318 if (((word >> 22) & 0x1) == 0)
11319 {
11320 if (((word >> 23) & 0x1) == 0)
11321 {
11322 /* 33222222222211111111110000000000
11323 10987654321098765432109876543210
11324 xxxx1xxxxx1xxxxxxxxxx0000x10101x
11325 brabz. */
11326 return 639;
11327 }
11328 else
11329 {
11330 /* 33222222222211111111110000000000
11331 10987654321098765432109876543210
11332 xxxx1xxxxx1xxxxxxxxxx0010x10101x
11333 eretab. */
11334 return 645;
11335 }
11336 }
11337 else
11338 {
11339 /* 33222222222211111111110000000000
11340 10987654321098765432109876543210
11341 xxxx1xxxxx1xxxxxxxxxx01x0x10101x
11342 retab. */
11343 return 643;
11344 }
11345 }
11346 else
11347 {
11348 /* 33222222222211111111110000000000
11349 10987654321098765432109876543210
11350 xxxx1xxxxx1xxxxxxxxxx1xx0x10101x
11351 blrabz. */
11352 return 641;
11353 }
11354 }
11355 }
11356 }
11357 else
11358 {
11359 if (((word >> 21) & 0x1) == 0)
11360 {
11361 if (((word >> 25) & 0x1) == 0)
11362 {
11363 /* 33222222222211111111110000000000
11364 10987654321098765432109876543210
11365 xxxxxxxxxxxxxxxxxxxxx0xx1010101x
11366 msr. */
11367 return 1148;
11368 }
11369 else
11370 {
11371 if (((word >> 10) & 0x1) == 0)
11372 {
11373 /* 33222222222211111111110000000000
11374 10987654321098765432109876543210
11375 xxxxxxxxxx0xxxxxxxxxx0xx1110101x
11376 braa. */
11377 return 634;
11378 }
11379 else
11380 {
11381 /* 33222222222211111111110000000000
11382 10987654321098765432109876543210
11383 xxxxxxxxxx1xxxxxxxxxx0xx1110101x
11384 brab. */
11385 return 635;
11386 }
11387 }
11388 }
11389 else
11390 {
11391 if (((word >> 25) & 0x1) == 0)
11392 {
11393 /* 33222222222211111111110000000000
11394 10987654321098765432109876543210
11395 xxxxxxxxxxxxxxxxxxxxx1xx1010101x
11396 sysl. */
11397 return 1173;
11398 }
11399 else
11400 {
11401 if (((word >> 10) & 0x1) == 0)
11402 {
11403 /* 33222222222211111111110000000000
11404 10987654321098765432109876543210
11405 xxxxxxxxxx0xxxxxxxxxx1xx1110101x
11406 blraa. */
11407 return 636;
11408 }
11409 else
11410 {
11411 /* 33222222222211111111110000000000
11412 10987654321098765432109876543210
11413 xxxxxxxxxx1xxxxxxxxxx1xx1110101x
11414 blrab. */
11415 return 637;
11416 }
11417 }
11418 }
11419 }
11420 }
11421 }
11422 else
11423 {
11424 if (((word >> 24) & 0x1) == 0)
11425 {
11426 if (((word >> 25) & 0x1) == 0)
11427 {
11428 /* 33222222222211111111110000000000
11429 10987654321098765432109876543210
11430 xxxxxxxxxxxxxxxxxxxxxxxx001011xx
11431 cbz. */
11432 return 646;
11433 }
11434 else
11435 {
11436 /* 33222222222211111111110000000000
11437 10987654321098765432109876543210
11438 xxxxxxxxxxxxxxxxxxxxxxxx011011xx
11439 tbz. */
11440 return 1183;
11441 }
11442 }
11443 else
11444 {
11445 if (((word >> 25) & 0x1) == 0)
11446 {
11447 /* 33222222222211111111110000000000
11448 10987654321098765432109876543210
11449 xxxxxxxxxxxxxxxxxxxxxxxx101011xx
11450 cbnz. */
11451 return 647;
11452 }
11453 else
11454 {
11455 /* 33222222222211111111110000000000
11456 10987654321098765432109876543210
11457 xxxxxxxxxxxxxxxxxxxxxxxx111011xx
11458 tbnz. */
11459 return 1184;
11460 }
11461 }
11462 }
11463 }
11464 }
11465 else
11466 {
11467 if (((word >> 25) & 0x1) == 0)
11468 {
11469 if (((word >> 28) & 0x1) == 0)
11470 {
11471 if (((word >> 22) & 0x1) == 0)
11472 {
11473 if (((word >> 23) & 0x1) == 0)
11474 {
11475 if (((word >> 24) & 0x1) == 0)
11476 {
11477 if (((word >> 29) & 0x1) == 0)
11478 {
11479 /* 33222222222211111111110000000000
11480 10987654321098765432109876543210
11481 xxxxxxxxxxxxxxxxxxxxxx00001100xx
11482 st4. */
11483 return 431;
11484 }
11485 else
11486 {
11487 /* 33222222222211111111110000000000
11488 10987654321098765432109876543210
11489 xxxxxxxxxxxxxxxxxxxxxx00001101xx
11490 stnp. */
11491 return 934;
11492 }
11493 }
11494 else
11495 {
11496 if (((word >> 29) & 0x1) == 0)
11497 {
11498 if (((word >> 13) & 0x1) == 0)
11499 {
11500 if (((word >> 21) & 0x1) == 0)
11501 {
11502 /* 33222222222211111111110000000000
11503 10987654321098765432109876543210
11504 xxxxxxxxxxxxx0xxxxxxx000101100xx
11505 st1. */
11506 return 447;
11507 }
11508 else
11509 {
11510 /* 33222222222211111111110000000000
11511 10987654321098765432109876543210
11512 xxxxxxxxxxxxx0xxxxxxx100101100xx
11513 st2. */
11514 return 449;
11515 }
11516 }
11517 else
11518 {
11519 if (((word >> 21) & 0x1) == 0)
11520 {
11521 /* 33222222222211111111110000000000
11522 10987654321098765432109876543210
11523 xxxxxxxxxxxxx1xxxxxxx000101100xx
11524 st3. */
11525 return 448;
11526 }
11527 else
11528 {
11529 /* 33222222222211111111110000000000
11530 10987654321098765432109876543210
11531 xxxxxxxxxxxxx1xxxxxxx100101100xx
11532 st4. */
11533 return 450;
11534 }
11535 }
11536 }
11537 else
11538 {
11539 /* 33222222222211111111110000000000
11540 10987654321098765432109876543210
11541 xxxxxxxxxxxxxxxxxxxxxx00101101xx
11542 stp. */
11543 return 938;
11544 }
11545 }
11546 }
11547 else
11548 {
11549 if (((word >> 29) & 0x1) == 0)
11550 {
11551 if (((word >> 21) & 0x1) == 0)
11552 {
11553 if (((word >> 24) & 0x1) == 0)
11554 {
11555 /* 33222222222211111111110000000000
11556 10987654321098765432109876543210
11557 xxxxxxxxxxxxxxxxxxxxx001001100xx
11558 st4. */
11559 return 439;
11560 }
11561 else
11562 {
11563 if (((word >> 13) & 0x1) == 0)
11564 {
11565 /* 33222222222211111111110000000000
11566 10987654321098765432109876543210
11567 xxxxxxxxxxxxx0xxxxxxx001101100xx
11568 st1. */
11569 return 459;
11570 }
11571 else
11572 {
11573 /* 33222222222211111111110000000000
11574 10987654321098765432109876543210
11575 xxxxxxxxxxxxx1xxxxxxx001101100xx
11576 st3. */
11577 return 460;
11578 }
11579 }
11580 }
11581 else
11582 {
11583 if (((word >> 13) & 0x1) == 0)
11584 {
11585 /* 33222222222211111111110000000000
11586 10987654321098765432109876543210
11587 xxxxxxxxxxxxx0xxxxxxx101x01100xx
11588 st2. */
11589 return 461;
11590 }
11591 else
11592 {
11593 /* 33222222222211111111110000000000
11594 10987654321098765432109876543210
11595 xxxxxxxxxxxxx1xxxxxxx101x01100xx
11596 st4. */
11597 return 462;
11598 }
11599 }
11600 }
11601 else
11602 {
11603 /* 33222222222211111111110000000000
11604 10987654321098765432109876543210
11605 xxxxxxxxxxxxxxxxxxxxxx01x01101xx
11606 stp. */
11607 return 943;
11608 }
11609 }
11610 }
11611 else
11612 {
11613 if (((word >> 23) & 0x1) == 0)
11614 {
11615 if (((word >> 24) & 0x1) == 0)
11616 {
11617 if (((word >> 29) & 0x1) == 0)
11618 {
11619 /* 33222222222211111111110000000000
11620 10987654321098765432109876543210
11621 xxxxxxxxxxxxxxxxxxxxxx10001100xx
11622 ld4. */
11623 return 435;
11624 }
11625 else
11626 {
11627 /* 33222222222211111111110000000000
11628 10987654321098765432109876543210
11629 xxxxxxxxxxxxxxxxxxxxxx10001101xx
11630 ldnp. */
11631 return 935;
11632 }
11633 }
11634 else
11635 {
11636 if (((word >> 29) & 0x1) == 0)
11637 {
11638 if (((word >> 13) & 0x1) == 0)
11639 {
11640 if (((word >> 21) & 0x1) == 0)
11641 {
11642 /* 33222222222211111111110000000000
11643 10987654321098765432109876543210
11644 xxxxxxxxxxxxx0xxxxxxx010101100xx
11645 ld1. */
11646 return 451;
11647 }
11648 else
11649 {
11650 /* 33222222222211111111110000000000
11651 10987654321098765432109876543210
11652 xxxxxxxxxxxxx0xxxxxxx110101100xx
11653 ld2. */
11654 return 455;
11655 }
11656 }
11657 else
11658 {
11659 if (((word >> 21) & 0x1) == 0)
11660 {
11661 /* 33222222222211111111110000000000
11662 10987654321098765432109876543210
11663 xxxxxxxxxxxxx1xxxxxxx010101100xx
11664 ld3. */
11665 return 452;
11666 }
11667 else
11668 {
11669 /* 33222222222211111111110000000000
11670 10987654321098765432109876543210
11671 xxxxxxxxxxxxx1xxxxxxx110101100xx
11672 ld4. */
11673 return 456;
11674 }
11675 }
11676 }
11677 else
11678 {
11679 /* 33222222222211111111110000000000
11680 10987654321098765432109876543210
11681 xxxxxxxxxxxxxxxxxxxxxx10101101xx
11682 ldp. */
11683 return 939;
11684 }
11685 }
11686 }
11687 else
11688 {
11689 if (((word >> 29) & 0x1) == 0)
11690 {
11691 if (((word >> 21) & 0x1) == 0)
11692 {
11693 if (((word >> 24) & 0x1) == 0)
11694 {
11695 /* 33222222222211111111110000000000
11696 10987654321098765432109876543210
11697 xxxxxxxxxxxxxxxxxxxxx011001100xx
11698 ld4. */
11699 return 443;
11700 }
11701 else
11702 {
11703 if (((word >> 13) & 0x1) == 0)
11704 {
11705 /* 33222222222211111111110000000000
11706 10987654321098765432109876543210
11707 xxxxxxxxxxxxx0xxxxxxx011101100xx
11708 ld1. */
11709 return 463;
11710 }
11711 else
11712 {
11713 /* 33222222222211111111110000000000
11714 10987654321098765432109876543210
11715 xxxxxxxxxxxxx1xxxxxxx011101100xx
11716 ld3. */
11717 return 464;
11718 }
11719 }
11720 }
11721 else
11722 {
11723 if (((word >> 13) & 0x1) == 0)
11724 {
11725 /* 33222222222211111111110000000000
11726 10987654321098765432109876543210
11727 xxxxxxxxxxxxx0xxxxxxx111x01100xx
11728 ld2. */
11729 return 467;
11730 }
11731 else
11732 {
11733 /* 33222222222211111111110000000000
11734 10987654321098765432109876543210
11735 xxxxxxxxxxxxx1xxxxxxx111x01100xx
11736 ld4. */
11737 return 468;
11738 }
11739 }
11740 }
11741 else
11742 {
11743 /* 33222222222211111111110000000000
11744 10987654321098765432109876543210
11745 xxxxxxxxxxxxxxxxxxxxxx11x01101xx
11746 ldp. */
11747 return 944;
11748 }
11749 }
11750 }
11751 }
11752 else
11753 {
11754 if (((word >> 24) & 0x1) == 0)
11755 {
11756 if (((word >> 29) & 0x1) == 0)
11757 {
11758 /* 33222222222211111111110000000000
11759 10987654321098765432109876543210
11760 xxxxxxxxxxxxxxxxxxxxxxxx001110xx
11761 ldr. */
11762 return 947;
11763 }
11764 else
11765 {
11766 if (((word >> 10) & 0x1) == 0)
11767 {
11768 if (((word >> 11) & 0x1) == 0)
11769 {
11770 if (((word >> 22) & 0x1) == 0)
11771 {
11772 /* 33222222222211111111110000000000
11773 10987654321098765432109876543210
11774 xxxxxxxxxx00xxxxxxxxxx0x001111xx
11775 stur. */
11776 return 895;
11777 }
11778 else
11779 {
11780 /* 33222222222211111111110000000000
11781 10987654321098765432109876543210
11782 xxxxxxxxxx00xxxxxxxxxx1x001111xx
11783 ldur. */
11784 return 896;
11785 }
11786 }
11787 else
11788 {
11789 if (((word >> 22) & 0x1) == 0)
11790 {
11791 /* 33222222222211111111110000000000
11792 10987654321098765432109876543210
11793 xxxxxxxxxx01xxxxxxxxxx0x001111xx
11794 str. */
11795 return 874;
11796 }
11797 else
11798 {
11799 /* 33222222222211111111110000000000
11800 10987654321098765432109876543210
11801 xxxxxxxxxx01xxxxxxxxxx1x001111xx
11802 ldr. */
11803 return 875;
11804 }
11805 }
11806 }
11807 else
11808 {
11809 if (((word >> 22) & 0x1) == 0)
11810 {
11811 /* 33222222222211111111110000000000
11812 10987654321098765432109876543210
11813 xxxxxxxxxx1xxxxxxxxxxx0x001111xx
11814 str. */
11815 return 851;
11816 }
11817 else
11818 {
11819 /* 33222222222211111111110000000000
11820 10987654321098765432109876543210
11821 xxxxxxxxxx1xxxxxxxxxxx1x001111xx
11822 ldr. */
11823 return 852;
11824 }
11825 }
11826 }
11827 }
11828 else
11829 {
11830 if (((word >> 22) & 0x1) == 0)
11831 {
11832 /* 33222222222211111111110000000000
11833 10987654321098765432109876543210
11834 xxxxxxxxxxxxxxxxxxxxxx0x10111xxx
11835 str. */
11836 return 862;
11837 }
11838 else
11839 {
11840 /* 33222222222211111111110000000000
11841 10987654321098765432109876543210
11842 xxxxxxxxxxxxxxxxxxxxxx1x10111xxx
11843 ldr. */
11844 return 863;
11845 }
11846 }
11847 }
11848 }
11849 else
11850 {
11851 if (((word >> 24) & 0x1) == 0)
11852 {
11853 if (((word >> 21) & 0x1) == 0)
11854 {
11855 if (((word >> 28) & 0x1) == 0)
11856 {
11857 if (((word >> 10) & 0x1) == 0)
11858 {
11859 if (((word >> 29) & 0x1) == 0)
11860 {
11861 if (((word >> 11) & 0x1) == 0)
11862 {
11863 if (((word >> 12) & 0x1) == 0)
11864 {
11865 /* 33222222222211111111110000000000
11866 10987654321098765432109876543210
11867 xxxxxxxxxx000xxxxxxxx0xx011100xx
11868 tbl. */
11869 return 411;
11870 }
11871 else
11872 {
11873 /* 33222222222211111111110000000000
11874 10987654321098765432109876543210
11875 xxxxxxxxxx001xxxxxxxx0xx011100xx
11876 tbx. */
11877 return 412;
11878 }
11879 }
11880 else
11881 {
11882 if (((word >> 12) & 0x1) == 0)
11883 {
11884 if (((word >> 14) & 0x1) == 0)
11885 {
11886 /* 33222222222211111111110000000000
11887 10987654321098765432109876543210
11888 xxxxxxxxxx010x0xxxxxx0xx011100xx
11889 trn1. */
11890 return 256;
11891 }
11892 else
11893 {
11894 /* 33222222222211111111110000000000
11895 10987654321098765432109876543210
11896 xxxxxxxxxx010x1xxxxxx0xx011100xx
11897 trn2. */
11898 return 259;
11899 }
11900 }
11901 else
11902 {
11903 if (((word >> 13) & 0x1) == 0)
11904 {
11905 if (((word >> 14) & 0x1) == 0)
11906 {
11907 /* 33222222222211111111110000000000
11908 10987654321098765432109876543210
11909 xxxxxxxxxx01100xxxxxx0xx011100xx
11910 uzp1. */
11911 return 255;
11912 }
11913 else
11914 {
11915 /* 33222222222211111111110000000000
11916 10987654321098765432109876543210
11917 xxxxxxxxxx01101xxxxxx0xx011100xx
11918 uzp2. */
11919 return 258;
11920 }
11921 }
11922 else
11923 {
11924 if (((word >> 14) & 0x1) == 0)
11925 {
11926 /* 33222222222211111111110000000000
11927 10987654321098765432109876543210
11928 xxxxxxxxxx01110xxxxxx0xx011100xx
11929 zip1. */
11930 return 257;
11931 }
11932 else
11933 {
11934 /* 33222222222211111111110000000000
11935 10987654321098765432109876543210
11936 xxxxxxxxxx01111xxxxxx0xx011100xx
11937 zip2. */
11938 return 260;
11939 }
11940 }
11941 }
11942 }
11943 }
11944 else
11945 {
11946 /* 33222222222211111111110000000000
11947 10987654321098765432109876543210
11948 xxxxxxxxxx0xxxxxxxxxx0xx011101xx
11949 ext. */
11950 return 129;
11951 }
11952 }
11953 else
11954 {
11955 if (((word >> 15) & 0x1) == 0)
11956 {
11957 if (((word >> 22) & 0x1) == 0)
11958 {
11959 if (((word >> 29) & 0x1) == 0)
11960 {
11961 if (((word >> 11) & 0x1) == 0)
11962 {
11963 /* 33222222222211111111110000000000
11964 10987654321098765432109876543210
11965 xxxxxxxxxx10xxx0xxxxx00x011100xx
11966 dup. */
11967 return 146;
11968 }
11969 else
11970 {
11971 if (((word >> 12) & 0x1) == 0)
11972 {
11973 if (((word >> 13) & 0x1) == 0)
11974 {
11975 /* 33222222222211111111110000000000
11976 10987654321098765432109876543210
11977 xxxxxxxxxx1100x0xxxxx00x011100xx
11978 dup. */
11979 return 147;
11980 }
11981 else
11982 {
11983 /* 33222222222211111111110000000000
11984 10987654321098765432109876543210
11985 xxxxxxxxxx1101x0xxxxx00x011100xx
11986 smov. */
11987 return 148;
11988 }
11989 }
11990 else
11991 {
11992 if (((word >> 13) & 0x1) == 0)
11993 {
11994 /* 33222222222211111111110000000000
11995 10987654321098765432109876543210
11996 xxxxxxxxxx1110x0xxxxx00x011100xx
11997 ins. */
11998 return 151;
11999 }
12000 else
12001 {
12002 /* 33222222222211111111110000000000
12003 10987654321098765432109876543210
12004 xxxxxxxxxx1111x0xxxxx00x011100xx
12005 umov. */
12006 return 149;
12007 }
12008 }
12009 }
12010 }
12011 else
12012 {
12013 /* 33222222222211111111110000000000
12014 10987654321098765432109876543210
12015 xxxxxxxxxx1xxxx0xxxxx00x011101xx
12016 ins. */
12017 return 153;
12018 }
12019 }
12020 else
12021 {
12022 if (((word >> 11) & 0x1) == 0)
12023 {
12024 if (((word >> 12) & 0x1) == 0)
12025 {
12026 if (((word >> 13) & 0x1) == 0)
12027 {
12028 if (((word >> 23) & 0x1) == 0)
12029 {
12030 if (((word >> 29) & 0x1) == 0)
12031 {
12032 /* 33222222222211111111110000000000
12033 10987654321098765432109876543210
12034 xxxxxxxxxx1000x0xxxxx010011100xx
12035 fmaxnm. */
12036 return 285;
12037 }
12038 else
12039 {
12040 /* 33222222222211111111110000000000
12041 10987654321098765432109876543210
12042 xxxxxxxxxx1000x0xxxxx010011101xx
12043 fmaxnmp. */
12044 return 336;
12045 }
12046 }
12047 else
12048 {
12049 if (((word >> 29) & 0x1) == 0)
12050 {
12051 /* 33222222222211111111110000000000
12052 10987654321098765432109876543210
12053 xxxxxxxxxx1000x0xxxxx011011100xx
12054 fminnm. */
12055 return 301;
12056 }
12057 else
12058 {
12059 /* 33222222222211111111110000000000
12060 10987654321098765432109876543210
12061 xxxxxxxxxx1000x0xxxxx011011101xx
12062 fminnmp. */
12063 return 352;
12064 }
12065 }
12066 }
12067 else
12068 {
12069 if (((word >> 23) & 0x1) == 0)
12070 {
12071 if (((word >> 29) & 0x1) == 0)
12072 {
12073 /* 33222222222211111111110000000000
12074 10987654321098765432109876543210
12075 xxxxxxxxxx1001x0xxxxx010011100xx
12076 fcmeq. */
12077 return 293;
12078 }
12079 else
12080 {
12081 /* 33222222222211111111110000000000
12082 10987654321098765432109876543210
12083 xxxxxxxxxx1001x0xxxxx010011101xx
12084 fcmge. */
12085 return 342;
12086 }
12087 }
12088 else
12089 {
12090 /* 33222222222211111111110000000000
12091 10987654321098765432109876543210
12092 xxxxxxxxxx1001x0xxxxx01101110xxx
12093 fcmgt. */
12094 return 356;
12095 }
12096 }
12097 }
12098 else
12099 {
12100 if (((word >> 13) & 0x1) == 0)
12101 {
12102 if (((word >> 23) & 0x1) == 0)
12103 {
12104 if (((word >> 29) & 0x1) == 0)
12105 {
12106 /* 33222222222211111111110000000000
12107 10987654321098765432109876543210
12108 xxxxxxxxxx1010x0xxxxx010011100xx
12109 fadd. */
12110 return 289;
12111 }
12112 else
12113 {
12114 /* 33222222222211111111110000000000
12115 10987654321098765432109876543210
12116 xxxxxxxxxx1010x0xxxxx010011101xx
12117 faddp. */
12118 return 338;
12119 }
12120 }
12121 else
12122 {
12123 if (((word >> 29) & 0x1) == 0)
12124 {
12125 /* 33222222222211111111110000000000
12126 10987654321098765432109876543210
12127 xxxxxxxxxx1010x0xxxxx011011100xx
12128 fsub. */
12129 return 305;
12130 }
12131 else
12132 {
12133 /* 33222222222211111111110000000000
12134 10987654321098765432109876543210
12135 xxxxxxxxxx1010x0xxxxx011011101xx
12136 fabd. */
12137 return 354;
12138 }
12139 }
12140 }
12141 else
12142 {
12143 if (((word >> 23) & 0x1) == 0)
12144 {
12145 if (((word >> 29) & 0x1) == 0)
12146 {
12147 /* 33222222222211111111110000000000
12148 10987654321098765432109876543210
12149 xxxxxxxxxx1011x0xxxxx010011100xx
12150 fmax. */
12151 return 295;
12152 }
12153 else
12154 {
12155 /* 33222222222211111111110000000000
12156 10987654321098765432109876543210
12157 xxxxxxxxxx1011x0xxxxx010011101xx
12158 fmaxp. */
12159 return 346;
12160 }
12161 }
12162 else
12163 {
12164 if (((word >> 29) & 0x1) == 0)
12165 {
12166 /* 33222222222211111111110000000000
12167 10987654321098765432109876543210
12168 xxxxxxxxxx1011x0xxxxx011011100xx
12169 fmin. */
12170 return 307;
12171 }
12172 else
12173 {
12174 /* 33222222222211111111110000000000
12175 10987654321098765432109876543210
12176 xxxxxxxxxx1011x0xxxxx011011101xx
12177 fminp. */
12178 return 360;
12179 }
12180 }
12181 }
12182 }
12183 }
12184 else
12185 {
12186 if (((word >> 12) & 0x1) == 0)
12187 {
12188 if (((word >> 13) & 0x1) == 0)
12189 {
12190 if (((word >> 23) & 0x1) == 0)
12191 {
12192 /* 33222222222211111111110000000000
12193 10987654321098765432109876543210
12194 xxxxxxxxxx1100x0xxxxx01001110xxx
12195 fmla. */
12196 return 287;
12197 }
12198 else
12199 {
12200 /* 33222222222211111111110000000000
12201 10987654321098765432109876543210
12202 xxxxxxxxxx1100x0xxxxx01101110xxx
12203 fmls. */
12204 return 303;
12205 }
12206 }
12207 else
12208 {
12209 if (((word >> 23) & 0x1) == 0)
12210 {
12211 /* 33222222222211111111110000000000
12212 10987654321098765432109876543210
12213 xxxxxxxxxx1101x0xxxxx01001110xxx
12214 facge. */
12215 return 344;
12216 }
12217 else
12218 {
12219 /* 33222222222211111111110000000000
12220 10987654321098765432109876543210
12221 xxxxxxxxxx1101x0xxxxx01101110xxx
12222 facgt. */
12223 return 358;
12224 }
12225 }
12226 }
12227 else
12228 {
12229 if (((word >> 13) & 0x1) == 0)
12230 {
12231 if (((word >> 29) & 0x1) == 0)
12232 {
12233 /* 33222222222211111111110000000000
12234 10987654321098765432109876543210
12235 xxxxxxxxxx1110x0xxxxx01x011100xx
12236 fmulx. */
12237 return 291;
12238 }
12239 else
12240 {
12241 /* 33222222222211111111110000000000
12242 10987654321098765432109876543210
12243 xxxxxxxxxx1110x0xxxxx01x011101xx
12244 fmul. */
12245 return 340;
12246 }
12247 }
12248 else
12249 {
12250 if (((word >> 23) & 0x1) == 0)
12251 {
12252 if (((word >> 29) & 0x1) == 0)
12253 {
12254 /* 33222222222211111111110000000000
12255 10987654321098765432109876543210
12256 xxxxxxxxxx1111x0xxxxx010011100xx
12257 frecps. */
12258 return 297;
12259 }
12260 else
12261 {
12262 /* 33222222222211111111110000000000
12263 10987654321098765432109876543210
12264 xxxxxxxxxx1111x0xxxxx010011101xx
12265 fdiv. */
12266 return 348;
12267 }
12268 }
12269 else
12270 {
12271 /* 33222222222211111111110000000000
12272 10987654321098765432109876543210
12273 xxxxxxxxxx1111x0xxxxx01101110xxx
12274 frsqrts. */
12275 return 309;
12276 }
12277 }
12278 }
12279 }
12280 }
12281 }
12282 else
12283 {
12284 if (((word >> 11) & 0x1) == 0)
12285 {
12286 /* 33222222222211111111110000000000
12287 10987654321098765432109876543210
12288 xxxxxxxxxx10xxx1xxxxx0xx01110xxx
12289 sqrdmlah. */
12290 return 363;
12291 }
12292 else
12293 {
12294 /* 33222222222211111111110000000000
12295 10987654321098765432109876543210
12296 xxxxxxxxxx11xxx1xxxxx0xx01110xxx
12297 sqrdmlsh. */
12298 return 364;
12299 }
12300 }
12301 }
12302 }
12303 else
12304 {
12305 if (((word >> 29) & 0x1) == 0)
12306 {
12307 if (((word >> 30) & 0x1) == 0)
12308 {
12309 if (((word >> 16) & 0x1) == 0)
12310 {
12311 if (((word >> 17) & 0x1) == 0)
12312 {
12313 /* 33222222222211111111110000000000
12314 10987654321098765432109876543210
12315 xxxxxxxxxxxxxxxx00xxx0xx0111100x
12316 fcvtzs. */
12317 return 749;
12318 }
12319 else
12320 {
12321 /* 33222222222211111111110000000000
12322 10987654321098765432109876543210
12323 xxxxxxxxxxxxxxxx01xxx0xx0111100x
12324 scvtf. */
12325 return 745;
12326 }
12327 }
12328 else
12329 {
12330 if (((word >> 17) & 0x1) == 0)
12331 {
12332 /* 33222222222211111111110000000000
12333 10987654321098765432109876543210
12334 xxxxxxxxxxxxxxxx10xxx0xx0111100x
12335 fcvtzu. */
12336 return 751;
12337 }
12338 else
12339 {
12340 /* 33222222222211111111110000000000
12341 10987654321098765432109876543210
12342 xxxxxxxxxxxxxxxx11xxx0xx0111100x
12343 ucvtf. */
12344 return 747;
12345 }
12346 }
12347 }
12348 else
12349 {
12350 if (((word >> 10) & 0x1) == 0)
12351 {
12352 if (((word >> 12) & 0x1) == 0)
12353 {
12354 if (((word >> 13) & 0x1) == 0)
12355 {
12356 if (((word >> 14) & 0x1) == 0)
12357 {
12358 /* 33222222222211111111110000000000
12359 10987654321098765432109876543210
12360 xxxxxxxxxx0x000xxxxxx0xx0111101x
12361 sha1c. */
12362 return 669;
12363 }
12364 else
12365 {
12366 /* 33222222222211111111110000000000
12367 10987654321098765432109876543210
12368 xxxxxxxxxx0x001xxxxxx0xx0111101x
12369 sha256h. */
12370 return 673;
12371 }
12372 }
12373 else
12374 {
12375 if (((word >> 14) & 0x1) == 0)
12376 {
12377 /* 33222222222211111111110000000000
12378 10987654321098765432109876543210
12379 xxxxxxxxxx0x010xxxxxx0xx0111101x
12380 sha1m. */
12381 return 671;
12382 }
12383 else
12384 {
12385 /* 33222222222211111111110000000000
12386 10987654321098765432109876543210
12387 xxxxxxxxxx0x011xxxxxx0xx0111101x
12388 sha256su1. */
12389 return 675;
12390 }
12391 }
12392 }
12393 else
12394 {
12395 if (((word >> 13) & 0x1) == 0)
12396 {
12397 if (((word >> 14) & 0x1) == 0)
12398 {
12399 /* 33222222222211111111110000000000
12400 10987654321098765432109876543210
12401 xxxxxxxxxx0x100xxxxxx0xx0111101x
12402 sha1p. */
12403 return 670;
12404 }
12405 else
12406 {
12407 /* 33222222222211111111110000000000
12408 10987654321098765432109876543210
12409 xxxxxxxxxx0x101xxxxxx0xx0111101x
12410 sha256h2. */
12411 return 674;
12412 }
12413 }
12414 else
12415 {
12416 /* 33222222222211111111110000000000
12417 10987654321098765432109876543210
12418 xxxxxxxxxx0x11xxxxxxx0xx0111101x
12419 sha1su0. */
12420 return 672;
12421 }
12422 }
12423 }
12424 else
12425 {
12426 if (((word >> 11) & 0x1) == 0)
12427 {
12428 if (((word >> 13) & 0x1) == 0)
12429 {
12430 /* 33222222222211111111110000000000
12431 10987654321098765432109876543210
12432 xxxxxxxxxx10x0xxxxxxx0xx0111101x
12433 dup. */
12434 return 526;
12435 }
12436 else
12437 {
12438 /* 33222222222211111111110000000000
12439 10987654321098765432109876543210
12440 xxxxxxxxxx10x1xxxxxxx0xx0111101x
12441 fcmeq. */
12442 return 547;
12443 }
12444 }
12445 else
12446 {
12447 if (((word >> 13) & 0x1) == 0)
12448 {
12449 /* 33222222222211111111110000000000
12450 10987654321098765432109876543210
12451 xxxxxxxxxx11x0xxxxxxx0xx0111101x
12452 fmulx. */
12453 return 545;
12454 }
12455 else
12456 {
12457 if (((word >> 23) & 0x1) == 0)
12458 {
12459 /* 33222222222211111111110000000000
12460 10987654321098765432109876543210
12461 xxxxxxxxxx11x1xxxxxxx0x00111101x
12462 frecps. */
12463 return 549;
12464 }
12465 else
12466 {
12467 /* 33222222222211111111110000000000
12468 10987654321098765432109876543210
12469 xxxxxxxxxx11x1xxxxxxx0x10111101x
12470 frsqrts. */
12471 return 551;
12472 }
12473 }
12474 }
12475 }
12476 }
12477 }
12478 else
12479 {
12480 if (((word >> 11) & 0x1) == 0)
12481 {
12482 if (((word >> 12) & 0x1) == 0)
12483 {
12484 if (((word >> 13) & 0x1) == 0)
12485 {
12486 /* 33222222222211111111110000000000
12487 10987654321098765432109876543210
12488 xxxxxxxxxxx000xxxxxxx0xx011111xx
12489 sqrdmlah. */
12490 return 579;
12491 }
12492 else
12493 {
12494 if (((word >> 23) & 0x1) == 0)
12495 {
12496 /* 33222222222211111111110000000000
12497 10987654321098765432109876543210
12498 xxxxxxxxxxx001xxxxxxx0x0011111xx
12499 fcmge. */
12500 return 564;
12501 }
12502 else
12503 {
12504 /* 33222222222211111111110000000000
12505 10987654321098765432109876543210
12506 xxxxxxxxxxx001xxxxxxx0x1011111xx
12507 fcmgt. */
12508 return 570;
12509 }
12510 }
12511 }
12512 else
12513 {
12514 /* 33222222222211111111110000000000
12515 10987654321098765432109876543210
12516 xxxxxxxxxxx01xxxxxxxx0xx011111xx
12517 fabd. */
12518 return 568;
12519 }
12520 }
12521 else
12522 {
12523 if (((word >> 13) & 0x1) == 0)
12524 {
12525 /* 33222222222211111111110000000000
12526 10987654321098765432109876543210
12527 xxxxxxxxxxx1x0xxxxxxx0xx011111xx
12528 sqrdmlsh. */
12529 return 580;
12530 }
12531 else
12532 {
12533 if (((word >> 23) & 0x1) == 0)
12534 {
12535 /* 33222222222211111111110000000000
12536 10987654321098765432109876543210
12537 xxxxxxxxxxx1x1xxxxxxx0x0011111xx
12538 facge. */
12539 return 566;
12540 }
12541 else
12542 {
12543 /* 33222222222211111111110000000000
12544 10987654321098765432109876543210
12545 xxxxxxxxxxx1x1xxxxxxx0x1011111xx
12546 facgt. */
12547 return 572;
12548 }
12549 }
12550 }
12551 }
12552 }
12553 }
12554 else
12555 {
12556 if (((word >> 10) & 0x1) == 0)
12557 {
12558 if (((word >> 11) & 0x1) == 0)
12559 {
12560 if (((word >> 12) & 0x1) == 0)
12561 {
12562 if (((word >> 13) & 0x1) == 0)
12563 {
12564 if (((word >> 14) & 0x1) == 0)
12565 {
12566 if (((word >> 15) & 0x1) == 0)
12567 {
12568 if (((word >> 28) & 0x1) == 0)
12569 {
12570 if (((word >> 29) & 0x1) == 0)
12571 {
12572 if (((word >> 30) & 0x1) == 0)
12573 {
12574 /* 33222222222211111111110000000000
12575 10987654321098765432109876543210
12576 xxxxxxxxxx000000xxxxx1xx0111000x
12577 saddl. */
12578 return 42;
12579 }
12580 else
12581 {
12582 /* 33222222222211111111110000000000
12583 10987654321098765432109876543210
12584 xxxxxxxxxx000000xxxxx1xx0111001x
12585 saddl2. */
12586 return 43;
12587 }
12588 }
12589 else
12590 {
12591 if (((word >> 30) & 0x1) == 0)
12592 {
12593 /* 33222222222211111111110000000000
12594 10987654321098765432109876543210
12595 xxxxxxxxxx000000xxxxx1xx0111010x
12596 uaddl. */
12597 return 74;
12598 }
12599 else
12600 {
12601 /* 33222222222211111111110000000000
12602 10987654321098765432109876543210
12603 xxxxxxxxxx000000xxxxx1xx0111011x
12604 uaddl2. */
12605 return 75;
12606 }
12607 }
12608 }
12609 else
12610 {
12611 if (((word >> 16) & 0x1) == 0)
12612 {
12613 if (((word >> 17) & 0x1) == 0)
12614 {
12615 if (((word >> 18) & 0x1) == 0)
12616 {
12617 if (((word >> 19) & 0x1) == 0)
12618 {
12619 if (((word >> 20) & 0x1) == 0)
12620 {
12621 /* 33222222222211111111110000000000
12622 10987654321098765432109876543210
12623 xxxxxxxxxx000000000001xx01111xxx
12624 fcvtns. */
12625 return 753;
12626 }
12627 else
12628 {
12629 /* 33222222222211111111110000000000
12630 10987654321098765432109876543210
12631 xxxxxxxxxx000000000011xx01111xxx
12632 fcvtms. */
12633 return 773;
12634 }
12635 }
12636 else
12637 {
12638 if (((word >> 20) & 0x1) == 0)
12639 {
12640 /* 33222222222211111111110000000000
12641 10987654321098765432109876543210
12642 xxxxxxxxxx000000000101xx01111xxx
12643 fcvtps. */
12644 return 769;
12645 }
12646 else
12647 {
12648 /* 33222222222211111111110000000000
12649 10987654321098765432109876543210
12650 xxxxxxxxxx000000000111xx01111xxx
12651 fcvtzs. */
12652 return 777;
12653 }
12654 }
12655 }
12656 else
12657 {
12658 /* 33222222222211111111110000000000
12659 10987654321098765432109876543210
12660 xxxxxxxxxx000000001xx1xx01111xxx
12661 fcvtas. */
12662 return 761;
12663 }
12664 }
12665 else
12666 {
12667 if (((word >> 18) & 0x1) == 0)
12668 {
12669 /* 33222222222211111111110000000000
12670 10987654321098765432109876543210
12671 xxxxxxxxxx000000010xx1xx01111xxx
12672 scvtf. */
12673 return 757;
12674 }
12675 else
12676 {
12677 if (((word >> 19) & 0x1) == 0)
12678 {
12679 /* 33222222222211111111110000000000
12680 10987654321098765432109876543210
12681 xxxxxxxxxx0000000110x1xx01111xxx
12682 fmov. */
12683 return 765;
12684 }
12685 else
12686 {
12687 /* 33222222222211111111110000000000
12688 10987654321098765432109876543210
12689 xxxxxxxxxx0000000111x1xx01111xxx
12690 fmov. */
12691 return 781;
12692 }
12693 }
12694 }
12695 }
12696 else
12697 {
12698 if (((word >> 17) & 0x1) == 0)
12699 {
12700 if (((word >> 18) & 0x1) == 0)
12701 {
12702 if (((word >> 19) & 0x1) == 0)
12703 {
12704 if (((word >> 20) & 0x1) == 0)
12705 {
12706 /* 33222222222211111111110000000000
12707 10987654321098765432109876543210
12708 xxxxxxxxxx000000100001xx01111xxx
12709 fcvtnu. */
12710 return 755;
12711 }
12712 else
12713 {
12714 /* 33222222222211111111110000000000
12715 10987654321098765432109876543210
12716 xxxxxxxxxx000000100011xx01111xxx
12717 fcvtmu. */
12718 return 775;
12719 }
12720 }
12721 else
12722 {
12723 if (((word >> 20) & 0x1) == 0)
12724 {
12725 /* 33222222222211111111110000000000
12726 10987654321098765432109876543210
12727 xxxxxxxxxx000000100101xx01111xxx
12728 fcvtpu. */
12729 return 771;
12730 }
12731 else
12732 {
12733 /* 33222222222211111111110000000000
12734 10987654321098765432109876543210
12735 xxxxxxxxxx000000100111xx01111xxx
12736 fcvtzu. */
12737 return 779;
12738 }
12739 }
12740 }
12741 else
12742 {
12743 /* 33222222222211111111110000000000
12744 10987654321098765432109876543210
12745 xxxxxxxxxx000000101xx1xx01111xxx
12746 fcvtau. */
12747 return 763;
12748 }
12749 }
12750 else
12751 {
12752 if (((word >> 18) & 0x1) == 0)
12753 {
12754 /* 33222222222211111111110000000000
12755 10987654321098765432109876543210
12756 xxxxxxxxxx000000110xx1xx01111xxx
12757 ucvtf. */
12758 return 759;
12759 }
12760 else
12761 {
12762 if (((word >> 19) & 0x1) == 0)
12763 {
12764 /* 33222222222211111111110000000000
12765 10987654321098765432109876543210
12766 xxxxxxxxxx0000001110x1xx01111xxx
12767 fmov. */
12768 return 767;
12769 }
12770 else
12771 {
12772 /* 33222222222211111111110000000000
12773 10987654321098765432109876543210
12774 xxxxxxxxxx0000001111x1xx01111xxx
12775 fmov. */
12776 return 782;
12777 }
12778 }
12779 }
12780 }
12781 }
12782 }
12783 else
12784 {
12785 if (((word >> 29) & 0x1) == 0)
12786 {
12787 if (((word >> 30) & 0x1) == 0)
12788 {
12789 /* 33222222222211111111110000000000
12790 10987654321098765432109876543210
12791 xxxxxxxxxx000001xxxxx1xx0111x00x
12792 smlal. */
12793 return 58;
12794 }
12795 else
12796 {
12797 /* 33222222222211111111110000000000
12798 10987654321098765432109876543210
12799 xxxxxxxxxx000001xxxxx1xx0111x01x
12800 smlal2. */
12801 return 59;
12802 }
12803 }
12804 else
12805 {
12806 if (((word >> 30) & 0x1) == 0)
12807 {
12808 /* 33222222222211111111110000000000
12809 10987654321098765432109876543210
12810 xxxxxxxxxx000001xxxxx1xx0111x10x
12811 umlal. */
12812 return 90;
12813 }
12814 else
12815 {
12816 /* 33222222222211111111110000000000
12817 10987654321098765432109876543210
12818 xxxxxxxxxx000001xxxxx1xx0111x11x
12819 umlal2. */
12820 return 91;
12821 }
12822 }
12823 }
12824 }
12825 else
12826 {
12827 if (((word >> 28) & 0x1) == 0)
12828 {
12829 if (((word >> 15) & 0x1) == 0)
12830 {
12831 if (((word >> 29) & 0x1) == 0)
12832 {
12833 if (((word >> 30) & 0x1) == 0)
12834 {
12835 /* 33222222222211111111110000000000
12836 10987654321098765432109876543210
12837 xxxxxxxxxx000010xxxxx1xx0111000x
12838 addhn. */
12839 return 50;
12840 }
12841 else
12842 {
12843 /* 33222222222211111111110000000000
12844 10987654321098765432109876543210
12845 xxxxxxxxxx000010xxxxx1xx0111001x
12846 addhn2. */
12847 return 51;
12848 }
12849 }
12850 else
12851 {
12852 if (((word >> 30) & 0x1) == 0)
12853 {
12854 /* 33222222222211111111110000000000
12855 10987654321098765432109876543210
12856 xxxxxxxxxx000010xxxxx1xx0111010x
12857 raddhn. */
12858 return 82;
12859 }
12860 else
12861 {
12862 /* 33222222222211111111110000000000
12863 10987654321098765432109876543210
12864 xxxxxxxxxx000010xxxxx1xx0111011x
12865 raddhn2. */
12866 return 83;
12867 }
12868 }
12869 }
12870 else
12871 {
12872 if (((word >> 29) & 0x1) == 0)
12873 {
12874 if (((word >> 30) & 0x1) == 0)
12875 {
12876 /* 33222222222211111111110000000000
12877 10987654321098765432109876543210
12878 xxxxxxxxxx000011xxxxx1xx0111000x
12879 smull. */
12880 return 66;
12881 }
12882 else
12883 {
12884 /* 33222222222211111111110000000000
12885 10987654321098765432109876543210
12886 xxxxxxxxxx000011xxxxx1xx0111001x
12887 smull2. */
12888 return 67;
12889 }
12890 }
12891 else
12892 {
12893 if (((word >> 30) & 0x1) == 0)
12894 {
12895 /* 33222222222211111111110000000000
12896 10987654321098765432109876543210
12897 xxxxxxxxxx000011xxxxx1xx0111010x
12898 umull. */
12899 return 94;
12900 }
12901 else
12902 {
12903 /* 33222222222211111111110000000000
12904 10987654321098765432109876543210
12905 xxxxxxxxxx000011xxxxx1xx0111011x
12906 umull2. */
12907 return 95;
12908 }
12909 }
12910 }
12911 }
12912 else
12913 {
12914 if (((word >> 17) & 0x1) == 0)
12915 {
12916 if (((word >> 15) & 0x1) == 0)
12917 {
12918 if (((word >> 16) & 0x1) == 0)
12919 {
12920 if (((word >> 18) & 0x1) == 0)
12921 {
12922 /* 33222222222211111111110000000000
12923 10987654321098765432109876543210
12924 xxxxxxxxxx000010000xx1xx01111xxx
12925 fmov. */
12926 return 795;
12927 }
12928 else
12929 {
12930 /* 33222222222211111111110000000000
12931 10987654321098765432109876543210
12932 xxxxxxxxxx000010001xx1xx01111xxx
12933 frintn. */
12934 return 804;
12935 }
12936 }
12937 else
12938 {
12939 if (((word >> 18) & 0x1) == 0)
12940 {
12941 /* 33222222222211111111110000000000
12942 10987654321098765432109876543210
12943 xxxxxxxxxx000010100xx1xx01111xxx
12944 fneg. */
12945 return 799;
12946 }
12947 else
12948 {
12949 /* 33222222222211111111110000000000
12950 10987654321098765432109876543210
12951 xxxxxxxxxx000010101xx1xx01111xxx
12952 frintm. */
12953 return 808;
12954 }
12955 }
12956 }
12957 else
12958 {
12959 if (((word >> 16) & 0x1) == 0)
12960 {
12961 if (((word >> 18) & 0x1) == 0)
12962 {
12963 /* 33222222222211111111110000000000
12964 10987654321098765432109876543210
12965 xxxxxxxxxx000011000xx1xx01111xxx
12966 fabs. */
12967 return 797;
12968 }
12969 else
12970 {
12971 /* 33222222222211111111110000000000
12972 10987654321098765432109876543210
12973 xxxxxxxxxx000011001xx1xx01111xxx
12974 frintp. */
12975 return 806;
12976 }
12977 }
12978 else
12979 {
12980 if (((word >> 18) & 0x1) == 0)
12981 {
12982 /* 33222222222211111111110000000000
12983 10987654321098765432109876543210
12984 xxxxxxxxxx000011100xx1xx01111xxx
12985 fsqrt. */
12986 return 801;
12987 }
12988 else
12989 {
12990 /* 33222222222211111111110000000000
12991 10987654321098765432109876543210
12992 xxxxxxxxxx000011101xx1xx01111xxx
12993 frintz. */
12994 return 810;
12995 }
12996 }
12997 }
12998 }
12999 else
13000 {
13001 if (((word >> 18) & 0x1) == 0)
13002 {
13003 /* 33222222222211111111110000000000
13004 10987654321098765432109876543210
13005 xxxxxxxxxx00001xx10xx1xx01111xxx
13006 fcvt. */
13007 return 803;
13008 }
13009 else
13010 {
13011 if (((word >> 15) & 0x1) == 0)
13012 {
13013 if (((word >> 16) & 0x1) == 0)
13014 {
13015 /* 33222222222211111111110000000000
13016 10987654321098765432109876543210
13017 xxxxxxxxxx000010011xx1xx01111xxx
13018 frinta. */
13019 return 812;
13020 }
13021 else
13022 {
13023 /* 33222222222211111111110000000000
13024 10987654321098765432109876543210
13025 xxxxxxxxxx000010111xx1xx01111xxx
13026 frintx. */
13027 return 814;
13028 }
13029 }
13030 else
13031 {
13032 /* 33222222222211111111110000000000
13033 10987654321098765432109876543210
13034 xxxxxxxxxx000011x11xx1xx01111xxx
13035 frinti. */
13036 return 816;
13037 }
13038 }
13039 }
13040 }
13041 }
13042 }
13043 else
13044 {
13045 if (((word >> 14) & 0x1) == 0)
13046 {
13047 if (((word >> 15) & 0x1) == 0)
13048 {
13049 if (((word >> 28) & 0x1) == 0)
13050 {
13051 if (((word >> 29) & 0x1) == 0)
13052 {
13053 if (((word >> 30) & 0x1) == 0)
13054 {
13055 /* 33222222222211111111110000000000
13056 10987654321098765432109876543210
13057 xxxxxxxxxx000100xxxxx1xx0111000x
13058 ssubl. */
13059 return 46;
13060 }
13061 else
13062 {
13063 /* 33222222222211111111110000000000
13064 10987654321098765432109876543210
13065 xxxxxxxxxx000100xxxxx1xx0111001x
13066 ssubl2. */
13067 return 47;
13068 }
13069 }
13070 else
13071 {
13072 if (((word >> 30) & 0x1) == 0)
13073 {
13074 /* 33222222222211111111110000000000
13075 10987654321098765432109876543210
13076 xxxxxxxxxx000100xxxxx1xx0111010x
13077 usubl. */
13078 return 78;
13079 }
13080 else
13081 {
13082 /* 33222222222211111111110000000000
13083 10987654321098765432109876543210
13084 xxxxxxxxxx000100xxxxx1xx0111011x
13085 usubl2. */
13086 return 79;
13087 }
13088 }
13089 }
13090 else
13091 {
13092 if (((word >> 3) & 0x1) == 0)
13093 {
13094 if (((word >> 4) & 0x1) == 0)
13095 {
13096 /* 33222222222211111111110000000000
13097 10987654321098765432109876543210
13098 xxx00xxxxx000100xxxxx1xx01111xxx
13099 fcmp. */
13100 return 787;
13101 }
13102 else
13103 {
13104 /* 33222222222211111111110000000000
13105 10987654321098765432109876543210
13106 xxx01xxxxx000100xxxxx1xx01111xxx
13107 fcmpe. */
13108 return 789;
13109 }
13110 }
13111 else
13112 {
13113 if (((word >> 4) & 0x1) == 0)
13114 {
13115 /* 33222222222211111111110000000000
13116 10987654321098765432109876543210
13117 xxx10xxxxx000100xxxxx1xx01111xxx
13118 fcmp. */
13119 return 791;
13120 }
13121 else
13122 {
13123 /* 33222222222211111111110000000000
13124 10987654321098765432109876543210
13125 xxx11xxxxx000100xxxxx1xx01111xxx
13126 fcmpe. */
13127 return 793;
13128 }
13129 }
13130 }
13131 }
13132 else
13133 {
13134 if (((word >> 29) & 0x1) == 0)
13135 {
13136 if (((word >> 30) & 0x1) == 0)
13137 {
13138 /* 33222222222211111111110000000000
13139 10987654321098765432109876543210
13140 xxxxxxxxxx000101xxxxx1xx0111x00x
13141 smlsl. */
13142 return 62;
13143 }
13144 else
13145 {
13146 /* 33222222222211111111110000000000
13147 10987654321098765432109876543210
13148 xxxxxxxxxx000101xxxxx1xx0111x01x
13149 smlsl2. */
13150 return 63;
13151 }
13152 }
13153 else
13154 {
13155 if (((word >> 30) & 0x1) == 0)
13156 {
13157 /* 33222222222211111111110000000000
13158 10987654321098765432109876543210
13159 xxxxxxxxxx000101xxxxx1xx0111x10x
13160 umlsl. */
13161 return 92;
13162 }
13163 else
13164 {
13165 /* 33222222222211111111110000000000
13166 10987654321098765432109876543210
13167 xxxxxxxxxx000101xxxxx1xx0111x11x
13168 umlsl2. */
13169 return 93;
13170 }
13171 }
13172 }
13173 }
13174 else
13175 {
13176 if (((word >> 15) & 0x1) == 0)
13177 {
13178 if (((word >> 29) & 0x1) == 0)
13179 {
13180 if (((word >> 30) & 0x1) == 0)
13181 {
13182 /* 33222222222211111111110000000000
13183 10987654321098765432109876543210
13184 xxxxxxxxxx000110xxxxx1xx0111x00x
13185 subhn. */
13186 return 54;
13187 }
13188 else
13189 {
13190 /* 33222222222211111111110000000000
13191 10987654321098765432109876543210
13192 xxxxxxxxxx000110xxxxx1xx0111x01x
13193 subhn2. */
13194 return 55;
13195 }
13196 }
13197 else
13198 {
13199 if (((word >> 30) & 0x1) == 0)
13200 {
13201 /* 33222222222211111111110000000000
13202 10987654321098765432109876543210
13203 xxxxxxxxxx000110xxxxx1xx0111x10x
13204 rsubhn. */
13205 return 86;
13206 }
13207 else
13208 {
13209 /* 33222222222211111111110000000000
13210 10987654321098765432109876543210
13211 xxxxxxxxxx000110xxxxx1xx0111x11x
13212 rsubhn2. */
13213 return 87;
13214 }
13215 }
13216 }
13217 else
13218 {
13219 if (((word >> 22) & 0x1) == 0)
13220 {
13221 if (((word >> 30) & 0x1) == 0)
13222 {
13223 /* 33222222222211111111110000000000
13224 10987654321098765432109876543210
13225 xxxxxxxxxx000111xxxxx10x0111xx0x
13226 pmull. */
13227 return 70;
13228 }
13229 else
13230 {
13231 /* 33222222222211111111110000000000
13232 10987654321098765432109876543210
13233 xxxxxxxxxx000111xxxxx10x0111xx1x
13234 pmull2. */
13235 return 72;
13236 }
13237 }
13238 else
13239 {
13240 if (((word >> 30) & 0x1) == 0)
13241 {
13242 /* 33222222222211111111110000000000
13243 10987654321098765432109876543210
13244 xxxxxxxxxx000111xxxxx11x0111xx0x
13245 pmull. */
13246 return 71;
13247 }
13248 else
13249 {
13250 /* 33222222222211111111110000000000
13251 10987654321098765432109876543210
13252 xxxxxxxxxx000111xxxxx11x0111xx1x
13253 pmull2. */
13254 return 73;
13255 }
13256 }
13257 }
13258 }
13259 }
13260 }
13261 else
13262 {
13263 if (((word >> 28) & 0x1) == 0)
13264 {
13265 if (((word >> 13) & 0x1) == 0)
13266 {
13267 if (((word >> 14) & 0x1) == 0)
13268 {
13269 if (((word >> 15) & 0x1) == 0)
13270 {
13271 if (((word >> 29) & 0x1) == 0)
13272 {
13273 if (((word >> 30) & 0x1) == 0)
13274 {
13275 /* 33222222222211111111110000000000
13276 10987654321098765432109876543210
13277 xxxxxxxxxx001000xxxxx1xx0111000x
13278 saddw. */
13279 return 44;
13280 }
13281 else
13282 {
13283 /* 33222222222211111111110000000000
13284 10987654321098765432109876543210
13285 xxxxxxxxxx001000xxxxx1xx0111001x
13286 saddw2. */
13287 return 45;
13288 }
13289 }
13290 else
13291 {
13292 if (((word >> 30) & 0x1) == 0)
13293 {
13294 /* 33222222222211111111110000000000
13295 10987654321098765432109876543210
13296 xxxxxxxxxx001000xxxxx1xx0111010x
13297 uaddw. */
13298 return 76;
13299 }
13300 else
13301 {
13302 /* 33222222222211111111110000000000
13303 10987654321098765432109876543210
13304 xxxxxxxxxx001000xxxxx1xx0111011x
13305 uaddw2. */
13306 return 77;
13307 }
13308 }
13309 }
13310 else
13311 {
13312 if (((word >> 30) & 0x1) == 0)
13313 {
13314 /* 33222222222211111111110000000000
13315 10987654321098765432109876543210
13316 xxxxxxxxxx001001xxxxx1xx01110x0x
13317 sqdmlal. */
13318 return 60;
13319 }
13320 else
13321 {
13322 /* 33222222222211111111110000000000
13323 10987654321098765432109876543210
13324 xxxxxxxxxx001001xxxxx1xx01110x1x
13325 sqdmlal2. */
13326 return 61;
13327 }
13328 }
13329 }
13330 else
13331 {
13332 if (((word >> 15) & 0x1) == 0)
13333 {
13334 if (((word >> 29) & 0x1) == 0)
13335 {
13336 if (((word >> 30) & 0x1) == 0)
13337 {
13338 /* 33222222222211111111110000000000
13339 10987654321098765432109876543210
13340 xxxxxxxxxx001010xxxxx1xx0111000x
13341 sabal. */
13342 return 52;
13343 }
13344 else
13345 {
13346 /* 33222222222211111111110000000000
13347 10987654321098765432109876543210
13348 xxxxxxxxxx001010xxxxx1xx0111001x
13349 sabal2. */
13350 return 53;
13351 }
13352 }
13353 else
13354 {
13355 if (((word >> 30) & 0x1) == 0)
13356 {
13357 /* 33222222222211111111110000000000
13358 10987654321098765432109876543210
13359 xxxxxxxxxx001010xxxxx1xx0111010x
13360 uabal. */
13361 return 84;
13362 }
13363 else
13364 {
13365 /* 33222222222211111111110000000000
13366 10987654321098765432109876543210
13367 xxxxxxxxxx001010xxxxx1xx0111011x
13368 uabal2. */
13369 return 85;
13370 }
13371 }
13372 }
13373 else
13374 {
13375 if (((word >> 30) & 0x1) == 0)
13376 {
13377 /* 33222222222211111111110000000000
13378 10987654321098765432109876543210
13379 xxxxxxxxxx001011xxxxx1xx01110x0x
13380 sqdmull. */
13381 return 68;
13382 }
13383 else
13384 {
13385 /* 33222222222211111111110000000000
13386 10987654321098765432109876543210
13387 xxxxxxxxxx001011xxxxx1xx01110x1x
13388 sqdmull2. */
13389 return 69;
13390 }
13391 }
13392 }
13393 }
13394 else
13395 {
13396 if (((word >> 14) & 0x1) == 0)
13397 {
13398 if (((word >> 15) & 0x1) == 0)
13399 {
13400 if (((word >> 29) & 0x1) == 0)
13401 {
13402 if (((word >> 30) & 0x1) == 0)
13403 {
13404 /* 33222222222211111111110000000000
13405 10987654321098765432109876543210
13406 xxxxxxxxxx001100xxxxx1xx0111000x
13407 ssubw. */
13408 return 48;
13409 }
13410 else
13411 {
13412 /* 33222222222211111111110000000000
13413 10987654321098765432109876543210
13414 xxxxxxxxxx001100xxxxx1xx0111001x
13415 ssubw2. */
13416 return 49;
13417 }
13418 }
13419 else
13420 {
13421 if (((word >> 30) & 0x1) == 0)
13422 {
13423 /* 33222222222211111111110000000000
13424 10987654321098765432109876543210
13425 xxxxxxxxxx001100xxxxx1xx0111010x
13426 usubw. */
13427 return 80;
13428 }
13429 else
13430 {
13431 /* 33222222222211111111110000000000
13432 10987654321098765432109876543210
13433 xxxxxxxxxx001100xxxxx1xx0111011x
13434 usubw2. */
13435 return 81;
13436 }
13437 }
13438 }
13439 else
13440 {
13441 if (((word >> 30) & 0x1) == 0)
13442 {
13443 /* 33222222222211111111110000000000
13444 10987654321098765432109876543210
13445 xxxxxxxxxx001101xxxxx1xx01110x0x
13446 sqdmlsl. */
13447 return 64;
13448 }
13449 else
13450 {
13451 /* 33222222222211111111110000000000
13452 10987654321098765432109876543210
13453 xxxxxxxxxx001101xxxxx1xx01110x1x
13454 sqdmlsl2. */
13455 return 65;
13456 }
13457 }
13458 }
13459 else
13460 {
13461 if (((word >> 29) & 0x1) == 0)
13462 {
13463 if (((word >> 30) & 0x1) == 0)
13464 {
13465 /* 33222222222211111111110000000000
13466 10987654321098765432109876543210
13467 xxxxxxxxxx00111xxxxxx1xx0111000x
13468 sabdl. */
13469 return 56;
13470 }
13471 else
13472 {
13473 /* 33222222222211111111110000000000
13474 10987654321098765432109876543210
13475 xxxxxxxxxx00111xxxxxx1xx0111001x
13476 sabdl2. */
13477 return 57;
13478 }
13479 }
13480 else
13481 {
13482 if (((word >> 30) & 0x1) == 0)
13483 {
13484 /* 33222222222211111111110000000000
13485 10987654321098765432109876543210
13486 xxxxxxxxxx00111xxxxxx1xx0111010x
13487 uabdl. */
13488 return 88;
13489 }
13490 else
13491 {
13492 /* 33222222222211111111110000000000
13493 10987654321098765432109876543210
13494 xxxxxxxxxx00111xxxxxx1xx0111011x
13495 uabdl2. */
13496 return 89;
13497 }
13498 }
13499 }
13500 }
13501 }
13502 else
13503 {
13504 if (((word >> 30) & 0x1) == 0)
13505 {
13506 /* 33222222222211111111110000000000
13507 10987654321098765432109876543210
13508 xxxxxxxxxx001xxxxxxxx1xx01111x0x
13509 fmov. */
13510 return 844;
13511 }
13512 else
13513 {
13514 if (((word >> 13) & 0x1) == 0)
13515 {
13516 if (((word >> 14) & 0x1) == 0)
13517 {
13518 /* 33222222222211111111110000000000
13519 10987654321098765432109876543210
13520 xxxxxxxxxx00100xxxxxx1xx01111x1x
13521 sqdmlal. */
13522 return 413;
13523 }
13524 else
13525 {
13526 /* 33222222222211111111110000000000
13527 10987654321098765432109876543210
13528 xxxxxxxxxx00101xxxxxx1xx01111x1x
13529 sqdmull. */
13530 return 415;
13531 }
13532 }
13533 else
13534 {
13535 /* 33222222222211111111110000000000
13536 10987654321098765432109876543210
13537 xxxxxxxxxx0011xxxxxxx1xx01111x1x
13538 sqdmlsl. */
13539 return 414;
13540 }
13541 }
13542 }
13543 }
13544 }
13545 else
13546 {
13547 if (((word >> 12) & 0x1) == 0)
13548 {
13549 if (((word >> 13) & 0x1) == 0)
13550 {
13551 if (((word >> 14) & 0x1) == 0)
13552 {
13553 if (((word >> 15) & 0x1) == 0)
13554 {
13555 if (((word >> 28) & 0x1) == 0)
13556 {
13557 if (((word >> 29) & 0x1) == 0)
13558 {
13559 /* 33222222222211111111110000000000
13560 10987654321098765432109876543210
13561 xxxxxxxxxx010000xxxxx1xx011100xx
13562 rev64. */
13563 return 155;
13564 }
13565 else
13566 {
13567 /* 33222222222211111111110000000000
13568 10987654321098765432109876543210
13569 xxxxxxxxxx010000xxxxx1xx011101xx
13570 rev32. */
13571 return 206;
13572 }
13573 }
13574 else
13575 {
13576 if (((word >> 30) & 0x1) == 0)
13577 {
13578 /* 33222222222211111111110000000000
13579 10987654321098765432109876543210
13580 xxxxxxxxxx010000xxxxx1xx01111x0x
13581 fmul. */
13582 return 818;
13583 }
13584 else
13585 {
13586 /* 33222222222211111111110000000000
13587 10987654321098765432109876543210
13588 xxxxxxxxxx010000xxxxx1xx01111x1x
13589 sha1h. */
13590 return 666;
13591 }
13592 }
13593 }
13594 else
13595 {
13596 if (((word >> 28) & 0x1) == 0)
13597 {
13598 if (((word >> 16) & 0x1) == 0)
13599 {
13600 if (((word >> 29) & 0x1) == 0)
13601 {
13602 /* 33222222222211111111110000000000
13603 10987654321098765432109876543210
13604 xxxxxxxxxx0100010xxxx1xx011100xx
13605 cmgt. */
13606 return 163;
13607 }
13608 else
13609 {
13610 /* 33222222222211111111110000000000
13611 10987654321098765432109876543210
13612 xxxxxxxxxx0100010xxxx1xx011101xx
13613 cmge. */
13614 return 212;
13615 }
13616 }
13617 else
13618 {
13619 if (((word >> 19) & 0x1) == 0)
13620 {
13621 if (((word >> 23) & 0x1) == 0)
13622 {
13623 if (((word >> 29) & 0x1) == 0)
13624 {
13625 /* 33222222222211111111110000000000
13626 10987654321098765432109876543210
13627 xxxxxxxxxx0100011xx0x1x0011100xx
13628 frintn. */
13629 return 175;
13630 }
13631 else
13632 {
13633 /* 33222222222211111111110000000000
13634 10987654321098765432109876543210
13635 xxxxxxxxxx0100011xx0x1x0011101xx
13636 frinta. */
13637 return 223;
13638 }
13639 }
13640 else
13641 {
13642 /* 33222222222211111111110000000000
13643 10987654321098765432109876543210
13644 xxxxxxxxxx0100011xx0x1x101110xxx
13645 frintp. */
13646 return 195;
13647 }
13648 }
13649 else
13650 {
13651 if (((word >> 23) & 0x1) == 0)
13652 {
13653 if (((word >> 29) & 0x1) == 0)
13654 {
13655 /* 33222222222211111111110000000000
13656 10987654321098765432109876543210
13657 xxxxxxxxxx0100011xx1x1x0011100xx
13658 frintn. */
13659 return 176;
13660 }
13661 else
13662 {
13663 /* 33222222222211111111110000000000
13664 10987654321098765432109876543210
13665 xxxxxxxxxx0100011xx1x1x0011101xx
13666 frinta. */
13667 return 224;
13668 }
13669 }
13670 else
13671 {
13672 /* 33222222222211111111110000000000
13673 10987654321098765432109876543210
13674 xxxxxxxxxx0100011xx1x1x101110xxx
13675 frintp. */
13676 return 196;
13677 }
13678 }
13679 }
13680 }
13681 else
13682 {
13683 if (((word >> 29) & 0x1) == 0)
13684 {
13685 if (((word >> 30) & 0x1) == 0)
13686 {
13687 /* 33222222222211111111110000000000
13688 10987654321098765432109876543210
13689 xxxxxxxxxx010001xxxxx1xx0111100x
13690 fnmul. */
13691 return 834;
13692 }
13693 else
13694 {
13695 /* 33222222222211111111110000000000
13696 10987654321098765432109876543210
13697 xxxxxxxxxx010001xxxxx1xx0111101x
13698 cmgt. */
13699 return 473;
13700 }
13701 }
13702 else
13703 {
13704 /* 33222222222211111111110000000000
13705 10987654321098765432109876543210
13706 xxxxxxxxxx010001xxxxx1xx011111xx
13707 cmge. */
13708 return 502;
13709 }
13710 }
13711 }
13712 }
13713 else
13714 {
13715 if (((word >> 15) & 0x1) == 0)
13716 {
13717 if (((word >> 28) & 0x1) == 0)
13718 {
13719 if (((word >> 16) & 0x1) == 0)
13720 {
13721 if (((word >> 19) & 0x1) == 0)
13722 {
13723 if (((word >> 29) & 0x1) == 0)
13724 {
13725 /* 33222222222211111111110000000000
13726 10987654321098765432109876543210
13727 xxxxxxxxxx0100100xx0x1xx011100xx
13728 cls. */
13729 return 159;
13730 }
13731 else
13732 {
13733 /* 33222222222211111111110000000000
13734 10987654321098765432109876543210
13735 xxxxxxxxxx0100100xx0x1xx011101xx
13736 clz. */
13737 return 209;
13738 }
13739 }
13740 else
13741 {
13742 /* 33222222222211111111110000000000
13743 10987654321098765432109876543210
13744 xxxxxxxxxx0100100xx1x1xx01110xxx
13745 aese. */
13746 return 662;
13747 }
13748 }
13749 else
13750 {
13751 if (((word >> 29) & 0x1) == 0)
13752 {
13753 if (((word >> 30) & 0x1) == 0)
13754 {
13755 /* 33222222222211111111110000000000
13756 10987654321098765432109876543210
13757 xxxxxxxxxx0100101xxxx1xx0111000x
13758 sqxtn. */
13759 return 169;
13760 }
13761 else
13762 {
13763 /* 33222222222211111111110000000000
13764 10987654321098765432109876543210
13765 xxxxxxxxxx0100101xxxx1xx0111001x
13766 sqxtn2. */
13767 return 170;
13768 }
13769 }
13770 else
13771 {
13772 if (((word >> 30) & 0x1) == 0)
13773 {
13774 /* 33222222222211111111110000000000
13775 10987654321098765432109876543210
13776 xxxxxxxxxx0100101xxxx1xx0111010x
13777 uqxtn. */
13778 return 219;
13779 }
13780 else
13781 {
13782 /* 33222222222211111111110000000000
13783 10987654321098765432109876543210
13784 xxxxxxxxxx0100101xxxx1xx0111011x
13785 uqxtn2. */
13786 return 220;
13787 }
13788 }
13789 }
13790 }
13791 else
13792 {
13793 if (((word >> 29) & 0x1) == 0)
13794 {
13795 if (((word >> 30) & 0x1) == 0)
13796 {
13797 /* 33222222222211111111110000000000
13798 10987654321098765432109876543210
13799 xxxxxxxxxx010010xxxxx1xx0111100x
13800 fmax. */
13801 return 826;
13802 }
13803 else
13804 {
13805 /* 33222222222211111111110000000000
13806 10987654321098765432109876543210
13807 xxxxxxxxxx010010xxxxx1xx0111101x
13808 sqxtn. */
13809 return 477;
13810 }
13811 }
13812 else
13813 {
13814 /* 33222222222211111111110000000000
13815 10987654321098765432109876543210
13816 xxxxxxxxxx010010xxxxx1xx011111xx
13817 uqxtn. */
13818 return 506;
13819 }
13820 }
13821 }
13822 else
13823 {
13824 if (((word >> 16) & 0x1) == 0)
13825 {
13826 if (((word >> 19) & 0x1) == 0)
13827 {
13828 if (((word >> 20) & 0x1) == 0)
13829 {
13830 if (((word >> 28) & 0x1) == 0)
13831 {
13832 if (((word >> 29) & 0x1) == 0)
13833 {
13834 /* 33222222222211111111110000000000
13835 10987654321098765432109876543210
13836 xxxxxxxxxx0100110xx001xx011100xx
13837 fcmgt. */
13838 return 187;
13839 }
13840 else
13841 {
13842 /* 33222222222211111111110000000000
13843 10987654321098765432109876543210
13844 xxxxxxxxxx0100110xx001xx011101xx
13845 fcmge. */
13846 return 238;
13847 }
13848 }
13849 else
13850 {
13851 if (((word >> 29) & 0x1) == 0)
13852 {
13853 /* 33222222222211111111110000000000
13854 10987654321098765432109876543210
13855 xxxxxxxxxx0100110xx001xx011110xx
13856 fcmgt. */
13857 return 486;
13858 }
13859 else
13860 {
13861 /* 33222222222211111111110000000000
13862 10987654321098765432109876543210
13863 xxxxxxxxxx0100110xx001xx011111xx
13864 fcmge. */
13865 return 516;
13866 }
13867 }
13868 }
13869 else
13870 {
13871 if (((word >> 23) & 0x1) == 0)
13872 {
13873 if (((word >> 28) & 0x1) == 0)
13874 {
13875 if (((word >> 29) & 0x1) == 0)
13876 {
13877 /* 33222222222211111111110000000000
13878 10987654321098765432109876543210
13879 xxxxxxxxxx0100110xx011x0011100xx
13880 fmaxnmv. */
13881 return 35;
13882 }
13883 else
13884 {
13885 /* 33222222222211111111110000000000
13886 10987654321098765432109876543210
13887 xxxxxxxxxx0100110xx011x0011101xx
13888 fmaxnmv. */
13889 return 34;
13890 }
13891 }
13892 else
13893 {
13894 if (((word >> 29) & 0x1) == 0)
13895 {
13896 /* 33222222222211111111110000000000
13897 10987654321098765432109876543210
13898 xxxxxxxxxx0100110xx011x0011110xx
13899 fmaxnmp. */
13900 return 530;
13901 }
13902 else
13903 {
13904 /* 33222222222211111111110000000000
13905 10987654321098765432109876543210
13906 xxxxxxxxxx0100110xx011x0011111xx
13907 fmaxnmp. */
13908 return 529;
13909 }
13910 }
13911 }
13912 else
13913 {
13914 if (((word >> 28) & 0x1) == 0)
13915 {
13916 if (((word >> 29) & 0x1) == 0)
13917 {
13918 /* 33222222222211111111110000000000
13919 10987654321098765432109876543210
13920 xxxxxxxxxx0100110xx011x1011100xx
13921 fminnmv. */
13922 return 39;
13923 }
13924 else
13925 {
13926 /* 33222222222211111111110000000000
13927 10987654321098765432109876543210
13928 xxxxxxxxxx0100110xx011x1011101xx
13929 fminnmv. */
13930 return 38;
13931 }
13932 }
13933 else
13934 {
13935 if (((word >> 29) & 0x1) == 0)
13936 {
13937 /* 33222222222211111111110000000000
13938 10987654321098765432109876543210
13939 xxxxxxxxxx0100110xx011x1011110xx
13940 fminnmp. */
13941 return 536;
13942 }
13943 else
13944 {
13945 /* 33222222222211111111110000000000
13946 10987654321098765432109876543210
13947 xxxxxxxxxx0100110xx011x1011111xx
13948 fminnmp. */
13949 return 535;
13950 }
13951 }
13952 }
13953 }
13954 }
13955 else
13956 {
13957 if (((word >> 28) & 0x1) == 0)
13958 {
13959 if (((word >> 29) & 0x1) == 0)
13960 {
13961 /* 33222222222211111111110000000000
13962 10987654321098765432109876543210
13963 xxxxxxxxxx0100110xx1x1xx011100xx
13964 fcmgt. */
13965 return 188;
13966 }
13967 else
13968 {
13969 /* 33222222222211111111110000000000
13970 10987654321098765432109876543210
13971 xxxxxxxxxx0100110xx1x1xx011101xx
13972 fcmge. */
13973 return 239;
13974 }
13975 }
13976 else
13977 {
13978 if (((word >> 29) & 0x1) == 0)
13979 {
13980 /* 33222222222211111111110000000000
13981 10987654321098765432109876543210
13982 xxxxxxxxxx0100110xx1x1xx011110xx
13983 fcmgt. */
13984 return 487;
13985 }
13986 else
13987 {
13988 /* 33222222222211111111110000000000
13989 10987654321098765432109876543210
13990 xxxxxxxxxx0100110xx1x1xx011111xx
13991 fcmge. */
13992 return 517;
13993 }
13994 }
13995 }
13996 }
13997 else
13998 {
13999 if (((word >> 19) & 0x1) == 0)
14000 {
14001 if (((word >> 23) & 0x1) == 0)
14002 {
14003 if (((word >> 28) & 0x1) == 0)
14004 {
14005 if (((word >> 29) & 0x1) == 0)
14006 {
14007 /* 33222222222211111111110000000000
14008 10987654321098765432109876543210
14009 xxxxxxxxxx0100111xx0x1x0011100xx
14010 fcvtas. */
14011 return 183;
14012 }
14013 else
14014 {
14015 /* 33222222222211111111110000000000
14016 10987654321098765432109876543210
14017 xxxxxxxxxx0100111xx0x1x0011101xx
14018 fcvtau. */
14019 return 231;
14020 }
14021 }
14022 else
14023 {
14024 if (((word >> 29) & 0x1) == 0)
14025 {
14026 /* 33222222222211111111110000000000
14027 10987654321098765432109876543210
14028 xxxxxxxxxx0100111xx0x1x0011110xx
14029 fcvtas. */
14030 return 482;
14031 }
14032 else
14033 {
14034 /* 33222222222211111111110000000000
14035 10987654321098765432109876543210
14036 xxxxxxxxxx0100111xx0x1x0011111xx
14037 fcvtau. */
14038 return 512;
14039 }
14040 }
14041 }
14042 else
14043 {
14044 if (((word >> 29) & 0x1) == 0)
14045 {
14046 /* 33222222222211111111110000000000
14047 10987654321098765432109876543210
14048 xxxxxxxxxx0100111xx0x1x10111x0xx
14049 urecpe. */
14050 return 203;
14051 }
14052 else
14053 {
14054 /* 33222222222211111111110000000000
14055 10987654321098765432109876543210
14056 xxxxxxxxxx0100111xx0x1x10111x1xx
14057 ursqrte. */
14058 return 250;
14059 }
14060 }
14061 }
14062 else
14063 {
14064 if (((word >> 28) & 0x1) == 0)
14065 {
14066 if (((word >> 29) & 0x1) == 0)
14067 {
14068 /* 33222222222211111111110000000000
14069 10987654321098765432109876543210
14070 xxxxxxxxxx0100111xx1x1xx011100xx
14071 fcvtas. */
14072 return 184;
14073 }
14074 else
14075 {
14076 /* 33222222222211111111110000000000
14077 10987654321098765432109876543210
14078 xxxxxxxxxx0100111xx1x1xx011101xx
14079 fcvtau. */
14080 return 232;
14081 }
14082 }
14083 else
14084 {
14085 if (((word >> 29) & 0x1) == 0)
14086 {
14087 /* 33222222222211111111110000000000
14088 10987654321098765432109876543210
14089 xxxxxxxxxx0100111xx1x1xx011110xx
14090 fcvtas. */
14091 return 483;
14092 }
14093 else
14094 {
14095 /* 33222222222211111111110000000000
14096 10987654321098765432109876543210
14097 xxxxxxxxxx0100111xx1x1xx011111xx
14098 fcvtau. */
14099 return 513;
14100 }
14101 }
14102 }
14103 }
14104 }
14105 }
14106 }
14107 else
14108 {
14109 if (((word >> 14) & 0x1) == 0)
14110 {
14111 if (((word >> 15) & 0x1) == 0)
14112 {
14113 if (((word >> 28) & 0x1) == 0)
14114 {
14115 if (((word >> 16) & 0x1) == 0)
14116 {
14117 if (((word >> 29) & 0x1) == 0)
14118 {
14119 /* 33222222222211111111110000000000
14120 10987654321098765432109876543210
14121 xxxxxxxxxx0101000xxxx1xx011100xx
14122 saddlp. */
14123 return 157;
14124 }
14125 else
14126 {
14127 /* 33222222222211111111110000000000
14128 10987654321098765432109876543210
14129 xxxxxxxxxx0101000xxxx1xx011101xx
14130 uaddlp. */
14131 return 207;
14132 }
14133 }
14134 else
14135 {
14136 if (((word >> 29) & 0x1) == 0)
14137 {
14138 if (((word >> 30) & 0x1) == 0)
14139 {
14140 /* 33222222222211111111110000000000
14141 10987654321098765432109876543210
14142 xxxxxxxxxx0101001xxxx1xx0111000x
14143 xtn. */
14144 return 167;
14145 }
14146 else
14147 {
14148 /* 33222222222211111111110000000000
14149 10987654321098765432109876543210
14150 xxxxxxxxxx0101001xxxx1xx0111001x
14151 xtn2. */
14152 return 168;
14153 }
14154 }
14155 else
14156 {
14157 if (((word >> 30) & 0x1) == 0)
14158 {
14159 /* 33222222222211111111110000000000
14160 10987654321098765432109876543210
14161 xxxxxxxxxx0101001xxxx1xx0111010x
14162 sqxtun. */
14163 return 215;
14164 }
14165 else
14166 {
14167 /* 33222222222211111111110000000000
14168 10987654321098765432109876543210
14169 xxxxxxxxxx0101001xxxx1xx0111011x
14170 sqxtun2. */
14171 return 216;
14172 }
14173 }
14174 }
14175 }
14176 else
14177 {
14178 if (((word >> 29) & 0x1) == 0)
14179 {
14180 if (((word >> 30) & 0x1) == 0)
14181 {
14182 /* 33222222222211111111110000000000
14183 10987654321098765432109876543210
14184 xxxxxxxxxx010100xxxxx1xx0111100x
14185 fadd. */
14186 return 822;
14187 }
14188 else
14189 {
14190 /* 33222222222211111111110000000000
14191 10987654321098765432109876543210
14192 xxxxxxxxxx010100xxxxx1xx0111101x
14193 sha256su0. */
14194 return 668;
14195 }
14196 }
14197 else
14198 {
14199 /* 33222222222211111111110000000000
14200 10987654321098765432109876543210
14201 xxxxxxxxxx010100xxxxx1xx011111xx
14202 sqxtun. */
14203 return 505;
14204 }
14205 }
14206 }
14207 else
14208 {
14209 if (((word >> 16) & 0x1) == 0)
14210 {
14211 if (((word >> 20) & 0x1) == 0)
14212 {
14213 if (((word >> 28) & 0x1) == 0)
14214 {
14215 /* 33222222222211111111110000000000
14216 10987654321098765432109876543210
14217 xxxxxxxxxx0101010xxx01xx01110xxx
14218 cmlt. */
14219 return 165;
14220 }
14221 else
14222 {
14223 /* 33222222222211111111110000000000
14224 10987654321098765432109876543210
14225 xxxxxxxxxx0101010xxx01xx01111xxx
14226 cmlt. */
14227 return 475;
14228 }
14229 }
14230 else
14231 {
14232 if (((word >> 29) & 0x1) == 0)
14233 {
14234 /* 33222222222211111111110000000000
14235 10987654321098765432109876543210
14236 xxxxxxxxxx0101010xxx11xx0111x0xx
14237 smaxv. */
14238 return 28;
14239 }
14240 else
14241 {
14242 /* 33222222222211111111110000000000
14243 10987654321098765432109876543210
14244 xxxxxxxxxx0101010xxx11xx0111x1xx
14245 umaxv. */
14246 return 32;
14247 }
14248 }
14249 }
14250 else
14251 {
14252 if (((word >> 19) & 0x1) == 0)
14253 {
14254 if (((word >> 20) & 0x1) == 0)
14255 {
14256 if (((word >> 23) & 0x1) == 0)
14257 {
14258 if (((word >> 28) & 0x1) == 0)
14259 {
14260 if (((word >> 29) & 0x1) == 0)
14261 {
14262 /* 33222222222211111111110000000000
14263 10987654321098765432109876543210
14264 xxxxxxxxxx0101011xx001x0011100xx
14265 fcvtns. */
14266 return 179;
14267 }
14268 else
14269 {
14270 /* 33222222222211111111110000000000
14271 10987654321098765432109876543210
14272 xxxxxxxxxx0101011xx001x0011101xx
14273 fcvtnu. */
14274 return 227;
14275 }
14276 }
14277 else
14278 {
14279 if (((word >> 29) & 0x1) == 0)
14280 {
14281 /* 33222222222211111111110000000000
14282 10987654321098765432109876543210
14283 xxxxxxxxxx0101011xx001x0011110xx
14284 fcvtns. */
14285 return 478;
14286 }
14287 else
14288 {
14289 /* 33222222222211111111110000000000
14290 10987654321098765432109876543210
14291 xxxxxxxxxx0101011xx001x0011111xx
14292 fcvtnu. */
14293 return 508;
14294 }
14295 }
14296 }
14297 else
14298 {
14299 if (((word >> 28) & 0x1) == 0)
14300 {
14301 if (((word >> 29) & 0x1) == 0)
14302 {
14303 /* 33222222222211111111110000000000
14304 10987654321098765432109876543210
14305 xxxxxxxxxx0101011xx001x1011100xx
14306 fcvtps. */
14307 return 199;
14308 }
14309 else
14310 {
14311 /* 33222222222211111111110000000000
14312 10987654321098765432109876543210
14313 xxxxxxxxxx0101011xx001x1011101xx
14314 fcvtpu. */
14315 return 246;
14316 }
14317 }
14318 else
14319 {
14320 if (((word >> 29) & 0x1) == 0)
14321 {
14322 /* 33222222222211111111110000000000
14323 10987654321098765432109876543210
14324 xxxxxxxxxx0101011xx001x1011110xx
14325 fcvtps. */
14326 return 492;
14327 }
14328 else
14329 {
14330 /* 33222222222211111111110000000000
14331 10987654321098765432109876543210
14332 xxxxxxxxxx0101011xx001x1011111xx
14333 fcvtpu. */
14334 return 520;
14335 }
14336 }
14337 }
14338 }
14339 else
14340 {
14341 if (((word >> 29) & 0x1) == 0)
14342 {
14343 /* 33222222222211111111110000000000
14344 10987654321098765432109876543210
14345 xxxxxxxxxx0101011xx011xx0111x0xx
14346 sminv. */
14347 return 29;
14348 }
14349 else
14350 {
14351 /* 33222222222211111111110000000000
14352 10987654321098765432109876543210
14353 xxxxxxxxxx0101011xx011xx0111x1xx
14354 uminv. */
14355 return 33;
14356 }
14357 }
14358 }
14359 else
14360 {
14361 if (((word >> 23) & 0x1) == 0)
14362 {
14363 if (((word >> 28) & 0x1) == 0)
14364 {
14365 if (((word >> 29) & 0x1) == 0)
14366 {
14367 /* 33222222222211111111110000000000
14368 10987654321098765432109876543210
14369 xxxxxxxxxx0101011xx1x1x0011100xx
14370 fcvtns. */
14371 return 180;
14372 }
14373 else
14374 {
14375 /* 33222222222211111111110000000000
14376 10987654321098765432109876543210
14377 xxxxxxxxxx0101011xx1x1x0011101xx
14378 fcvtnu. */
14379 return 228;
14380 }
14381 }
14382 else
14383 {
14384 if (((word >> 29) & 0x1) == 0)
14385 {
14386 /* 33222222222211111111110000000000
14387 10987654321098765432109876543210
14388 xxxxxxxxxx0101011xx1x1x0011110xx
14389 fcvtns. */
14390 return 479;
14391 }
14392 else
14393 {
14394 /* 33222222222211111111110000000000
14395 10987654321098765432109876543210
14396 xxxxxxxxxx0101011xx1x1x0011111xx
14397 fcvtnu. */
14398 return 509;
14399 }
14400 }
14401 }
14402 else
14403 {
14404 if (((word >> 28) & 0x1) == 0)
14405 {
14406 if (((word >> 29) & 0x1) == 0)
14407 {
14408 /* 33222222222211111111110000000000
14409 10987654321098765432109876543210
14410 xxxxxxxxxx0101011xx1x1x1011100xx
14411 fcvtps. */
14412 return 200;
14413 }
14414 else
14415 {
14416 /* 33222222222211111111110000000000
14417 10987654321098765432109876543210
14418 xxxxxxxxxx0101011xx1x1x1011101xx
14419 fcvtpu. */
14420 return 247;
14421 }
14422 }
14423 else
14424 {
14425 if (((word >> 29) & 0x1) == 0)
14426 {
14427 /* 33222222222211111111110000000000
14428 10987654321098765432109876543210
14429 xxxxxxxxxx0101011xx1x1x1011110xx
14430 fcvtps. */
14431 return 493;
14432 }
14433 else
14434 {
14435 /* 33222222222211111111110000000000
14436 10987654321098765432109876543210
14437 xxxxxxxxxx0101011xx1x1x1011111xx
14438 fcvtpu. */
14439 return 521;
14440 }
14441 }
14442 }
14443 }
14444 }
14445 }
14446 }
14447 else
14448 {
14449 if (((word >> 15) & 0x1) == 0)
14450 {
14451 if (((word >> 28) & 0x1) == 0)
14452 {
14453 if (((word >> 16) & 0x1) == 0)
14454 {
14455 if (((word >> 19) & 0x1) == 0)
14456 {
14457 if (((word >> 29) & 0x1) == 0)
14458 {
14459 /* 33222222222211111111110000000000
14460 10987654321098765432109876543210
14461 xxxxxxxxxx0101100xx0x1xx011100xx
14462 sadalp. */
14463 return 161;
14464 }
14465 else
14466 {
14467 /* 33222222222211111111110000000000
14468 10987654321098765432109876543210
14469 xxxxxxxxxx0101100xx0x1xx011101xx
14470 uadalp. */
14471 return 210;
14472 }
14473 }
14474 else
14475 {
14476 /* 33222222222211111111110000000000
14477 10987654321098765432109876543210
14478 xxxxxxxxxx0101100xx1x1xx01110xxx
14479 aesmc. */
14480 return 664;
14481 }
14482 }
14483 else
14484 {
14485 if (((word >> 29) & 0x1) == 0)
14486 {
14487 if (((word >> 30) & 0x1) == 0)
14488 {
14489 /* 33222222222211111111110000000000
14490 10987654321098765432109876543210
14491 xxxxxxxxxx0101101xxxx1xx0111000x
14492 fcvtn. */
14493 return 171;
14494 }
14495 else
14496 {
14497 /* 33222222222211111111110000000000
14498 10987654321098765432109876543210
14499 xxxxxxxxxx0101101xxxx1xx0111001x
14500 fcvtn2. */
14501 return 172;
14502 }
14503 }
14504 else
14505 {
14506 if (((word >> 30) & 0x1) == 0)
14507 {
14508 /* 33222222222211111111110000000000
14509 10987654321098765432109876543210
14510 xxxxxxxxxx0101101xxxx1xx0111010x
14511 fcvtxn. */
14512 return 221;
14513 }
14514 else
14515 {
14516 /* 33222222222211111111110000000000
14517 10987654321098765432109876543210
14518 xxxxxxxxxx0101101xxxx1xx0111011x
14519 fcvtxn2. */
14520 return 222;
14521 }
14522 }
14523 }
14524 }
14525 else
14526 {
14527 if (((word >> 29) & 0x1) == 0)
14528 {
14529 /* 33222222222211111111110000000000
14530 10987654321098765432109876543210
14531 xxxxxxxxxx010110xxxxx1xx011110xx
14532 fmaxnm. */
14533 return 830;
14534 }
14535 else
14536 {
14537 /* 33222222222211111111110000000000
14538 10987654321098765432109876543210
14539 xxxxxxxxxx010110xxxxx1xx011111xx
14540 fcvtxn. */
14541 return 507;
14542 }
14543 }
14544 }
14545 else
14546 {
14547 if (((word >> 19) & 0x1) == 0)
14548 {
14549 if (((word >> 28) & 0x1) == 0)
14550 {
14551 /* 33222222222211111111110000000000
14552 10987654321098765432109876543210
14553 xxxxxxxxxx010111xxx0x1xx01110xxx
14554 fcmlt. */
14555 return 191;
14556 }
14557 else
14558 {
14559 /* 33222222222211111111110000000000
14560 10987654321098765432109876543210
14561 xxxxxxxxxx010111xxx0x1xx01111xxx
14562 fcmlt. */
14563 return 490;
14564 }
14565 }
14566 else
14567 {
14568 if (((word >> 28) & 0x1) == 0)
14569 {
14570 /* 33222222222211111111110000000000
14571 10987654321098765432109876543210
14572 xxxxxxxxxx010111xxx1x1xx01110xxx
14573 fcmlt. */
14574 return 192;
14575 }
14576 else
14577 {
14578 /* 33222222222211111111110000000000
14579 10987654321098765432109876543210
14580 xxxxxxxxxx010111xxx1x1xx01111xxx
14581 fcmlt. */
14582 return 491;
14583 }
14584 }
14585 }
14586 }
14587 }
14588 }
14589 else
14590 {
14591 if (((word >> 13) & 0x1) == 0)
14592 {
14593 if (((word >> 14) & 0x1) == 0)
14594 {
14595 if (((word >> 15) & 0x1) == 0)
14596 {
14597 if (((word >> 28) & 0x1) == 0)
14598 {
14599 /* 33222222222211111111110000000000
14600 10987654321098765432109876543210
14601 xxxxxxxxxx011000xxxxx1xx01110xxx
14602 rev16. */
14603 return 156;
14604 }
14605 else
14606 {
14607 if (((word >> 30) & 0x1) == 0)
14608 {
14609 /* 33222222222211111111110000000000
14610 10987654321098765432109876543210
14611 xxxxxxxxxx011000xxxxx1xx01111x0x
14612 fdiv. */
14613 return 820;
14614 }
14615 else
14616 {
14617 /* 33222222222211111111110000000000
14618 10987654321098765432109876543210
14619 xxxxxxxxxx011000xxxxx1xx01111x1x
14620 sha1su1. */
14621 return 667;
14622 }
14623 }
14624 }
14625 else
14626 {
14627 if (((word >> 16) & 0x1) == 0)
14628 {
14629 if (((word >> 28) & 0x1) == 0)
14630 {
14631 if (((word >> 29) & 0x1) == 0)
14632 {
14633 /* 33222222222211111111110000000000
14634 10987654321098765432109876543210
14635 xxxxxxxxxx0110010xxxx1xx011100xx
14636 cmeq. */
14637 return 164;
14638 }
14639 else
14640 {
14641 /* 33222222222211111111110000000000
14642 10987654321098765432109876543210
14643 xxxxxxxxxx0110010xxxx1xx011101xx
14644 cmle. */
14645 return 213;
14646 }
14647 }
14648 else
14649 {
14650 if (((word >> 29) & 0x1) == 0)
14651 {
14652 /* 33222222222211111111110000000000
14653 10987654321098765432109876543210
14654 xxxxxxxxxx0110010xxxx1xx011110xx
14655 cmeq. */
14656 return 474;
14657 }
14658 else
14659 {
14660 /* 33222222222211111111110000000000
14661 10987654321098765432109876543210
14662 xxxxxxxxxx0110010xxxx1xx011111xx
14663 cmle. */
14664 return 503;
14665 }
14666 }
14667 }
14668 else
14669 {
14670 if (((word >> 19) & 0x1) == 0)
14671 {
14672 if (((word >> 23) & 0x1) == 0)
14673 {
14674 if (((word >> 29) & 0x1) == 0)
14675 {
14676 /* 33222222222211111111110000000000
14677 10987654321098765432109876543210
14678 xxxxxxxxxx0110011xx0x1x00111x0xx
14679 frintm. */
14680 return 177;
14681 }
14682 else
14683 {
14684 /* 33222222222211111111110000000000
14685 10987654321098765432109876543210
14686 xxxxxxxxxx0110011xx0x1x00111x1xx
14687 frintx. */
14688 return 225;
14689 }
14690 }
14691 else
14692 {
14693 if (((word >> 29) & 0x1) == 0)
14694 {
14695 /* 33222222222211111111110000000000
14696 10987654321098765432109876543210
14697 xxxxxxxxxx0110011xx0x1x10111x0xx
14698 frintz. */
14699 return 197;
14700 }
14701 else
14702 {
14703 /* 33222222222211111111110000000000
14704 10987654321098765432109876543210
14705 xxxxxxxxxx0110011xx0x1x10111x1xx
14706 frinti. */
14707 return 244;
14708 }
14709 }
14710 }
14711 else
14712 {
14713 if (((word >> 23) & 0x1) == 0)
14714 {
14715 if (((word >> 29) & 0x1) == 0)
14716 {
14717 /* 33222222222211111111110000000000
14718 10987654321098765432109876543210
14719 xxxxxxxxxx0110011xx1x1x00111x0xx
14720 frintm. */
14721 return 178;
14722 }
14723 else
14724 {
14725 /* 33222222222211111111110000000000
14726 10987654321098765432109876543210
14727 xxxxxxxxxx0110011xx1x1x00111x1xx
14728 frintx. */
14729 return 226;
14730 }
14731 }
14732 else
14733 {
14734 if (((word >> 29) & 0x1) == 0)
14735 {
14736 /* 33222222222211111111110000000000
14737 10987654321098765432109876543210
14738 xxxxxxxxxx0110011xx1x1x10111x0xx
14739 frintz. */
14740 return 198;
14741 }
14742 else
14743 {
14744 /* 33222222222211111111110000000000
14745 10987654321098765432109876543210
14746 xxxxxxxxxx0110011xx1x1x10111x1xx
14747 frinti. */
14748 return 245;
14749 }
14750 }
14751 }
14752 }
14753 }
14754 }
14755 else
14756 {
14757 if (((word >> 15) & 0x1) == 0)
14758 {
14759 if (((word >> 28) & 0x1) == 0)
14760 {
14761 if (((word >> 19) & 0x1) == 0)
14762 {
14763 if (((word >> 29) & 0x1) == 0)
14764 {
14765 /* 33222222222211111111110000000000
14766 10987654321098765432109876543210
14767 xxxxxxxxxx011010xxx0x1xx011100xx
14768 cnt. */
14769 return 160;
14770 }
14771 else
14772 {
14773 if (((word >> 22) & 0x1) == 0)
14774 {
14775 /* 33222222222211111111110000000000
14776 10987654321098765432109876543210
14777 xxxxxxxxxx011010xxx0x10x011101xx
14778 not. */
14779 return 235;
14780 }
14781 else
14782 {
14783 /* 33222222222211111111110000000000
14784 10987654321098765432109876543210
14785 xxxxxxxxxx011010xxx0x11x011101xx
14786 rbit. */
14787 return 237;
14788 }
14789 }
14790 }
14791 else
14792 {
14793 /* 33222222222211111111110000000000
14794 10987654321098765432109876543210
14795 xxxxxxxxxx011010xxx1x1xx01110xxx
14796 aesd. */
14797 return 663;
14798 }
14799 }
14800 else
14801 {
14802 /* 33222222222211111111110000000000
14803 10987654321098765432109876543210
14804 xxxxxxxxxx011010xxxxx1xx01111xxx
14805 fmin. */
14806 return 828;
14807 }
14808 }
14809 else
14810 {
14811 if (((word >> 16) & 0x1) == 0)
14812 {
14813 if (((word >> 19) & 0x1) == 0)
14814 {
14815 if (((word >> 20) & 0x1) == 0)
14816 {
14817 if (((word >> 28) & 0x1) == 0)
14818 {
14819 if (((word >> 29) & 0x1) == 0)
14820 {
14821 /* 33222222222211111111110000000000
14822 10987654321098765432109876543210
14823 xxxxxxxxxx0110110xx001xx011100xx
14824 fcmeq. */
14825 return 189;
14826 }
14827 else
14828 {
14829 /* 33222222222211111111110000000000
14830 10987654321098765432109876543210
14831 xxxxxxxxxx0110110xx001xx011101xx
14832 fcmle. */
14833 return 240;
14834 }
14835 }
14836 else
14837 {
14838 if (((word >> 29) & 0x1) == 0)
14839 {
14840 /* 33222222222211111111110000000000
14841 10987654321098765432109876543210
14842 xxxxxxxxxx0110110xx001xx011110xx
14843 fcmeq. */
14844 return 488;
14845 }
14846 else
14847 {
14848 /* 33222222222211111111110000000000
14849 10987654321098765432109876543210
14850 xxxxxxxxxx0110110xx001xx011111xx
14851 fcmle. */
14852 return 518;
14853 }
14854 }
14855 }
14856 else
14857 {
14858 if (((word >> 29) & 0x1) == 0)
14859 {
14860 /* 33222222222211111111110000000000
14861 10987654321098765432109876543210
14862 xxxxxxxxxx0110110xx011xx0111x0xx
14863 faddp. */
14864 return 532;
14865 }
14866 else
14867 {
14868 /* 33222222222211111111110000000000
14869 10987654321098765432109876543210
14870 xxxxxxxxxx0110110xx011xx0111x1xx
14871 faddp. */
14872 return 531;
14873 }
14874 }
14875 }
14876 else
14877 {
14878 if (((word >> 28) & 0x1) == 0)
14879 {
14880 if (((word >> 29) & 0x1) == 0)
14881 {
14882 /* 33222222222211111111110000000000
14883 10987654321098765432109876543210
14884 xxxxxxxxxx0110110xx1x1xx011100xx
14885 fcmeq. */
14886 return 190;
14887 }
14888 else
14889 {
14890 /* 33222222222211111111110000000000
14891 10987654321098765432109876543210
14892 xxxxxxxxxx0110110xx1x1xx011101xx
14893 fcmle. */
14894 return 241;
14895 }
14896 }
14897 else
14898 {
14899 if (((word >> 29) & 0x1) == 0)
14900 {
14901 /* 33222222222211111111110000000000
14902 10987654321098765432109876543210
14903 xxxxxxxxxx0110110xx1x1xx011110xx
14904 fcmeq. */
14905 return 489;
14906 }
14907 else
14908 {
14909 /* 33222222222211111111110000000000
14910 10987654321098765432109876543210
14911 xxxxxxxxxx0110110xx1x1xx011111xx
14912 fcmle. */
14913 return 519;
14914 }
14915 }
14916 }
14917 }
14918 else
14919 {
14920 if (((word >> 19) & 0x1) == 0)
14921 {
14922 if (((word >> 23) & 0x1) == 0)
14923 {
14924 if (((word >> 28) & 0x1) == 0)
14925 {
14926 if (((word >> 29) & 0x1) == 0)
14927 {
14928 /* 33222222222211111111110000000000
14929 10987654321098765432109876543210
14930 xxxxxxxxxx0110111xx0x1x0011100xx
14931 scvtf. */
14932 return 185;
14933 }
14934 else
14935 {
14936 /* 33222222222211111111110000000000
14937 10987654321098765432109876543210
14938 xxxxxxxxxx0110111xx0x1x0011101xx
14939 ucvtf. */
14940 return 233;
14941 }
14942 }
14943 else
14944 {
14945 if (((word >> 29) & 0x1) == 0)
14946 {
14947 /* 33222222222211111111110000000000
14948 10987654321098765432109876543210
14949 xxxxxxxxxx0110111xx0x1x0011110xx
14950 scvtf. */
14951 return 484;
14952 }
14953 else
14954 {
14955 /* 33222222222211111111110000000000
14956 10987654321098765432109876543210
14957 xxxxxxxxxx0110111xx0x1x0011111xx
14958 ucvtf. */
14959 return 514;
14960 }
14961 }
14962 }
14963 else
14964 {
14965 if (((word >> 28) & 0x1) == 0)
14966 {
14967 if (((word >> 29) & 0x1) == 0)
14968 {
14969 /* 33222222222211111111110000000000
14970 10987654321098765432109876543210
14971 xxxxxxxxxx0110111xx0x1x1011100xx
14972 frecpe. */
14973 return 204;
14974 }
14975 else
14976 {
14977 /* 33222222222211111111110000000000
14978 10987654321098765432109876543210
14979 xxxxxxxxxx0110111xx0x1x1011101xx
14980 frsqrte. */
14981 return 251;
14982 }
14983 }
14984 else
14985 {
14986 if (((word >> 29) & 0x1) == 0)
14987 {
14988 /* 33222222222211111111110000000000
14989 10987654321098765432109876543210
14990 xxxxxxxxxx0110111xx0x1x1011110xx
14991 frecpe. */
14992 return 496;
14993 }
14994 else
14995 {
14996 /* 33222222222211111111110000000000
14997 10987654321098765432109876543210
14998 xxxxxxxxxx0110111xx0x1x1011111xx
14999 frsqrte. */
15000 return 524;
15001 }
15002 }
15003 }
15004 }
15005 else
15006 {
15007 if (((word >> 23) & 0x1) == 0)
15008 {
15009 if (((word >> 28) & 0x1) == 0)
15010 {
15011 if (((word >> 29) & 0x1) == 0)
15012 {
15013 /* 33222222222211111111110000000000
15014 10987654321098765432109876543210
15015 xxxxxxxxxx0110111xx1x1x0011100xx
15016 scvtf. */
15017 return 186;
15018 }
15019 else
15020 {
15021 /* 33222222222211111111110000000000
15022 10987654321098765432109876543210
15023 xxxxxxxxxx0110111xx1x1x0011101xx
15024 ucvtf. */
15025 return 234;
15026 }
15027 }
15028 else
15029 {
15030 if (((word >> 29) & 0x1) == 0)
15031 {
15032 /* 33222222222211111111110000000000
15033 10987654321098765432109876543210
15034 xxxxxxxxxx0110111xx1x1x0011110xx
15035 scvtf. */
15036 return 485;
15037 }
15038 else
15039 {
15040 /* 33222222222211111111110000000000
15041 10987654321098765432109876543210
15042 xxxxxxxxxx0110111xx1x1x0011111xx
15043 ucvtf. */
15044 return 515;
15045 }
15046 }
15047 }
15048 else
15049 {
15050 if (((word >> 28) & 0x1) == 0)
15051 {
15052 if (((word >> 29) & 0x1) == 0)
15053 {
15054 /* 33222222222211111111110000000000
15055 10987654321098765432109876543210
15056 xxxxxxxxxx0110111xx1x1x1011100xx
15057 frecpe. */
15058 return 205;
15059 }
15060 else
15061 {
15062 /* 33222222222211111111110000000000
15063 10987654321098765432109876543210
15064 xxxxxxxxxx0110111xx1x1x1011101xx
15065 frsqrte. */
15066 return 252;
15067 }
15068 }
15069 else
15070 {
15071 if (((word >> 29) & 0x1) == 0)
15072 {
15073 /* 33222222222211111111110000000000
15074 10987654321098765432109876543210
15075 xxxxxxxxxx0110111xx1x1x1011110xx
15076 frecpe. */
15077 return 497;
15078 }
15079 else
15080 {
15081 /* 33222222222211111111110000000000
15082 10987654321098765432109876543210
15083 xxxxxxxxxx0110111xx1x1x1011111xx
15084 frsqrte. */
15085 return 525;
15086 }
15087 }
15088 }
15089 }
15090 }
15091 }
15092 }
15093 }
15094 else
15095 {
15096 if (((word >> 14) & 0x1) == 0)
15097 {
15098 if (((word >> 15) & 0x1) == 0)
15099 {
15100 if (((word >> 28) & 0x1) == 0)
15101 {
15102 if (((word >> 16) & 0x1) == 0)
15103 {
15104 if (((word >> 20) & 0x1) == 0)
15105 {
15106 if (((word >> 29) & 0x1) == 0)
15107 {
15108 /* 33222222222211111111110000000000
15109 10987654321098765432109876543210
15110 xxxxxxxxxx0111000xxx01xx011100xx
15111 suqadd. */
15112 return 158;
15113 }
15114 else
15115 {
15116 /* 33222222222211111111110000000000
15117 10987654321098765432109876543210
15118 xxxxxxxxxx0111000xxx01xx011101xx
15119 usqadd. */
15120 return 208;
15121 }
15122 }
15123 else
15124 {
15125 if (((word >> 29) & 0x1) == 0)
15126 {
15127 /* 33222222222211111111110000000000
15128 10987654321098765432109876543210
15129 xxxxxxxxxx0111000xxx11xx011100xx
15130 saddlv. */
15131 return 27;
15132 }
15133 else
15134 {
15135 /* 33222222222211111111110000000000
15136 10987654321098765432109876543210
15137 xxxxxxxxxx0111000xxx11xx011101xx
15138 uaddlv. */
15139 return 31;
15140 }
15141 }
15142 }
15143 else
15144 {
15145 if (((word >> 30) & 0x1) == 0)
15146 {
15147 /* 33222222222211111111110000000000
15148 10987654321098765432109876543210
15149 xxxxxxxxxx0111001xxxx1xx01110x0x
15150 shll. */
15151 return 217;
15152 }
15153 else
15154 {
15155 /* 33222222222211111111110000000000
15156 10987654321098765432109876543210
15157 xxxxxxxxxx0111001xxxx1xx01110x1x
15158 shll2. */
15159 return 218;
15160 }
15161 }
15162 }
15163 else
15164 {
15165 if (((word >> 29) & 0x1) == 0)
15166 {
15167 if (((word >> 30) & 0x1) == 0)
15168 {
15169 /* 33222222222211111111110000000000
15170 10987654321098765432109876543210
15171 xxxxxxxxxx011100xxxxx1xx0111100x
15172 fsub. */
15173 return 824;
15174 }
15175 else
15176 {
15177 /* 33222222222211111111110000000000
15178 10987654321098765432109876543210
15179 xxxxxxxxxx011100xxxxx1xx0111101x
15180 suqadd. */
15181 return 471;
15182 }
15183 }
15184 else
15185 {
15186 /* 33222222222211111111110000000000
15187 10987654321098765432109876543210
15188 xxxxxxxxxx011100xxxxx1xx011111xx
15189 usqadd. */
15190 return 500;
15191 }
15192 }
15193 }
15194 else
15195 {
15196 if (((word >> 16) & 0x1) == 0)
15197 {
15198 if (((word >> 28) & 0x1) == 0)
15199 {
15200 if (((word >> 29) & 0x1) == 0)
15201 {
15202 /* 33222222222211111111110000000000
15203 10987654321098765432109876543210
15204 xxxxxxxxxx0111010xxxx1xx011100xx
15205 abs. */
15206 return 166;
15207 }
15208 else
15209 {
15210 /* 33222222222211111111110000000000
15211 10987654321098765432109876543210
15212 xxxxxxxxxx0111010xxxx1xx011101xx
15213 neg. */
15214 return 214;
15215 }
15216 }
15217 else
15218 {
15219 if (((word >> 29) & 0x1) == 0)
15220 {
15221 /* 33222222222211111111110000000000
15222 10987654321098765432109876543210
15223 xxxxxxxxxx0111010xxxx1xx011110xx
15224 abs. */
15225 return 476;
15226 }
15227 else
15228 {
15229 /* 33222222222211111111110000000000
15230 10987654321098765432109876543210
15231 xxxxxxxxxx0111010xxxx1xx011111xx
15232 neg. */
15233 return 504;
15234 }
15235 }
15236 }
15237 else
15238 {
15239 if (((word >> 19) & 0x1) == 0)
15240 {
15241 if (((word >> 20) & 0x1) == 0)
15242 {
15243 if (((word >> 23) & 0x1) == 0)
15244 {
15245 if (((word >> 28) & 0x1) == 0)
15246 {
15247 if (((word >> 29) & 0x1) == 0)
15248 {
15249 /* 33222222222211111111110000000000
15250 10987654321098765432109876543210
15251 xxxxxxxxxx0111011xx001x0011100xx
15252 fcvtms. */
15253 return 181;
15254 }
15255 else
15256 {
15257 /* 33222222222211111111110000000000
15258 10987654321098765432109876543210
15259 xxxxxxxxxx0111011xx001x0011101xx
15260 fcvtmu. */
15261 return 229;
15262 }
15263 }
15264 else
15265 {
15266 if (((word >> 29) & 0x1) == 0)
15267 {
15268 /* 33222222222211111111110000000000
15269 10987654321098765432109876543210
15270 xxxxxxxxxx0111011xx001x0011110xx
15271 fcvtms. */
15272 return 480;
15273 }
15274 else
15275 {
15276 /* 33222222222211111111110000000000
15277 10987654321098765432109876543210
15278 xxxxxxxxxx0111011xx001x0011111xx
15279 fcvtmu. */
15280 return 510;
15281 }
15282 }
15283 }
15284 else
15285 {
15286 if (((word >> 28) & 0x1) == 0)
15287 {
15288 if (((word >> 29) & 0x1) == 0)
15289 {
15290 /* 33222222222211111111110000000000
15291 10987654321098765432109876543210
15292 xxxxxxxxxx0111011xx001x1011100xx
15293 fcvtzs. */
15294 return 201;
15295 }
15296 else
15297 {
15298 /* 33222222222211111111110000000000
15299 10987654321098765432109876543210
15300 xxxxxxxxxx0111011xx001x1011101xx
15301 fcvtzu. */
15302 return 248;
15303 }
15304 }
15305 else
15306 {
15307 if (((word >> 29) & 0x1) == 0)
15308 {
15309 /* 33222222222211111111110000000000
15310 10987654321098765432109876543210
15311 xxxxxxxxxx0111011xx001x1011110xx
15312 fcvtzs. */
15313 return 494;
15314 }
15315 else
15316 {
15317 /* 33222222222211111111110000000000
15318 10987654321098765432109876543210
15319 xxxxxxxxxx0111011xx001x1011111xx
15320 fcvtzu. */
15321 return 522;
15322 }
15323 }
15324 }
15325 }
15326 else
15327 {
15328 if (((word >> 28) & 0x1) == 0)
15329 {
15330 /* 33222222222211111111110000000000
15331 10987654321098765432109876543210
15332 xxxxxxxxxx0111011xx011xx01110xxx
15333 addv. */
15334 return 30;
15335 }
15336 else
15337 {
15338 /* 33222222222211111111110000000000
15339 10987654321098765432109876543210
15340 xxxxxxxxxx0111011xx011xx01111xxx
15341 addp. */
15342 return 528;
15343 }
15344 }
15345 }
15346 else
15347 {
15348 if (((word >> 23) & 0x1) == 0)
15349 {
15350 if (((word >> 28) & 0x1) == 0)
15351 {
15352 if (((word >> 29) & 0x1) == 0)
15353 {
15354 /* 33222222222211111111110000000000
15355 10987654321098765432109876543210
15356 xxxxxxxxxx0111011xx1x1x0011100xx
15357 fcvtms. */
15358 return 182;
15359 }
15360 else
15361 {
15362 /* 33222222222211111111110000000000
15363 10987654321098765432109876543210
15364 xxxxxxxxxx0111011xx1x1x0011101xx
15365 fcvtmu. */
15366 return 230;
15367 }
15368 }
15369 else
15370 {
15371 if (((word >> 29) & 0x1) == 0)
15372 {
15373 /* 33222222222211111111110000000000
15374 10987654321098765432109876543210
15375 xxxxxxxxxx0111011xx1x1x0011110xx
15376 fcvtms. */
15377 return 481;
15378 }
15379 else
15380 {
15381 /* 33222222222211111111110000000000
15382 10987654321098765432109876543210
15383 xxxxxxxxxx0111011xx1x1x0011111xx
15384 fcvtmu. */
15385 return 511;
15386 }
15387 }
15388 }
15389 else
15390 {
15391 if (((word >> 28) & 0x1) == 0)
15392 {
15393 if (((word >> 29) & 0x1) == 0)
15394 {
15395 /* 33222222222211111111110000000000
15396 10987654321098765432109876543210
15397 xxxxxxxxxx0111011xx1x1x1011100xx
15398 fcvtzs. */
15399 return 202;
15400 }
15401 else
15402 {
15403 /* 33222222222211111111110000000000
15404 10987654321098765432109876543210
15405 xxxxxxxxxx0111011xx1x1x1011101xx
15406 fcvtzu. */
15407 return 249;
15408 }
15409 }
15410 else
15411 {
15412 if (((word >> 29) & 0x1) == 0)
15413 {
15414 /* 33222222222211111111110000000000
15415 10987654321098765432109876543210
15416 xxxxxxxxxx0111011xx1x1x1011110xx
15417 fcvtzs. */
15418 return 495;
15419 }
15420 else
15421 {
15422 /* 33222222222211111111110000000000
15423 10987654321098765432109876543210
15424 xxxxxxxxxx0111011xx1x1x1011111xx
15425 fcvtzu. */
15426 return 523;
15427 }
15428 }
15429 }
15430 }
15431 }
15432 }
15433 }
15434 else
15435 {
15436 if (((word >> 15) & 0x1) == 0)
15437 {
15438 if (((word >> 28) & 0x1) == 0)
15439 {
15440 if (((word >> 16) & 0x1) == 0)
15441 {
15442 if (((word >> 19) & 0x1) == 0)
15443 {
15444 if (((word >> 29) & 0x1) == 0)
15445 {
15446 /* 33222222222211111111110000000000
15447 10987654321098765432109876543210
15448 xxxxxxxxxx0111100xx0x1xx011100xx
15449 sqabs. */
15450 return 162;
15451 }
15452 else
15453 {
15454 /* 33222222222211111111110000000000
15455 10987654321098765432109876543210
15456 xxxxxxxxxx0111100xx0x1xx011101xx
15457 sqneg. */
15458 return 211;
15459 }
15460 }
15461 else
15462 {
15463 /* 33222222222211111111110000000000
15464 10987654321098765432109876543210
15465 xxxxxxxxxx0111100xx1x1xx01110xxx
15466 aesimc. */
15467 return 665;
15468 }
15469 }
15470 else
15471 {
15472 if (((word >> 30) & 0x1) == 0)
15473 {
15474 /* 33222222222211111111110000000000
15475 10987654321098765432109876543210
15476 xxxxxxxxxx0111101xxxx1xx01110x0x
15477 fcvtl. */
15478 return 173;
15479 }
15480 else
15481 {
15482 /* 33222222222211111111110000000000
15483 10987654321098765432109876543210
15484 xxxxxxxxxx0111101xxxx1xx01110x1x
15485 fcvtl2. */
15486 return 174;
15487 }
15488 }
15489 }
15490 else
15491 {
15492 if (((word >> 29) & 0x1) == 0)
15493 {
15494 if (((word >> 30) & 0x1) == 0)
15495 {
15496 /* 33222222222211111111110000000000
15497 10987654321098765432109876543210
15498 xxxxxxxxxx011110xxxxx1xx0111100x
15499 fminnm. */
15500 return 832;
15501 }
15502 else
15503 {
15504 /* 33222222222211111111110000000000
15505 10987654321098765432109876543210
15506 xxxxxxxxxx011110xxxxx1xx0111101x
15507 sqabs. */
15508 return 472;
15509 }
15510 }
15511 else
15512 {
15513 /* 33222222222211111111110000000000
15514 10987654321098765432109876543210
15515 xxxxxxxxxx011110xxxxx1xx011111xx
15516 sqneg. */
15517 return 501;
15518 }
15519 }
15520 }
15521 else
15522 {
15523 if (((word >> 16) & 0x1) == 0)
15524 {
15525 if (((word >> 19) & 0x1) == 0)
15526 {
15527 if (((word >> 20) & 0x1) == 0)
15528 {
15529 if (((word >> 29) & 0x1) == 0)
15530 {
15531 /* 33222222222211111111110000000000
15532 10987654321098765432109876543210
15533 xxxxxxxxxx0111110xx001xx0111x0xx
15534 fabs. */
15535 return 193;
15536 }
15537 else
15538 {
15539 /* 33222222222211111111110000000000
15540 10987654321098765432109876543210
15541 xxxxxxxxxx0111110xx001xx0111x1xx
15542 fneg. */
15543 return 242;
15544 }
15545 }
15546 else
15547 {
15548 if (((word >> 23) & 0x1) == 0)
15549 {
15550 if (((word >> 28) & 0x1) == 0)
15551 {
15552 if (((word >> 29) & 0x1) == 0)
15553 {
15554 /* 33222222222211111111110000000000
15555 10987654321098765432109876543210
15556 xxxxxxxxxx0111110xx011x0011100xx
15557 fmaxv. */
15558 return 37;
15559 }
15560 else
15561 {
15562 /* 33222222222211111111110000000000
15563 10987654321098765432109876543210
15564 xxxxxxxxxx0111110xx011x0011101xx
15565 fmaxv. */
15566 return 36;
15567 }
15568 }
15569 else
15570 {
15571 if (((word >> 29) & 0x1) == 0)
15572 {
15573 /* 33222222222211111111110000000000
15574 10987654321098765432109876543210
15575 xxxxxxxxxx0111110xx011x0011110xx
15576 fmaxp. */
15577 return 534;
15578 }
15579 else
15580 {
15581 /* 33222222222211111111110000000000
15582 10987654321098765432109876543210
15583 xxxxxxxxxx0111110xx011x0011111xx
15584 fmaxp. */
15585 return 533;
15586 }
15587 }
15588 }
15589 else
15590 {
15591 if (((word >> 28) & 0x1) == 0)
15592 {
15593 if (((word >> 29) & 0x1) == 0)
15594 {
15595 /* 33222222222211111111110000000000
15596 10987654321098765432109876543210
15597 xxxxxxxxxx0111110xx011x1011100xx
15598 fminv. */
15599 return 41;
15600 }
15601 else
15602 {
15603 /* 33222222222211111111110000000000
15604 10987654321098765432109876543210
15605 xxxxxxxxxx0111110xx011x1011101xx
15606 fminv. */
15607 return 40;
15608 }
15609 }
15610 else
15611 {
15612 if (((word >> 29) & 0x1) == 0)
15613 {
15614 /* 33222222222211111111110000000000
15615 10987654321098765432109876543210
15616 xxxxxxxxxx0111110xx011x1011110xx
15617 fminp. */
15618 return 538;
15619 }
15620 else
15621 {
15622 /* 33222222222211111111110000000000
15623 10987654321098765432109876543210
15624 xxxxxxxxxx0111110xx011x1011111xx
15625 fminp. */
15626 return 537;
15627 }
15628 }
15629 }
15630 }
15631 }
15632 else
15633 {
15634 if (((word >> 29) & 0x1) == 0)
15635 {
15636 /* 33222222222211111111110000000000
15637 10987654321098765432109876543210
15638 xxxxxxxxxx0111110xx1x1xx0111x0xx
15639 fabs. */
15640 return 194;
15641 }
15642 else
15643 {
15644 /* 33222222222211111111110000000000
15645 10987654321098765432109876543210
15646 xxxxxxxxxx0111110xx1x1xx0111x1xx
15647 fneg. */
15648 return 243;
15649 }
15650 }
15651 }
15652 else
15653 {
15654 if (((word >> 19) & 0x1) == 0)
15655 {
15656 if (((word >> 28) & 0x1) == 0)
15657 {
15658 /* 33222222222211111111110000000000
15659 10987654321098765432109876543210
15660 xxxxxxxxxx0111111xx0x1xx01110xxx
15661 fsqrt. */
15662 return 253;
15663 }
15664 else
15665 {
15666 /* 33222222222211111111110000000000
15667 10987654321098765432109876543210
15668 xxxxxxxxxx0111111xx0x1xx01111xxx
15669 frecpx. */
15670 return 498;
15671 }
15672 }
15673 else
15674 {
15675 if (((word >> 28) & 0x1) == 0)
15676 {
15677 /* 33222222222211111111110000000000
15678 10987654321098765432109876543210
15679 xxxxxxxxxx0111111xx1x1xx01110xxx
15680 fsqrt. */
15681 return 254;
15682 }
15683 else
15684 {
15685 /* 33222222222211111111110000000000
15686 10987654321098765432109876543210
15687 xxxxxxxxxx0111111xx1x1xx01111xxx
15688 frecpx. */
15689 return 499;
15690 }
15691 }
15692 }
15693 }
15694 }
15695 }
15696 }
15697 }
15698 }
15699 else
15700 {
15701 if (((word >> 11) & 0x1) == 0)
15702 {
15703 if (((word >> 28) & 0x1) == 0)
15704 {
15705 if (((word >> 12) & 0x1) == 0)
15706 {
15707 if (((word >> 13) & 0x1) == 0)
15708 {
15709 if (((word >> 14) & 0x1) == 0)
15710 {
15711 if (((word >> 15) & 0x1) == 0)
15712 {
15713 if (((word >> 29) & 0x1) == 0)
15714 {
15715 /* 33222222222211111111110000000000
15716 10987654321098765432109876543210
15717 xxxxxxxxxx100000xxxxx1xx011100xx
15718 shadd. */
15719 return 261;
15720 }
15721 else
15722 {
15723 /* 33222222222211111111110000000000
15724 10987654321098765432109876543210
15725 xxxxxxxxxx100000xxxxx1xx011101xx
15726 uhadd. */
15727 return 313;
15728 }
15729 }
15730 else
15731 {
15732 if (((word >> 29) & 0x1) == 0)
15733 {
15734 /* 33222222222211111111110000000000
15735 10987654321098765432109876543210
15736 xxxxxxxxxx100001xxxxx1xx011100xx
15737 add. */
15738 return 276;
15739 }
15740 else
15741 {
15742 /* 33222222222211111111110000000000
15743 10987654321098765432109876543210
15744 xxxxxxxxxx100001xxxxx1xx011101xx
15745 sub. */
15746 return 328;
15747 }
15748 }
15749 }
15750 else
15751 {
15752 if (((word >> 15) & 0x1) == 0)
15753 {
15754 if (((word >> 29) & 0x1) == 0)
15755 {
15756 /* 33222222222211111111110000000000
15757 10987654321098765432109876543210
15758 xxxxxxxxxx100010xxxxx1xx011100xx
15759 sshl. */
15760 return 268;
15761 }
15762 else
15763 {
15764 /* 33222222222211111111110000000000
15765 10987654321098765432109876543210
15766 xxxxxxxxxx100010xxxxx1xx011101xx
15767 ushl. */
15768 return 320;
15769 }
15770 }
15771 else
15772 {
15773 if (((word >> 23) & 0x1) == 0)
15774 {
15775 if (((word >> 29) & 0x1) == 0)
15776 {
15777 /* 33222222222211111111110000000000
15778 10987654321098765432109876543210
15779 xxxxxxxxxx100011xxxxx1x0011100xx
15780 fmaxnm. */
15781 return 284;
15782 }
15783 else
15784 {
15785 /* 33222222222211111111110000000000
15786 10987654321098765432109876543210
15787 xxxxxxxxxx100011xxxxx1x0011101xx
15788 fmaxnmp. */
15789 return 335;
15790 }
15791 }
15792 else
15793 {
15794 if (((word >> 29) & 0x1) == 0)
15795 {
15796 /* 33222222222211111111110000000000
15797 10987654321098765432109876543210
15798 xxxxxxxxxx100011xxxxx1x1011100xx
15799 fminnm. */
15800 return 300;
15801 }
15802 else
15803 {
15804 /* 33222222222211111111110000000000
15805 10987654321098765432109876543210
15806 xxxxxxxxxx100011xxxxx1x1011101xx
15807 fminnmp. */
15808 return 351;
15809 }
15810 }
15811 }
15812 }
15813 }
15814 else
15815 {
15816 if (((word >> 14) & 0x1) == 0)
15817 {
15818 if (((word >> 15) & 0x1) == 0)
15819 {
15820 if (((word >> 29) & 0x1) == 0)
15821 {
15822 /* 33222222222211111111110000000000
15823 10987654321098765432109876543210
15824 xxxxxxxxxx100100xxxxx1xx011100xx
15825 shsub. */
15826 return 264;
15827 }
15828 else
15829 {
15830 /* 33222222222211111111110000000000
15831 10987654321098765432109876543210
15832 xxxxxxxxxx100100xxxxx1xx011101xx
15833 uhsub. */
15834 return 316;
15835 }
15836 }
15837 else
15838 {
15839 if (((word >> 29) & 0x1) == 0)
15840 {
15841 /* 33222222222211111111110000000000
15842 10987654321098765432109876543210
15843 xxxxxxxxxx100101xxxxx1xx011100xx
15844 smaxp. */
15845 return 280;
15846 }
15847 else
15848 {
15849 /* 33222222222211111111110000000000
15850 10987654321098765432109876543210
15851 xxxxxxxxxx100101xxxxx1xx011101xx
15852 umaxp. */
15853 return 332;
15854 }
15855 }
15856 }
15857 else
15858 {
15859 if (((word >> 15) & 0x1) == 0)
15860 {
15861 if (((word >> 29) & 0x1) == 0)
15862 {
15863 /* 33222222222211111111110000000000
15864 10987654321098765432109876543210
15865 xxxxxxxxxx100110xxxxx1xx011100xx
15866 smax. */
15867 return 272;
15868 }
15869 else
15870 {
15871 /* 33222222222211111111110000000000
15872 10987654321098765432109876543210
15873 xxxxxxxxxx100110xxxxx1xx011101xx
15874 umax. */
15875 return 324;
15876 }
15877 }
15878 else
15879 {
15880 if (((word >> 23) & 0x1) == 0)
15881 {
15882 if (((word >> 29) & 0x1) == 0)
15883 {
15884 /* 33222222222211111111110000000000
15885 10987654321098765432109876543210
15886 xxxxxxxxxx100111xxxxx1x0011100xx
15887 fcmeq. */
15888 return 292;
15889 }
15890 else
15891 {
15892 /* 33222222222211111111110000000000
15893 10987654321098765432109876543210
15894 xxxxxxxxxx100111xxxxx1x0011101xx
15895 fcmge. */
15896 return 341;
15897 }
15898 }
15899 else
15900 {
15901 /* 33222222222211111111110000000000
15902 10987654321098765432109876543210
15903 xxxxxxxxxx100111xxxxx1x101110xxx
15904 fcmgt. */
15905 return 355;
15906 }
15907 }
15908 }
15909 }
15910 }
15911 else
15912 {
15913 if (((word >> 13) & 0x1) == 0)
15914 {
15915 if (((word >> 14) & 0x1) == 0)
15916 {
15917 if (((word >> 15) & 0x1) == 0)
15918 {
15919 if (((word >> 29) & 0x1) == 0)
15920 {
15921 /* 33222222222211111111110000000000
15922 10987654321098765432109876543210
15923 xxxxxxxxxx101000xxxxx1xx011100xx
15924 srhadd. */
15925 return 263;
15926 }
15927 else
15928 {
15929 /* 33222222222211111111110000000000
15930 10987654321098765432109876543210
15931 xxxxxxxxxx101000xxxxx1xx011101xx
15932 urhadd. */
15933 return 315;
15934 }
15935 }
15936 else
15937 {
15938 if (((word >> 29) & 0x1) == 0)
15939 {
15940 /* 33222222222211111111110000000000
15941 10987654321098765432109876543210
15942 xxxxxxxxxx101001xxxxx1xx011100xx
15943 mla. */
15944 return 278;
15945 }
15946 else
15947 {
15948 /* 33222222222211111111110000000000
15949 10987654321098765432109876543210
15950 xxxxxxxxxx101001xxxxx1xx011101xx
15951 mls. */
15952 return 330;
15953 }
15954 }
15955 }
15956 else
15957 {
15958 if (((word >> 15) & 0x1) == 0)
15959 {
15960 if (((word >> 29) & 0x1) == 0)
15961 {
15962 /* 33222222222211111111110000000000
15963 10987654321098765432109876543210
15964 xxxxxxxxxx101010xxxxx1xx011100xx
15965 srshl. */
15966 return 270;
15967 }
15968 else
15969 {
15970 /* 33222222222211111111110000000000
15971 10987654321098765432109876543210
15972 xxxxxxxxxx101010xxxxx1xx011101xx
15973 urshl. */
15974 return 322;
15975 }
15976 }
15977 else
15978 {
15979 if (((word >> 23) & 0x1) == 0)
15980 {
15981 if (((word >> 29) & 0x1) == 0)
15982 {
15983 /* 33222222222211111111110000000000
15984 10987654321098765432109876543210
15985 xxxxxxxxxx101011xxxxx1x0011100xx
15986 fadd. */
15987 return 288;
15988 }
15989 else
15990 {
15991 /* 33222222222211111111110000000000
15992 10987654321098765432109876543210
15993 xxxxxxxxxx101011xxxxx1x0011101xx
15994 faddp. */
15995 return 337;
15996 }
15997 }
15998 else
15999 {
16000 if (((word >> 29) & 0x1) == 0)
16001 {
16002 /* 33222222222211111111110000000000
16003 10987654321098765432109876543210
16004 xxxxxxxxxx101011xxxxx1x1011100xx
16005 fsub. */
16006 return 304;
16007 }
16008 else
16009 {
16010 /* 33222222222211111111110000000000
16011 10987654321098765432109876543210
16012 xxxxxxxxxx101011xxxxx1x1011101xx
16013 fabd. */
16014 return 353;
16015 }
16016 }
16017 }
16018 }
16019 }
16020 else
16021 {
16022 if (((word >> 14) & 0x1) == 0)
16023 {
16024 if (((word >> 15) & 0x1) == 0)
16025 {
16026 if (((word >> 29) & 0x1) == 0)
16027 {
16028 /* 33222222222211111111110000000000
16029 10987654321098765432109876543210
16030 xxxxxxxxxx101100xxxxx1xx011100xx
16031 cmgt. */
16032 return 266;
16033 }
16034 else
16035 {
16036 /* 33222222222211111111110000000000
16037 10987654321098765432109876543210
16038 xxxxxxxxxx101100xxxxx1xx011101xx
16039 cmhi. */
16040 return 318;
16041 }
16042 }
16043 else
16044 {
16045 if (((word >> 29) & 0x1) == 0)
16046 {
16047 /* 33222222222211111111110000000000
16048 10987654321098765432109876543210
16049 xxxxxxxxxx101101xxxxx1xx011100xx
16050 sqdmulh. */
16051 return 282;
16052 }
16053 else
16054 {
16055 /* 33222222222211111111110000000000
16056 10987654321098765432109876543210
16057 xxxxxxxxxx101101xxxxx1xx011101xx
16058 sqrdmulh. */
16059 return 334;
16060 }
16061 }
16062 }
16063 else
16064 {
16065 if (((word >> 15) & 0x1) == 0)
16066 {
16067 if (((word >> 29) & 0x1) == 0)
16068 {
16069 /* 33222222222211111111110000000000
16070 10987654321098765432109876543210
16071 xxxxxxxxxx101110xxxxx1xx011100xx
16072 sabd. */
16073 return 274;
16074 }
16075 else
16076 {
16077 /* 33222222222211111111110000000000
16078 10987654321098765432109876543210
16079 xxxxxxxxxx101110xxxxx1xx011101xx
16080 uabd. */
16081 return 326;
16082 }
16083 }
16084 else
16085 {
16086 if (((word >> 23) & 0x1) == 0)
16087 {
16088 if (((word >> 29) & 0x1) == 0)
16089 {
16090 /* 33222222222211111111110000000000
16091 10987654321098765432109876543210
16092 xxxxxxxxxx101111xxxxx1x0011100xx
16093 fmax. */
16094 return 294;
16095 }
16096 else
16097 {
16098 /* 33222222222211111111110000000000
16099 10987654321098765432109876543210
16100 xxxxxxxxxx101111xxxxx1x0011101xx
16101 fmaxp. */
16102 return 345;
16103 }
16104 }
16105 else
16106 {
16107 if (((word >> 29) & 0x1) == 0)
16108 {
16109 /* 33222222222211111111110000000000
16110 10987654321098765432109876543210
16111 xxxxxxxxxx101111xxxxx1x1011100xx
16112 fmin. */
16113 return 306;
16114 }
16115 else
16116 {
16117 /* 33222222222211111111110000000000
16118 10987654321098765432109876543210
16119 xxxxxxxxxx101111xxxxx1x1011101xx
16120 fminp. */
16121 return 359;
16122 }
16123 }
16124 }
16125 }
16126 }
16127 }
16128 }
16129 else
16130 {
16131 if (((word >> 29) & 0x1) == 0)
16132 {
16133 if (((word >> 30) & 0x1) == 0)
16134 {
16135 if (((word >> 4) & 0x1) == 0)
16136 {
16137 /* 33222222222211111111110000000000
16138 10987654321098765432109876543210
16139 xxxx0xxxxx10xxxxxxxxx1xx0111100x
16140 fccmp. */
16141 return 783;
16142 }
16143 else
16144 {
16145 /* 33222222222211111111110000000000
16146 10987654321098765432109876543210
16147 xxxx1xxxxx10xxxxxxxxx1xx0111100x
16148 fccmpe. */
16149 return 785;
16150 }
16151 }
16152 else
16153 {
16154 if (((word >> 12) & 0x1) == 0)
16155 {
16156 if (((word >> 13) & 0x1) == 0)
16157 {
16158 if (((word >> 14) & 0x1) == 0)
16159 {
16160 /* 33222222222211111111110000000000
16161 10987654321098765432109876543210
16162 xxxxxxxxxx10000xxxxxx1xx0111101x
16163 add. */
16164 return 556;
16165 }
16166 else
16167 {
16168 /* 33222222222211111111110000000000
16169 10987654321098765432109876543210
16170 xxxxxxxxxx10001xxxxxx1xx0111101x
16171 sshl. */
16172 return 554;
16173 }
16174 }
16175 else
16176 {
16177 /* 33222222222211111111110000000000
16178 10987654321098765432109876543210
16179 xxxxxxxxxx1001xxxxxxx1xx0111101x
16180 fcmeq. */
16181 return 546;
16182 }
16183 }
16184 else
16185 {
16186 if (((word >> 13) & 0x1) == 0)
16187 {
16188 /* 33222222222211111111110000000000
16189 10987654321098765432109876543210
16190 xxxxxxxxxx1010xxxxxxx1xx0111101x
16191 srshl. */
16192 return 555;
16193 }
16194 else
16195 {
16196 if (((word >> 15) & 0x1) == 0)
16197 {
16198 /* 33222222222211111111110000000000
16199 10987654321098765432109876543210
16200 xxxxxxxxxx1011x0xxxxx1xx0111101x
16201 cmgt. */
16202 return 552;
16203 }
16204 else
16205 {
16206 /* 33222222222211111111110000000000
16207 10987654321098765432109876543210
16208 xxxxxxxxxx1011x1xxxxx1xx0111101x
16209 sqdmulh. */
16210 return 543;
16211 }
16212 }
16213 }
16214 }
16215 }
16216 else
16217 {
16218 if (((word >> 12) & 0x1) == 0)
16219 {
16220 if (((word >> 13) & 0x1) == 0)
16221 {
16222 if (((word >> 14) & 0x1) == 0)
16223 {
16224 /* 33222222222211111111110000000000
16225 10987654321098765432109876543210
16226 xxxxxxxxxx10000xxxxxx1xx011111xx
16227 sub. */
16228 return 577;
16229 }
16230 else
16231 {
16232 /* 33222222222211111111110000000000
16233 10987654321098765432109876543210
16234 xxxxxxxxxx10001xxxxxx1xx011111xx
16235 ushl. */
16236 return 575;
16237 }
16238 }
16239 else
16240 {
16241 if (((word >> 23) & 0x1) == 0)
16242 {
16243 /* 33222222222211111111110000000000
16244 10987654321098765432109876543210
16245 xxxxxxxxxx1001xxxxxxx1x0011111xx
16246 fcmge. */
16247 return 563;
16248 }
16249 else
16250 {
16251 /* 33222222222211111111110000000000
16252 10987654321098765432109876543210
16253 xxxxxxxxxx1001xxxxxxx1x1011111xx
16254 fcmgt. */
16255 return 569;
16256 }
16257 }
16258 }
16259 else
16260 {
16261 if (((word >> 13) & 0x1) == 0)
16262 {
16263 if (((word >> 15) & 0x1) == 0)
16264 {
16265 /* 33222222222211111111110000000000
16266 10987654321098765432109876543210
16267 xxxxxxxxxx1010x0xxxxx1xx011111xx
16268 urshl. */
16269 return 576;
16270 }
16271 else
16272 {
16273 /* 33222222222211111111110000000000
16274 10987654321098765432109876543210
16275 xxxxxxxxxx1010x1xxxxx1xx011111xx
16276 fabd. */
16277 return 567;
16278 }
16279 }
16280 else
16281 {
16282 if (((word >> 15) & 0x1) == 0)
16283 {
16284 /* 33222222222211111111110000000000
16285 10987654321098765432109876543210
16286 xxxxxxxxxx1011x0xxxxx1xx011111xx
16287 cmhi. */
16288 return 573;
16289 }
16290 else
16291 {
16292 /* 33222222222211111111110000000000
16293 10987654321098765432109876543210
16294 xxxxxxxxxx1011x1xxxxx1xx011111xx
16295 sqrdmulh. */
16296 return 562;
16297 }
16298 }
16299 }
16300 }
16301 }
16302 }
16303 else
16304 {
16305 if (((word >> 28) & 0x1) == 0)
16306 {
16307 if (((word >> 12) & 0x1) == 0)
16308 {
16309 if (((word >> 13) & 0x1) == 0)
16310 {
16311 if (((word >> 14) & 0x1) == 0)
16312 {
16313 if (((word >> 15) & 0x1) == 0)
16314 {
16315 if (((word >> 29) & 0x1) == 0)
16316 {
16317 /* 33222222222211111111110000000000
16318 10987654321098765432109876543210
16319 xxxxxxxxxx110000xxxxx1xx011100xx
16320 sqadd. */
16321 return 262;
16322 }
16323 else
16324 {
16325 /* 33222222222211111111110000000000
16326 10987654321098765432109876543210
16327 xxxxxxxxxx110000xxxxx1xx011101xx
16328 uqadd. */
16329 return 314;
16330 }
16331 }
16332 else
16333 {
16334 if (((word >> 29) & 0x1) == 0)
16335 {
16336 /* 33222222222211111111110000000000
16337 10987654321098765432109876543210
16338 xxxxxxxxxx110001xxxxx1xx011100xx
16339 cmtst. */
16340 return 277;
16341 }
16342 else
16343 {
16344 /* 33222222222211111111110000000000
16345 10987654321098765432109876543210
16346 xxxxxxxxxx110001xxxxx1xx011101xx
16347 cmeq. */
16348 return 329;
16349 }
16350 }
16351 }
16352 else
16353 {
16354 if (((word >> 15) & 0x1) == 0)
16355 {
16356 if (((word >> 29) & 0x1) == 0)
16357 {
16358 /* 33222222222211111111110000000000
16359 10987654321098765432109876543210
16360 xxxxxxxxxx110010xxxxx1xx011100xx
16361 sqshl. */
16362 return 269;
16363 }
16364 else
16365 {
16366 /* 33222222222211111111110000000000
16367 10987654321098765432109876543210
16368 xxxxxxxxxx110010xxxxx1xx011101xx
16369 uqshl. */
16370 return 321;
16371 }
16372 }
16373 else
16374 {
16375 if (((word >> 23) & 0x1) == 0)
16376 {
16377 /* 33222222222211111111110000000000
16378 10987654321098765432109876543210
16379 xxxxxxxxxx110011xxxxx1x001110xxx
16380 fmla. */
16381 return 286;
16382 }
16383 else
16384 {
16385 /* 33222222222211111111110000000000
16386 10987654321098765432109876543210
16387 xxxxxxxxxx110011xxxxx1x101110xxx
16388 fmls. */
16389 return 302;
16390 }
16391 }
16392 }
16393 }
16394 else
16395 {
16396 if (((word >> 14) & 0x1) == 0)
16397 {
16398 if (((word >> 15) & 0x1) == 0)
16399 {
16400 if (((word >> 29) & 0x1) == 0)
16401 {
16402 /* 33222222222211111111110000000000
16403 10987654321098765432109876543210
16404 xxxxxxxxxx110100xxxxx1xx011100xx
16405 sqsub. */
16406 return 265;
16407 }
16408 else
16409 {
16410 /* 33222222222211111111110000000000
16411 10987654321098765432109876543210
16412 xxxxxxxxxx110100xxxxx1xx011101xx
16413 uqsub. */
16414 return 317;
16415 }
16416 }
16417 else
16418 {
16419 if (((word >> 29) & 0x1) == 0)
16420 {
16421 /* 33222222222211111111110000000000
16422 10987654321098765432109876543210
16423 xxxxxxxxxx110101xxxxx1xx011100xx
16424 sminp. */
16425 return 281;
16426 }
16427 else
16428 {
16429 /* 33222222222211111111110000000000
16430 10987654321098765432109876543210
16431 xxxxxxxxxx110101xxxxx1xx011101xx
16432 uminp. */
16433 return 333;
16434 }
16435 }
16436 }
16437 else
16438 {
16439 if (((word >> 15) & 0x1) == 0)
16440 {
16441 if (((word >> 29) & 0x1) == 0)
16442 {
16443 /* 33222222222211111111110000000000
16444 10987654321098765432109876543210
16445 xxxxxxxxxx110110xxxxx1xx011100xx
16446 smin. */
16447 return 273;
16448 }
16449 else
16450 {
16451 /* 33222222222211111111110000000000
16452 10987654321098765432109876543210
16453 xxxxxxxxxx110110xxxxx1xx011101xx
16454 umin. */
16455 return 325;
16456 }
16457 }
16458 else
16459 {
16460 if (((word >> 23) & 0x1) == 0)
16461 {
16462 /* 33222222222211111111110000000000
16463 10987654321098765432109876543210
16464 xxxxxxxxxx110111xxxxx1x001110xxx
16465 facge. */
16466 return 343;
16467 }
16468 else
16469 {
16470 /* 33222222222211111111110000000000
16471 10987654321098765432109876543210
16472 xxxxxxxxxx110111xxxxx1x101110xxx
16473 facgt. */
16474 return 357;
16475 }
16476 }
16477 }
16478 }
16479 }
16480 else
16481 {
16482 if (((word >> 13) & 0x1) == 0)
16483 {
16484 if (((word >> 14) & 0x1) == 0)
16485 {
16486 if (((word >> 15) & 0x1) == 0)
16487 {
16488 if (((word >> 22) & 0x1) == 0)
16489 {
16490 if (((word >> 23) & 0x1) == 0)
16491 {
16492 if (((word >> 29) & 0x1) == 0)
16493 {
16494 /* 33222222222211111111110000000000
16495 10987654321098765432109876543210
16496 xxxxxxxxxx111000xxxxx100011100xx
16497 and. */
16498 return 298;
16499 }
16500 else
16501 {
16502 /* 33222222222211111111110000000000
16503 10987654321098765432109876543210
16504 xxxxxxxxxx111000xxxxx100011101xx
16505 eor. */
16506 return 349;
16507 }
16508 }
16509 else
16510 {
16511 if (((word >> 29) & 0x1) == 0)
16512 {
16513 /* 33222222222211111111110000000000
16514 10987654321098765432109876543210
16515 xxxxxxxxxx111000xxxxx101011100xx
16516 orr. */
16517 return 310;
16518 }
16519 else
16520 {
16521 /* 33222222222211111111110000000000
16522 10987654321098765432109876543210
16523 xxxxxxxxxx111000xxxxx101011101xx
16524 bit. */
16525 return 361;
16526 }
16527 }
16528 }
16529 else
16530 {
16531 if (((word >> 23) & 0x1) == 0)
16532 {
16533 if (((word >> 29) & 0x1) == 0)
16534 {
16535 /* 33222222222211111111110000000000
16536 10987654321098765432109876543210
16537 xxxxxxxxxx111000xxxxx110011100xx
16538 bic. */
16539 return 299;
16540 }
16541 else
16542 {
16543 /* 33222222222211111111110000000000
16544 10987654321098765432109876543210
16545 xxxxxxxxxx111000xxxxx110011101xx
16546 bsl. */
16547 return 350;
16548 }
16549 }
16550 else
16551 {
16552 if (((word >> 29) & 0x1) == 0)
16553 {
16554 /* 33222222222211111111110000000000
16555 10987654321098765432109876543210
16556 xxxxxxxxxx111000xxxxx111011100xx
16557 orn. */
16558 return 312;
16559 }
16560 else
16561 {
16562 /* 33222222222211111111110000000000
16563 10987654321098765432109876543210
16564 xxxxxxxxxx111000xxxxx111011101xx
16565 bif. */
16566 return 362;
16567 }
16568 }
16569 }
16570 }
16571 else
16572 {
16573 if (((word >> 29) & 0x1) == 0)
16574 {
16575 /* 33222222222211111111110000000000
16576 10987654321098765432109876543210
16577 xxxxxxxxxx111001xxxxx1xx011100xx
16578 mul. */
16579 return 279;
16580 }
16581 else
16582 {
16583 /* 33222222222211111111110000000000
16584 10987654321098765432109876543210
16585 xxxxxxxxxx111001xxxxx1xx011101xx
16586 pmul. */
16587 return 331;
16588 }
16589 }
16590 }
16591 else
16592 {
16593 if (((word >> 15) & 0x1) == 0)
16594 {
16595 if (((word >> 29) & 0x1) == 0)
16596 {
16597 /* 33222222222211111111110000000000
16598 10987654321098765432109876543210
16599 xxxxxxxxxx111010xxxxx1xx011100xx
16600 sqrshl. */
16601 return 271;
16602 }
16603 else
16604 {
16605 /* 33222222222211111111110000000000
16606 10987654321098765432109876543210
16607 xxxxxxxxxx111010xxxxx1xx011101xx
16608 uqrshl. */
16609 return 323;
16610 }
16611 }
16612 else
16613 {
16614 if (((word >> 29) & 0x1) == 0)
16615 {
16616 /* 33222222222211111111110000000000
16617 10987654321098765432109876543210
16618 xxxxxxxxxx111011xxxxx1xx011100xx
16619 fmulx. */
16620 return 290;
16621 }
16622 else
16623 {
16624 /* 33222222222211111111110000000000
16625 10987654321098765432109876543210
16626 xxxxxxxxxx111011xxxxx1xx011101xx
16627 fmul. */
16628 return 339;
16629 }
16630 }
16631 }
16632 }
16633 else
16634 {
16635 if (((word >> 14) & 0x1) == 0)
16636 {
16637 if (((word >> 15) & 0x1) == 0)
16638 {
16639 if (((word >> 29) & 0x1) == 0)
16640 {
16641 /* 33222222222211111111110000000000
16642 10987654321098765432109876543210
16643 xxxxxxxxxx111100xxxxx1xx011100xx
16644 cmge. */
16645 return 267;
16646 }
16647 else
16648 {
16649 /* 33222222222211111111110000000000
16650 10987654321098765432109876543210
16651 xxxxxxxxxx111100xxxxx1xx011101xx
16652 cmhs. */
16653 return 319;
16654 }
16655 }
16656 else
16657 {
16658 /* 33222222222211111111110000000000
16659 10987654321098765432109876543210
16660 xxxxxxxxxx111101xxxxx1xx01110xxx
16661 addp. */
16662 return 283;
16663 }
16664 }
16665 else
16666 {
16667 if (((word >> 15) & 0x1) == 0)
16668 {
16669 if (((word >> 29) & 0x1) == 0)
16670 {
16671 /* 33222222222211111111110000000000
16672 10987654321098765432109876543210
16673 xxxxxxxxxx111110xxxxx1xx011100xx
16674 saba. */
16675 return 275;
16676 }
16677 else
16678 {
16679 /* 33222222222211111111110000000000
16680 10987654321098765432109876543210
16681 xxxxxxxxxx111110xxxxx1xx011101xx
16682 uaba. */
16683 return 327;
16684 }
16685 }
16686 else
16687 {
16688 if (((word >> 23) & 0x1) == 0)
16689 {
16690 if (((word >> 29) & 0x1) == 0)
16691 {
16692 /* 33222222222211111111110000000000
16693 10987654321098765432109876543210
16694 xxxxxxxxxx111111xxxxx1x0011100xx
16695 frecps. */
16696 return 296;
16697 }
16698 else
16699 {
16700 /* 33222222222211111111110000000000
16701 10987654321098765432109876543210
16702 xxxxxxxxxx111111xxxxx1x0011101xx
16703 fdiv. */
16704 return 347;
16705 }
16706 }
16707 else
16708 {
16709 /* 33222222222211111111110000000000
16710 10987654321098765432109876543210
16711 xxxxxxxxxx111111xxxxx1x101110xxx
16712 frsqrts. */
16713 return 308;
16714 }
16715 }
16716 }
16717 }
16718 }
16719 }
16720 else
16721 {
16722 if (((word >> 29) & 0x1) == 0)
16723 {
16724 if (((word >> 30) & 0x1) == 0)
16725 {
16726 /* 33222222222211111111110000000000
16727 10987654321098765432109876543210
16728 xxxxxxxxxx11xxxxxxxxx1xx0111100x
16729 fcsel. */
16730 return 846;
16731 }
16732 else
16733 {
16734 if (((word >> 12) & 0x1) == 0)
16735 {
16736 if (((word >> 13) & 0x1) == 0)
16737 {
16738 if (((word >> 14) & 0x1) == 0)
16739 {
16740 if (((word >> 15) & 0x1) == 0)
16741 {
16742 /* 33222222222211111111110000000000
16743 10987654321098765432109876543210
16744 xxxxxxxxxx110000xxxxx1xx0111101x
16745 sqadd. */
16746 return 539;
16747 }
16748 else
16749 {
16750 /* 33222222222211111111110000000000
16751 10987654321098765432109876543210
16752 xxxxxxxxxx110001xxxxx1xx0111101x
16753 cmtst. */
16754 return 557;
16755 }
16756 }
16757 else
16758 {
16759 /* 33222222222211111111110000000000
16760 10987654321098765432109876543210
16761 xxxxxxxxxx11001xxxxxx1xx0111101x
16762 sqshl. */
16763 return 541;
16764 }
16765 }
16766 else
16767 {
16768 /* 33222222222211111111110000000000
16769 10987654321098765432109876543210
16770 xxxxxxxxxx1101xxxxxxx1xx0111101x
16771 sqsub. */
16772 return 540;
16773 }
16774 }
16775 else
16776 {
16777 if (((word >> 13) & 0x1) == 0)
16778 {
16779 if (((word >> 15) & 0x1) == 0)
16780 {
16781 /* 33222222222211111111110000000000
16782 10987654321098765432109876543210
16783 xxxxxxxxxx1110x0xxxxx1xx0111101x
16784 sqrshl. */
16785 return 542;
16786 }
16787 else
16788 {
16789 /* 33222222222211111111110000000000
16790 10987654321098765432109876543210
16791 xxxxxxxxxx1110x1xxxxx1xx0111101x
16792 fmulx. */
16793 return 544;
16794 }
16795 }
16796 else
16797 {
16798 if (((word >> 14) & 0x1) == 0)
16799 {
16800 /* 33222222222211111111110000000000
16801 10987654321098765432109876543210
16802 xxxxxxxxxx11110xxxxxx1xx0111101x
16803 cmge. */
16804 return 553;
16805 }
16806 else
16807 {
16808 if (((word >> 23) & 0x1) == 0)
16809 {
16810 /* 33222222222211111111110000000000
16811 10987654321098765432109876543210
16812 xxxxxxxxxx11111xxxxxx1x00111101x
16813 frecps. */
16814 return 548;
16815 }
16816 else
16817 {
16818 /* 33222222222211111111110000000000
16819 10987654321098765432109876543210
16820 xxxxxxxxxx11111xxxxxx1x10111101x
16821 frsqrts. */
16822 return 550;
16823 }
16824 }
16825 }
16826 }
16827 }
16828 }
16829 else
16830 {
16831 if (((word >> 12) & 0x1) == 0)
16832 {
16833 if (((word >> 13) & 0x1) == 0)
16834 {
16835 if (((word >> 14) & 0x1) == 0)
16836 {
16837 if (((word >> 15) & 0x1) == 0)
16838 {
16839 /* 33222222222211111111110000000000
16840 10987654321098765432109876543210
16841 xxxxxxxxxx110000xxxxx1xx011111xx
16842 uqadd. */
16843 return 558;
16844 }
16845 else
16846 {
16847 /* 33222222222211111111110000000000
16848 10987654321098765432109876543210
16849 xxxxxxxxxx110001xxxxx1xx011111xx
16850 cmeq. */
16851 return 578;
16852 }
16853 }
16854 else
16855 {
16856 /* 33222222222211111111110000000000
16857 10987654321098765432109876543210
16858 xxxxxxxxxx11001xxxxxx1xx011111xx
16859 uqshl. */
16860 return 560;
16861 }
16862 }
16863 else
16864 {
16865 if (((word >> 14) & 0x1) == 0)
16866 {
16867 /* 33222222222211111111110000000000
16868 10987654321098765432109876543210
16869 xxxxxxxxxx11010xxxxxx1xx011111xx
16870 uqsub. */
16871 return 559;
16872 }
16873 else
16874 {
16875 if (((word >> 23) & 0x1) == 0)
16876 {
16877 /* 33222222222211111111110000000000
16878 10987654321098765432109876543210
16879 xxxxxxxxxx11011xxxxxx1x0011111xx
16880 facge. */
16881 return 565;
16882 }
16883 else
16884 {
16885 /* 33222222222211111111110000000000
16886 10987654321098765432109876543210
16887 xxxxxxxxxx11011xxxxxx1x1011111xx
16888 facgt. */
16889 return 571;
16890 }
16891 }
16892 }
16893 }
16894 else
16895 {
16896 if (((word >> 13) & 0x1) == 0)
16897 {
16898 /* 33222222222211111111110000000000
16899 10987654321098765432109876543210
16900 xxxxxxxxxx1110xxxxxxx1xx011111xx
16901 uqrshl. */
16902 return 561;
16903 }
16904 else
16905 {
16906 /* 33222222222211111111110000000000
16907 10987654321098765432109876543210
16908 xxxxxxxxxx1111xxxxxxx1xx011111xx
16909 cmhs. */
16910 return 574;
16911 }
16912 }
16913 }
16914 }
16915 }
16916 }
16917 }
16918 }
16919 else
16920 {
16921 if (((word >> 15) & 0x1) == 0)
16922 {
16923 if (((word >> 28) & 0x1) == 0)
16924 {
16925 if (((word >> 10) & 0x1) == 0)
16926 {
16927 if (((word >> 12) & 0x1) == 0)
16928 {
16929 if (((word >> 13) & 0x1) == 0)
16930 {
16931 if (((word >> 14) & 0x1) == 0)
16932 {
16933 /* 33222222222211111111110000000000
16934 10987654321098765432109876543210
16935 xxxxxxxxxx0x0000xxxxxxxx11110xxx
16936 mla. */
16937 return 117;
16938 }
16939 else
16940 {
16941 /* 33222222222211111111110000000000
16942 10987654321098765432109876543210
16943 xxxxxxxxxx0x0010xxxxxxxx11110xxx
16944 mls. */
16945 return 120;
16946 }
16947 }
16948 else
16949 {
16950 if (((word >> 14) & 0x1) == 0)
16951 {
16952 if (((word >> 29) & 0x1) == 0)
16953 {
16954 if (((word >> 30) & 0x1) == 0)
16955 {
16956 /* 33222222222211111111110000000000
16957 10987654321098765432109876543210
16958 xxxxxxxxxx0x0100xxxxxxxx1111000x
16959 smlal. */
16960 return 96;
16961 }
16962 else
16963 {
16964 /* 33222222222211111111110000000000
16965 10987654321098765432109876543210
16966 xxxxxxxxxx0x0100xxxxxxxx1111001x
16967 smlal2. */
16968 return 97;
16969 }
16970 }
16971 else
16972 {
16973 if (((word >> 30) & 0x1) == 0)
16974 {
16975 /* 33222222222211111111110000000000
16976 10987654321098765432109876543210
16977 xxxxxxxxxx0x0100xxxxxxxx1111010x
16978 umlal. */
16979 return 118;
16980 }
16981 else
16982 {
16983 /* 33222222222211111111110000000000
16984 10987654321098765432109876543210
16985 xxxxxxxxxx0x0100xxxxxxxx1111011x
16986 umlal2. */
16987 return 119;
16988 }
16989 }
16990 }
16991 else
16992 {
16993 if (((word >> 29) & 0x1) == 0)
16994 {
16995 if (((word >> 30) & 0x1) == 0)
16996 {
16997 /* 33222222222211111111110000000000
16998 10987654321098765432109876543210
16999 xxxxxxxxxx0x0110xxxxxxxx1111000x
17000 smlsl. */
17001 return 100;
17002 }
17003 else
17004 {
17005 /* 33222222222211111111110000000000
17006 10987654321098765432109876543210
17007 xxxxxxxxxx0x0110xxxxxxxx1111001x
17008 smlsl2. */
17009 return 101;
17010 }
17011 }
17012 else
17013 {
17014 if (((word >> 30) & 0x1) == 0)
17015 {
17016 /* 33222222222211111111110000000000
17017 10987654321098765432109876543210
17018 xxxxxxxxxx0x0110xxxxxxxx1111010x
17019 umlsl. */
17020 return 121;
17021 }
17022 else
17023 {
17024 /* 33222222222211111111110000000000
17025 10987654321098765432109876543210
17026 xxxxxxxxxx0x0110xxxxxxxx1111011x
17027 umlsl2. */
17028 return 122;
17029 }
17030 }
17031 }
17032 }
17033 }
17034 else
17035 {
17036 if (((word >> 13) & 0x1) == 0)
17037 {
17038 if (((word >> 14) & 0x1) == 0)
17039 {
17040 if (((word >> 23) & 0x1) == 0)
17041 {
17042 /* 33222222222211111111110000000000
17043 10987654321098765432109876543210
17044 xxxxxxxxxx0x1000xxxxxxx011110xxx
17045 fmla. */
17046 return 112;
17047 }
17048 else
17049 {
17050 /* 33222222222211111111110000000000
17051 10987654321098765432109876543210
17052 xxxxxxxxxx0x1000xxxxxxx111110xxx
17053 fmla. */
17054 return 111;
17055 }
17056 }
17057 else
17058 {
17059 if (((word >> 23) & 0x1) == 0)
17060 {
17061 /* 33222222222211111111110000000000
17062 10987654321098765432109876543210
17063 xxxxxxxxxx0x1010xxxxxxx011110xxx
17064 fmls. */
17065 return 114;
17066 }
17067 else
17068 {
17069 /* 33222222222211111111110000000000
17070 10987654321098765432109876543210
17071 xxxxxxxxxx0x1010xxxxxxx111110xxx
17072 fmls. */
17073 return 113;
17074 }
17075 }
17076 }
17077 else
17078 {
17079 if (((word >> 14) & 0x1) == 0)
17080 {
17081 if (((word >> 30) & 0x1) == 0)
17082 {
17083 /* 33222222222211111111110000000000
17084 10987654321098765432109876543210
17085 xxxxxxxxxx0x1100xxxxxxxx11110x0x
17086 sqdmlal. */
17087 return 98;
17088 }
17089 else
17090 {
17091 /* 33222222222211111111110000000000
17092 10987654321098765432109876543210
17093 xxxxxxxxxx0x1100xxxxxxxx11110x1x
17094 sqdmlal2. */
17095 return 99;
17096 }
17097 }
17098 else
17099 {
17100 if (((word >> 30) & 0x1) == 0)
17101 {
17102 /* 33222222222211111111110000000000
17103 10987654321098765432109876543210
17104 xxxxxxxxxx0x1110xxxxxxxx11110x0x
17105 sqdmlsl. */
17106 return 102;
17107 }
17108 else
17109 {
17110 /* 33222222222211111111110000000000
17111 10987654321098765432109876543210
17112 xxxxxxxxxx0x1110xxxxxxxx11110x1x
17113 sqdmlsl2. */
17114 return 103;
17115 }
17116 }
17117 }
17118 }
17119 }
17120 else
17121 {
17122 if (((word >> 12) & 0x1) == 0)
17123 {
17124 if (((word >> 29) & 0x1) == 0)
17125 {
17126 /* 33222222222211111111110000000000
17127 10987654321098765432109876543210
17128 xxxxxxxxxx1x0xx0xxxxxxxx111100xx
17129 movi. */
17130 return 130;
17131 }
17132 else
17133 {
17134 /* 33222222222211111111110000000000
17135 10987654321098765432109876543210
17136 xxxxxxxxxx1x0xx0xxxxxxxx111101xx
17137 mvni. */
17138 return 138;
17139 }
17140 }
17141 else
17142 {
17143 if (((word >> 29) & 0x1) == 0)
17144 {
17145 /* 33222222222211111111110000000000
17146 10987654321098765432109876543210
17147 xxxxxxxxxx1x1xx0xxxxxxxx111100xx
17148 orr. */
17149 return 131;
17150 }
17151 else
17152 {
17153 /* 33222222222211111111110000000000
17154 10987654321098765432109876543210
17155 xxxxxxxxxx1x1xx0xxxxxxxx111101xx
17156 bic. */
17157 return 139;
17158 }
17159 }
17160 }
17161 }
17162 else
17163 {
17164 if (((word >> 29) & 0x1) == 0)
17165 {
17166 if (((word >> 30) & 0x1) == 0)
17167 {
17168 if (((word >> 21) & 0x1) == 0)
17169 {
17170 /* 33222222222211111111110000000000
17171 10987654321098765432109876543210
17172 xxxxxxxxxxxxxxx0xxxxx0xx1111100x
17173 fmadd. */
17174 return 836;
17175 }
17176 else
17177 {
17178 /* 33222222222211111111110000000000
17179 10987654321098765432109876543210
17180 xxxxxxxxxxxxxxx0xxxxx1xx1111100x
17181 fnmadd. */
17182 return 840;
17183 }
17184 }
17185 else
17186 {
17187 if (((word >> 10) & 0x1) == 0)
17188 {
17189 if (((word >> 13) & 0x1) == 0)
17190 {
17191 if (((word >> 14) & 0x1) == 0)
17192 {
17193 if (((word >> 23) & 0x1) == 0)
17194 {
17195 /* 33222222222211111111110000000000
17196 10987654321098765432109876543210
17197 xxxxxxxxxx0xx000xxxxxxx01111101x
17198 fmla. */
17199 return 422;
17200 }
17201 else
17202 {
17203 /* 33222222222211111111110000000000
17204 10987654321098765432109876543210
17205 xxxxxxxxxx0xx000xxxxxxx11111101x
17206 fmla. */
17207 return 421;
17208 }
17209 }
17210 else
17211 {
17212 if (((word >> 23) & 0x1) == 0)
17213 {
17214 /* 33222222222211111111110000000000
17215 10987654321098765432109876543210
17216 xxxxxxxxxx0xx010xxxxxxx01111101x
17217 fmls. */
17218 return 424;
17219 }
17220 else
17221 {
17222 /* 33222222222211111111110000000000
17223 10987654321098765432109876543210
17224 xxxxxxxxxx0xx010xxxxxxx11111101x
17225 fmls. */
17226 return 423;
17227 }
17228 }
17229 }
17230 else
17231 {
17232 if (((word >> 14) & 0x1) == 0)
17233 {
17234 /* 33222222222211111111110000000000
17235 10987654321098765432109876543210
17236 xxxxxxxxxx0xx100xxxxxxxx1111101x
17237 sqdmlal. */
17238 return 416;
17239 }
17240 else
17241 {
17242 /* 33222222222211111111110000000000
17243 10987654321098765432109876543210
17244 xxxxxxxxxx0xx110xxxxxxxx1111101x
17245 sqdmlsl. */
17246 return 417;
17247 }
17248 }
17249 }
17250 else
17251 {
17252 if (((word >> 12) & 0x1) == 0)
17253 {
17254 if (((word >> 13) & 0x1) == 0)
17255 {
17256 /* 33222222222211111111110000000000
17257 10987654321098765432109876543210
17258 xxxxxxxxxx1x00x0xxxxxxxx1111101x
17259 sshr. */
17260 return 581;
17261 }
17262 else
17263 {
17264 /* 33222222222211111111110000000000
17265 10987654321098765432109876543210
17266 xxxxxxxxxx1x01x0xxxxxxxx1111101x
17267 srshr. */
17268 return 583;
17269 }
17270 }
17271 else
17272 {
17273 if (((word >> 13) & 0x1) == 0)
17274 {
17275 if (((word >> 14) & 0x1) == 0)
17276 {
17277 /* 33222222222211111111110000000000
17278 10987654321098765432109876543210
17279 xxxxxxxxxx1x1000xxxxxxxx1111101x
17280 ssra. */
17281 return 582;
17282 }
17283 else
17284 {
17285 /* 33222222222211111111110000000000
17286 10987654321098765432109876543210
17287 xxxxxxxxxx1x1010xxxxxxxx1111101x
17288 shl. */
17289 return 585;
17290 }
17291 }
17292 else
17293 {
17294 if (((word >> 14) & 0x1) == 0)
17295 {
17296 /* 33222222222211111111110000000000
17297 10987654321098765432109876543210
17298 xxxxxxxxxx1x1100xxxxxxxx1111101x
17299 srsra. */
17300 return 584;
17301 }
17302 else
17303 {
17304 /* 33222222222211111111110000000000
17305 10987654321098765432109876543210
17306 xxxxxxxxxx1x1110xxxxxxxx1111101x
17307 sqshl. */
17308 return 586;
17309 }
17310 }
17311 }
17312 }
17313 }
17314 }
17315 else
17316 {
17317 if (((word >> 12) & 0x1) == 0)
17318 {
17319 if (((word >> 13) & 0x1) == 0)
17320 {
17321 if (((word >> 14) & 0x1) == 0)
17322 {
17323 /* 33222222222211111111110000000000
17324 10987654321098765432109876543210
17325 xxxxxxxxxxxx0000xxxxxxxx111111xx
17326 ushr. */
17327 return 593;
17328 }
17329 else
17330 {
17331 /* 33222222222211111111110000000000
17332 10987654321098765432109876543210
17333 xxxxxxxxxxxx0010xxxxxxxx111111xx
17334 sri. */
17335 return 597;
17336 }
17337 }
17338 else
17339 {
17340 if (((word >> 14) & 0x1) == 0)
17341 {
17342 /* 33222222222211111111110000000000
17343 10987654321098765432109876543210
17344 xxxxxxxxxxxx0100xxxxxxxx111111xx
17345 urshr. */
17346 return 595;
17347 }
17348 else
17349 {
17350 /* 33222222222211111111110000000000
17351 10987654321098765432109876543210
17352 xxxxxxxxxxxx0110xxxxxxxx111111xx
17353 sqshlu. */
17354 return 599;
17355 }
17356 }
17357 }
17358 else
17359 {
17360 if (((word >> 13) & 0x1) == 0)
17361 {
17362 if (((word >> 14) & 0x1) == 0)
17363 {
17364 /* 33222222222211111111110000000000
17365 10987654321098765432109876543210
17366 xxxxxxxxxxxx1000xxxxxxxx111111xx
17367 usra. */
17368 return 594;
17369 }
17370 else
17371 {
17372 /* 33222222222211111111110000000000
17373 10987654321098765432109876543210
17374 xxxxxxxxxxxx1010xxxxxxxx111111xx
17375 sli. */
17376 return 598;
17377 }
17378 }
17379 else
17380 {
17381 if (((word >> 14) & 0x1) == 0)
17382 {
17383 /* 33222222222211111111110000000000
17384 10987654321098765432109876543210
17385 xxxxxxxxxxxx1100xxxxxxxx111111xx
17386 ursra. */
17387 return 596;
17388 }
17389 else
17390 {
17391 /* 33222222222211111111110000000000
17392 10987654321098765432109876543210
17393 xxxxxxxxxxxx1110xxxxxxxx111111xx
17394 uqshl. */
17395 return 600;
17396 }
17397 }
17398 }
17399 }
17400 }
17401 }
17402 else
17403 {
17404 if (((word >> 28) & 0x1) == 0)
17405 {
17406 if (((word >> 10) & 0x1) == 0)
17407 {
17408 if (((word >> 12) & 0x1) == 0)
17409 {
17410 if (((word >> 13) & 0x1) == 0)
17411 {
17412 if (((word >> 14) & 0x1) == 0)
17413 {
17414 /* 33222222222211111111110000000000
17415 10987654321098765432109876543210
17416 xxxxxxxxxx0x0001xxxxxxxx11110xxx
17417 mul. */
17418 return 104;
17419 }
17420 else
17421 {
17422 /* 33222222222211111111110000000000
17423 10987654321098765432109876543210
17424 xxxxxxxxxx0x0011xxxxxxxx11110xxx
17425 sqdmulh. */
17426 return 109;
17427 }
17428 }
17429 else
17430 {
17431 if (((word >> 29) & 0x1) == 0)
17432 {
17433 if (((word >> 30) & 0x1) == 0)
17434 {
17435 /* 33222222222211111111110000000000
17436 10987654321098765432109876543210
17437 xxxxxxxxxx0x01x1xxxxxxxx1111000x
17438 smull. */
17439 return 105;
17440 }
17441 else
17442 {
17443 /* 33222222222211111111110000000000
17444 10987654321098765432109876543210
17445 xxxxxxxxxx0x01x1xxxxxxxx1111001x
17446 smull2. */
17447 return 106;
17448 }
17449 }
17450 else
17451 {
17452 if (((word >> 30) & 0x1) == 0)
17453 {
17454 /* 33222222222211111111110000000000
17455 10987654321098765432109876543210
17456 xxxxxxxxxx0x01x1xxxxxxxx1111010x
17457 umull. */
17458 return 123;
17459 }
17460 else
17461 {
17462 /* 33222222222211111111110000000000
17463 10987654321098765432109876543210
17464 xxxxxxxxxx0x01x1xxxxxxxx1111011x
17465 umull2. */
17466 return 124;
17467 }
17468 }
17469 }
17470 }
17471 else
17472 {
17473 if (((word >> 13) & 0x1) == 0)
17474 {
17475 if (((word >> 14) & 0x1) == 0)
17476 {
17477 if (((word >> 23) & 0x1) == 0)
17478 {
17479 if (((word >> 29) & 0x1) == 0)
17480 {
17481 /* 33222222222211111111110000000000
17482 10987654321098765432109876543210
17483 xxxxxxxxxx0x1001xxxxxxx0111100xx
17484 fmul. */
17485 return 116;
17486 }
17487 else
17488 {
17489 /* 33222222222211111111110000000000
17490 10987654321098765432109876543210
17491 xxxxxxxxxx0x1001xxxxxxx0111101xx
17492 fmulx. */
17493 return 126;
17494 }
17495 }
17496 else
17497 {
17498 if (((word >> 29) & 0x1) == 0)
17499 {
17500 /* 33222222222211111111110000000000
17501 10987654321098765432109876543210
17502 xxxxxxxxxx0x1001xxxxxxx1111100xx
17503 fmul. */
17504 return 115;
17505 }
17506 else
17507 {
17508 /* 33222222222211111111110000000000
17509 10987654321098765432109876543210
17510 xxxxxxxxxx0x1001xxxxxxx1111101xx
17511 fmulx. */
17512 return 125;
17513 }
17514 }
17515 }
17516 else
17517 {
17518 if (((word >> 29) & 0x1) == 0)
17519 {
17520 /* 33222222222211111111110000000000
17521 10987654321098765432109876543210
17522 xxxxxxxxxx0x1011xxxxxxxx111100xx
17523 sqrdmulh. */
17524 return 110;
17525 }
17526 else
17527 {
17528 /* 33222222222211111111110000000000
17529 10987654321098765432109876543210
17530 xxxxxxxxxx0x1011xxxxxxxx111101xx
17531 sqrdmlah. */
17532 return 127;
17533 }
17534 }
17535 }
17536 else
17537 {
17538 if (((word >> 14) & 0x1) == 0)
17539 {
17540 if (((word >> 30) & 0x1) == 0)
17541 {
17542 /* 33222222222211111111110000000000
17543 10987654321098765432109876543210
17544 xxxxxxxxxx0x1101xxxxxxxx11110x0x
17545 sqdmull. */
17546 return 107;
17547 }
17548 else
17549 {
17550 /* 33222222222211111111110000000000
17551 10987654321098765432109876543210
17552 xxxxxxxxxx0x1101xxxxxxxx11110x1x
17553 sqdmull2. */
17554 return 108;
17555 }
17556 }
17557 else
17558 {
17559 /* 33222222222211111111110000000000
17560 10987654321098765432109876543210
17561 xxxxxxxxxx0x1111xxxxxxxx11110xxx
17562 sqrdmlsh. */
17563 return 128;
17564 }
17565 }
17566 }
17567 }
17568 else
17569 {
17570 if (((word >> 11) & 0x1) == 0)
17571 {
17572 if (((word >> 14) & 0x1) == 0)
17573 {
17574 if (((word >> 12) & 0x1) == 0)
17575 {
17576 if (((word >> 29) & 0x1) == 0)
17577 {
17578 /* 33222222222211111111110000000000
17579 10987654321098765432109876543210
17580 xxxxxxxxxx100x01xxxxxxxx111100xx
17581 movi. */
17582 return 132;
17583 }
17584 else
17585 {
17586 /* 33222222222211111111110000000000
17587 10987654321098765432109876543210
17588 xxxxxxxxxx100x01xxxxxxxx111101xx
17589 mvni. */
17590 return 140;
17591 }
17592 }
17593 else
17594 {
17595 if (((word >> 29) & 0x1) == 0)
17596 {
17597 /* 33222222222211111111110000000000
17598 10987654321098765432109876543210
17599 xxxxxxxxxx101x01xxxxxxxx111100xx
17600 orr. */
17601 return 133;
17602 }
17603 else
17604 {
17605 /* 33222222222211111111110000000000
17606 10987654321098765432109876543210
17607 xxxxxxxxxx101x01xxxxxxxx111101xx
17608 bic. */
17609 return 141;
17610 }
17611 }
17612 }
17613 else
17614 {
17615 if (((word >> 13) & 0x1) == 0)
17616 {
17617 if (((word >> 29) & 0x1) == 0)
17618 {
17619 /* 33222222222211111111110000000000
17620 10987654321098765432109876543210
17621 xxxxxxxxxx10x011xxxxxxxx111100xx
17622 movi. */
17623 return 134;
17624 }
17625 else
17626 {
17627 /* 33222222222211111111110000000000
17628 10987654321098765432109876543210
17629 xxxxxxxxxx10x011xxxxxxxx111101xx
17630 mvni. */
17631 return 142;
17632 }
17633 }
17634 else
17635 {
17636 if (((word >> 12) & 0x1) == 0)
17637 {
17638 if (((word >> 29) & 0x1) == 0)
17639 {
17640 /* 33222222222211111111110000000000
17641 10987654321098765432109876543210
17642 xxxxxxxxxx100111xxxxxxxx111100xx
17643 movi. */
17644 return 135;
17645 }
17646 else
17647 {
17648 /* 33222222222211111111110000000000
17649 10987654321098765432109876543210
17650 xxxxxxxxxx100111xxxxxxxx111101xx
17651 movi. */
17652 return 143;
17653 }
17654 }
17655 else
17656 {
17657 if (((word >> 29) & 0x1) == 0)
17658 {
17659 /* 33222222222211111111110000000000
17660 10987654321098765432109876543210
17661 xxxxxxxxxx101111xxxxxxxx111100xx
17662 fmov. */
17663 return 136;
17664 }
17665 else
17666 {
17667 /* 33222222222211111111110000000000
17668 10987654321098765432109876543210
17669 xxxxxxxxxx101111xxxxxxxx111101xx
17670 fmov. */
17671 return 145;
17672 }
17673 }
17674 }
17675 }
17676 }
17677 else
17678 {
17679 if (((word >> 12) & 0x1) == 0)
17680 {
17681 if (((word >> 29) & 0x1) == 0)
17682 {
17683 if (((word >> 30) & 0x1) == 0)
17684 {
17685 /* 33222222222211111111110000000000
17686 10987654321098765432109876543210
17687 xxxxxxxxxx110xx1xxxxxxxx1111000x
17688 rshrn. */
17689 return 373;
17690 }
17691 else
17692 {
17693 /* 33222222222211111111110000000000
17694 10987654321098765432109876543210
17695 xxxxxxxxxx110xx1xxxxxxxx1111001x
17696 rshrn2. */
17697 return 374;
17698 }
17699 }
17700 else
17701 {
17702 if (((word >> 30) & 0x1) == 0)
17703 {
17704 /* 33222222222211111111110000000000
17705 10987654321098765432109876543210
17706 xxxxxxxxxx110xx1xxxxxxxx1111010x
17707 sqrshrun. */
17708 return 397;
17709 }
17710 else
17711 {
17712 /* 33222222222211111111110000000000
17713 10987654321098765432109876543210
17714 xxxxxxxxxx110xx1xxxxxxxx1111011x
17715 sqrshrun2. */
17716 return 398;
17717 }
17718 }
17719 }
17720 else
17721 {
17722 if (((word >> 13) & 0x1) == 0)
17723 {
17724 if (((word >> 29) & 0x1) == 0)
17725 {
17726 if (((word >> 30) & 0x1) == 0)
17727 {
17728 /* 33222222222211111111110000000000
17729 10987654321098765432109876543210
17730 xxxxxxxxxx1110x1xxxxxxxx1111000x
17731 sqrshrn. */
17732 return 377;
17733 }
17734 else
17735 {
17736 /* 33222222222211111111110000000000
17737 10987654321098765432109876543210
17738 xxxxxxxxxx1110x1xxxxxxxx1111001x
17739 sqrshrn2. */
17740 return 378;
17741 }
17742 }
17743 else
17744 {
17745 if (((word >> 30) & 0x1) == 0)
17746 {
17747 /* 33222222222211111111110000000000
17748 10987654321098765432109876543210
17749 xxxxxxxxxx1110x1xxxxxxxx1111010x
17750 uqrshrn. */
17751 return 401;
17752 }
17753 else
17754 {
17755 /* 33222222222211111111110000000000
17756 10987654321098765432109876543210
17757 xxxxxxxxxx1110x1xxxxxxxx1111011x
17758 uqrshrn2. */
17759 return 402;
17760 }
17761 }
17762 }
17763 else
17764 {
17765 if (((word >> 29) & 0x1) == 0)
17766 {
17767 /* 33222222222211111111110000000000
17768 10987654321098765432109876543210
17769 xxxxxxxxxx1111x1xxxxxxxx111100xx
17770 fmov. */
17771 return 137;
17772 }
17773 else
17774 {
17775 /* 33222222222211111111110000000000
17776 10987654321098765432109876543210
17777 xxxxxxxxxx1111x1xxxxxxxx111101xx
17778 fcvtzu. */
17779 return 409;
17780 }
17781 }
17782 }
17783 }
17784 }
17785 }
17786 else
17787 {
17788 if (((word >> 29) & 0x1) == 0)
17789 {
17790 if (((word >> 30) & 0x1) == 0)
17791 {
17792 if (((word >> 21) & 0x1) == 0)
17793 {
17794 /* 33222222222211111111110000000000
17795 10987654321098765432109876543210
17796 xxxxxxxxxxxxxxx1xxxxx0xx1111100x
17797 fmsub. */
17798 return 838;
17799 }
17800 else
17801 {
17802 /* 33222222222211111111110000000000
17803 10987654321098765432109876543210
17804 xxxxxxxxxxxxxxx1xxxxx1xx1111100x
17805 fnmsub. */
17806 return 842;
17807 }
17808 }
17809 else
17810 {
17811 if (((word >> 10) & 0x1) == 0)
17812 {
17813 if (((word >> 12) & 0x1) == 0)
17814 {
17815 /* 33222222222211111111110000000000
17816 10987654321098765432109876543210
17817 xxxxxxxxxx0x0xx1xxxxxxxx1111101x
17818 sqdmulh. */
17819 return 419;
17820 }
17821 else
17822 {
17823 if (((word >> 13) & 0x1) == 0)
17824 {
17825 if (((word >> 14) & 0x1) == 0)
17826 {
17827 if (((word >> 23) & 0x1) == 0)
17828 {
17829 /* 33222222222211111111110000000000
17830 10987654321098765432109876543210
17831 xxxxxxxxxx0x1001xxxxxxx01111101x
17832 fmul. */
17833 return 426;
17834 }
17835 else
17836 {
17837 /* 33222222222211111111110000000000
17838 10987654321098765432109876543210
17839 xxxxxxxxxx0x1001xxxxxxx11111101x
17840 fmul. */
17841 return 425;
17842 }
17843 }
17844 else
17845 {
17846 /* 33222222222211111111110000000000
17847 10987654321098765432109876543210
17848 xxxxxxxxxx0x1011xxxxxxxx1111101x
17849 sqrdmulh. */
17850 return 420;
17851 }
17852 }
17853 else
17854 {
17855 /* 33222222222211111111110000000000
17856 10987654321098765432109876543210
17857 xxxxxxxxxx0x11x1xxxxxxxx1111101x
17858 sqdmull. */
17859 return 418;
17860 }
17861 }
17862 }
17863 else
17864 {
17865 if (((word >> 11) & 0x1) == 0)
17866 {
17867 if (((word >> 12) & 0x1) == 0)
17868 {
17869 /* 33222222222211111111110000000000
17870 10987654321098765432109876543210
17871 xxxxxxxxxx100xx1xxxxxxxx1111101x
17872 scvtf. */
17873 return 589;
17874 }
17875 else
17876 {
17877 /* 33222222222211111111110000000000
17878 10987654321098765432109876543210
17879 xxxxxxxxxx101xx1xxxxxxxx1111101x
17880 sqshrn. */
17881 return 587;
17882 }
17883 }
17884 else
17885 {
17886 if (((word >> 13) & 0x1) == 0)
17887 {
17888 /* 33222222222211111111110000000000
17889 10987654321098765432109876543210
17890 xxxxxxxxxx11x0x1xxxxxxxx1111101x
17891 sqrshrn. */
17892 return 588;
17893 }
17894 else
17895 {
17896 /* 33222222222211111111110000000000
17897 10987654321098765432109876543210
17898 xxxxxxxxxx11x1x1xxxxxxxx1111101x
17899 fcvtzs. */
17900 return 591;
17901 }
17902 }
17903 }
17904 }
17905 }
17906 else
17907 {
17908 if (((word >> 10) & 0x1) == 0)
17909 {
17910 if (((word >> 13) & 0x1) == 0)
17911 {
17912 if (((word >> 14) & 0x1) == 0)
17913 {
17914 if (((word >> 23) & 0x1) == 0)
17915 {
17916 /* 33222222222211111111110000000000
17917 10987654321098765432109876543210
17918 xxxxxxxxxx0xx001xxxxxxx0111111xx
17919 fmulx. */
17920 return 428;
17921 }
17922 else
17923 {
17924 /* 33222222222211111111110000000000
17925 10987654321098765432109876543210
17926 xxxxxxxxxx0xx001xxxxxxx1111111xx
17927 fmulx. */
17928 return 427;
17929 }
17930 }
17931 else
17932 {
17933 /* 33222222222211111111110000000000
17934 10987654321098765432109876543210
17935 xxxxxxxxxx0xx011xxxxxxxx111111xx
17936 sqrdmlah. */
17937 return 429;
17938 }
17939 }
17940 else
17941 {
17942 /* 33222222222211111111110000000000
17943 10987654321098765432109876543210
17944 xxxxxxxxxx0xx1x1xxxxxxxx111111xx
17945 sqrdmlsh. */
17946 return 430;
17947 }
17948 }
17949 else
17950 {
17951 if (((word >> 11) & 0x1) == 0)
17952 {
17953 if (((word >> 12) & 0x1) == 0)
17954 {
17955 if (((word >> 13) & 0x1) == 0)
17956 {
17957 /* 33222222222211111111110000000000
17958 10987654321098765432109876543210
17959 xxxxxxxxxx1000x1xxxxxxxx111111xx
17960 sqshrun. */
17961 return 601;
17962 }
17963 else
17964 {
17965 /* 33222222222211111111110000000000
17966 10987654321098765432109876543210
17967 xxxxxxxxxx1001x1xxxxxxxx111111xx
17968 ucvtf. */
17969 return 605;
17970 }
17971 }
17972 else
17973 {
17974 /* 33222222222211111111110000000000
17975 10987654321098765432109876543210
17976 xxxxxxxxxx101xx1xxxxxxxx111111xx
17977 uqshrn. */
17978 return 603;
17979 }
17980 }
17981 else
17982 {
17983 if (((word >> 12) & 0x1) == 0)
17984 {
17985 /* 33222222222211111111110000000000
17986 10987654321098765432109876543210
17987 xxxxxxxxxx110xx1xxxxxxxx111111xx
17988 sqrshrun. */
17989 return 602;
17990 }
17991 else
17992 {
17993 if (((word >> 13) & 0x1) == 0)
17994 {
17995 /* 33222222222211111111110000000000
17996 10987654321098765432109876543210
17997 xxxxxxxxxx1110x1xxxxxxxx111111xx
17998 uqrshrn. */
17999 return 604;
18000 }
18001 else
18002 {
18003 /* 33222222222211111111110000000000
18004 10987654321098765432109876543210
18005 xxxxxxxxxx1111x1xxxxxxxx111111xx
18006 fcvtzu. */
18007 return 607;
18008 }
18009 }
18010 }
18011 }
18012 }
18013 }
18014 }
18015 }
18016 }
18017 }
18018 }
18019 }
18020
18021 /* Lookup opcode WORD in the opcode table. N.B. all alias
18022 opcodes are ignored here. */
18023
18024 const aarch64_opcode *
18025 aarch64_opcode_lookup (uint32_t word)
18026 {
18027 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
18028 }
18029
18030 const aarch64_opcode *
18031 aarch64_find_next_opcode (const aarch64_opcode *opcode)
18032 {
18033 /* Use the index as the key to locate the next opcode. */
18034 int key = opcode - aarch64_opcode_table;
18035 int value;
18036 switch (key)
18037 {
18038 case 932: value = 936; break; /* stnp --> stp. */
18039 case 936: return NULL; /* stp --> NULL. */
18040 case 930: value = 931; break; /* stllrb --> stllrh. */
18041 case 931: return NULL; /* stllrh --> NULL. */
18042 case 933: value = 937; break; /* ldnp --> ldp. */
18043 case 937: return NULL; /* ldp --> NULL. */
18044 case 1148: value = 1149; break; /* msr --> hint. */
18045 case 1149: value = 1163; break; /* hint --> clrex. */
18046 case 1163: value = 1164; break; /* clrex --> dsb. */
18047 case 1164: value = 1165; break; /* dsb --> dmb. */
18048 case 1165: value = 1166; break; /* dmb --> isb. */
18049 case 1166: value = 1167; break; /* isb --> sys. */
18050 case 1167: value = 1172; break; /* sys --> msr. */
18051 case 1172: return NULL; /* msr --> NULL. */
18052 case 1173: value = 1174; break; /* sysl --> mrs. */
18053 case 1174: return NULL; /* mrs --> NULL. */
18054 case 431: value = 432; break; /* st4 --> st1. */
18055 case 432: value = 433; break; /* st1 --> st2. */
18056 case 433: value = 434; break; /* st2 --> st3. */
18057 case 434: return NULL; /* st3 --> NULL. */
18058 case 439: value = 440; break; /* st4 --> st1. */
18059 case 440: value = 441; break; /* st1 --> st2. */
18060 case 441: value = 442; break; /* st2 --> st3. */
18061 case 442: return NULL; /* st3 --> NULL. */
18062 case 435: value = 436; break; /* ld4 --> ld1. */
18063 case 436: value = 437; break; /* ld1 --> ld2. */
18064 case 437: value = 438; break; /* ld2 --> ld3. */
18065 case 438: return NULL; /* ld3 --> NULL. */
18066 case 451: value = 453; break; /* ld1 --> ld1r. */
18067 case 453: return NULL; /* ld1r --> NULL. */
18068 case 455: value = 457; break; /* ld2 --> ld2r. */
18069 case 457: return NULL; /* ld2r --> NULL. */
18070 case 452: value = 454; break; /* ld3 --> ld3r. */
18071 case 454: return NULL; /* ld3r --> NULL. */
18072 case 456: value = 458; break; /* ld4 --> ld4r. */
18073 case 458: return NULL; /* ld4r --> NULL. */
18074 case 443: value = 444; break; /* ld4 --> ld1. */
18075 case 444: value = 445; break; /* ld1 --> ld2. */
18076 case 445: value = 446; break; /* ld2 --> ld3. */
18077 case 446: return NULL; /* ld3 --> NULL. */
18078 case 463: value = 465; break; /* ld1 --> ld1r. */
18079 case 465: return NULL; /* ld1r --> NULL. */
18080 case 464: value = 466; break; /* ld3 --> ld3r. */
18081 case 466: return NULL; /* ld3r --> NULL. */
18082 case 467: value = 469; break; /* ld2 --> ld2r. */
18083 case 469: return NULL; /* ld2r --> NULL. */
18084 case 468: value = 470; break; /* ld4 --> ld4r. */
18085 case 470: return NULL; /* ld4r --> NULL. */
18086 case 749: value = 750; break; /* fcvtzs --> fcvtzs. */
18087 case 750: return NULL; /* fcvtzs --> NULL. */
18088 case 745: value = 746; break; /* scvtf --> scvtf. */
18089 case 746: return NULL; /* scvtf --> NULL. */
18090 case 751: value = 752; break; /* fcvtzu --> fcvtzu. */
18091 case 752: return NULL; /* fcvtzu --> NULL. */
18092 case 747: value = 748; break; /* ucvtf --> ucvtf. */
18093 case 748: return NULL; /* ucvtf --> NULL. */
18094 case 753: value = 754; break; /* fcvtns --> fcvtns. */
18095 case 754: return NULL; /* fcvtns --> NULL. */
18096 case 773: value = 774; break; /* fcvtms --> fcvtms. */
18097 case 774: return NULL; /* fcvtms --> NULL. */
18098 case 769: value = 770; break; /* fcvtps --> fcvtps. */
18099 case 770: return NULL; /* fcvtps --> NULL. */
18100 case 777: value = 778; break; /* fcvtzs --> fcvtzs. */
18101 case 778: return NULL; /* fcvtzs --> NULL. */
18102 case 761: value = 762; break; /* fcvtas --> fcvtas. */
18103 case 762: return NULL; /* fcvtas --> NULL. */
18104 case 757: value = 758; break; /* scvtf --> scvtf. */
18105 case 758: return NULL; /* scvtf --> NULL. */
18106 case 765: value = 766; break; /* fmov --> fmov. */
18107 case 766: return NULL; /* fmov --> NULL. */
18108 case 755: value = 756; break; /* fcvtnu --> fcvtnu. */
18109 case 756: return NULL; /* fcvtnu --> NULL. */
18110 case 775: value = 776; break; /* fcvtmu --> fcvtmu. */
18111 case 776: return NULL; /* fcvtmu --> NULL. */
18112 case 771: value = 772; break; /* fcvtpu --> fcvtpu. */
18113 case 772: return NULL; /* fcvtpu --> NULL. */
18114 case 779: value = 780; break; /* fcvtzu --> fcvtzu. */
18115 case 780: return NULL; /* fcvtzu --> NULL. */
18116 case 763: value = 764; break; /* fcvtau --> fcvtau. */
18117 case 764: return NULL; /* fcvtau --> NULL. */
18118 case 759: value = 760; break; /* ucvtf --> ucvtf. */
18119 case 760: return NULL; /* ucvtf --> NULL. */
18120 case 767: value = 768; break; /* fmov --> fmov. */
18121 case 768: return NULL; /* fmov --> NULL. */
18122 case 795: value = 796; break; /* fmov --> fmov. */
18123 case 796: return NULL; /* fmov --> NULL. */
18124 case 804: value = 805; break; /* frintn --> frintn. */
18125 case 805: return NULL; /* frintn --> NULL. */
18126 case 799: value = 800; break; /* fneg --> fneg. */
18127 case 800: return NULL; /* fneg --> NULL. */
18128 case 808: value = 809; break; /* frintm --> frintm. */
18129 case 809: return NULL; /* frintm --> NULL. */
18130 case 797: value = 798; break; /* fabs --> fabs. */
18131 case 798: return NULL; /* fabs --> NULL. */
18132 case 806: value = 807; break; /* frintp --> frintp. */
18133 case 807: return NULL; /* frintp --> NULL. */
18134 case 801: value = 802; break; /* fsqrt --> fsqrt. */
18135 case 802: return NULL; /* fsqrt --> NULL. */
18136 case 810: value = 811; break; /* frintz --> frintz. */
18137 case 811: return NULL; /* frintz --> NULL. */
18138 case 812: value = 813; break; /* frinta --> frinta. */
18139 case 813: return NULL; /* frinta --> NULL. */
18140 case 814: value = 815; break; /* frintx --> frintx. */
18141 case 815: return NULL; /* frintx --> NULL. */
18142 case 816: value = 817; break; /* frinti --> frinti. */
18143 case 817: return NULL; /* frinti --> NULL. */
18144 case 787: value = 788; break; /* fcmp --> fcmp. */
18145 case 788: return NULL; /* fcmp --> NULL. */
18146 case 789: value = 790; break; /* fcmpe --> fcmpe. */
18147 case 790: return NULL; /* fcmpe --> NULL. */
18148 case 791: value = 792; break; /* fcmp --> fcmp. */
18149 case 792: return NULL; /* fcmp --> NULL. */
18150 case 793: value = 794; break; /* fcmpe --> fcmpe. */
18151 case 794: return NULL; /* fcmpe --> NULL. */
18152 case 844: value = 845; break; /* fmov --> fmov. */
18153 case 845: return NULL; /* fmov --> NULL. */
18154 case 818: value = 819; break; /* fmul --> fmul. */
18155 case 819: return NULL; /* fmul --> NULL. */
18156 case 834: value = 835; break; /* fnmul --> fnmul. */
18157 case 835: return NULL; /* fnmul --> NULL. */
18158 case 826: value = 827; break; /* fmax --> fmax. */
18159 case 827: return NULL; /* fmax --> NULL. */
18160 case 822: value = 823; break; /* fadd --> fadd. */
18161 case 823: return NULL; /* fadd --> NULL. */
18162 case 830: value = 831; break; /* fmaxnm --> fmaxnm. */
18163 case 831: return NULL; /* fmaxnm --> NULL. */
18164 case 820: value = 821; break; /* fdiv --> fdiv. */
18165 case 821: return NULL; /* fdiv --> NULL. */
18166 case 828: value = 829; break; /* fmin --> fmin. */
18167 case 829: return NULL; /* fmin --> NULL. */
18168 case 824: value = 825; break; /* fsub --> fsub. */
18169 case 825: return NULL; /* fsub --> NULL. */
18170 case 832: value = 833; break; /* fminnm --> fminnm. */
18171 case 833: return NULL; /* fminnm --> NULL. */
18172 case 783: value = 784; break; /* fccmp --> fccmp. */
18173 case 784: return NULL; /* fccmp --> NULL. */
18174 case 785: value = 786; break; /* fccmpe --> fccmpe. */
18175 case 786: return NULL; /* fccmpe --> NULL. */
18176 case 846: value = 847; break; /* fcsel --> fcsel. */
18177 case 847: return NULL; /* fcsel --> NULL. */
18178 case 130: value = 365; break; /* movi --> sshr. */
18179 case 365: value = 367; break; /* sshr --> srshr. */
18180 case 367: return NULL; /* srshr --> NULL. */
18181 case 138: value = 387; break; /* mvni --> ushr. */
18182 case 387: value = 389; break; /* ushr --> urshr. */
18183 case 389: value = 391; break; /* urshr --> sri. */
18184 case 391: value = 393; break; /* sri --> sqshlu. */
18185 case 393: return NULL; /* sqshlu --> NULL. */
18186 case 131: value = 366; break; /* orr --> ssra. */
18187 case 366: value = 368; break; /* ssra --> srsra. */
18188 case 368: value = 369; break; /* srsra --> shl. */
18189 case 369: value = 370; break; /* shl --> sqshl. */
18190 case 370: return NULL; /* sqshl --> NULL. */
18191 case 139: value = 388; break; /* bic --> usra. */
18192 case 388: value = 390; break; /* usra --> ursra. */
18193 case 390: value = 392; break; /* ursra --> sli. */
18194 case 392: value = 394; break; /* sli --> uqshl. */
18195 case 394: return NULL; /* uqshl --> NULL. */
18196 case 836: value = 837; break; /* fmadd --> fmadd. */
18197 case 837: return NULL; /* fmadd --> NULL. */
18198 case 840: value = 841; break; /* fnmadd --> fnmadd. */
18199 case 841: return NULL; /* fnmadd --> NULL. */
18200 case 132: value = 371; break; /* movi --> shrn. */
18201 case 371: value = 372; break; /* shrn --> shrn2. */
18202 case 372: value = 379; break; /* shrn2 --> sshll. */
18203 case 379: value = 381; break; /* sshll --> sshll2. */
18204 case 381: return NULL; /* sshll2 --> NULL. */
18205 case 140: value = 395; break; /* mvni --> sqshrun. */
18206 case 395: value = 396; break; /* sqshrun --> sqshrun2. */
18207 case 396: value = 403; break; /* sqshrun2 --> ushll. */
18208 case 403: value = 405; break; /* ushll --> ushll2. */
18209 case 405: return NULL; /* ushll2 --> NULL. */
18210 case 133: value = 375; break; /* orr --> sqshrn. */
18211 case 375: value = 376; break; /* sqshrn --> sqshrn2. */
18212 case 376: return NULL; /* sqshrn2 --> NULL. */
18213 case 141: value = 399; break; /* bic --> uqshrn. */
18214 case 399: value = 400; break; /* uqshrn --> uqshrn2. */
18215 case 400: return NULL; /* uqshrn2 --> NULL. */
18216 case 135: value = 383; break; /* movi --> scvtf. */
18217 case 383: value = 384; break; /* scvtf --> scvtf. */
18218 case 384: return NULL; /* scvtf --> NULL. */
18219 case 143: value = 144; break; /* movi --> movi. */
18220 case 144: value = 407; break; /* movi --> ucvtf. */
18221 case 407: value = 408; break; /* ucvtf --> ucvtf. */
18222 case 408: return NULL; /* ucvtf --> NULL. */
18223 case 137: value = 385; break; /* fmov --> fcvtzs. */
18224 case 385: value = 386; break; /* fcvtzs --> fcvtzs. */
18225 case 386: return NULL; /* fcvtzs --> NULL. */
18226 case 409: value = 410; break; /* fcvtzu --> fcvtzu. */
18227 case 410: return NULL; /* fcvtzu --> NULL. */
18228 case 838: value = 839; break; /* fmsub --> fmsub. */
18229 case 839: return NULL; /* fmsub --> NULL. */
18230 case 842: value = 843; break; /* fnmsub --> fnmsub. */
18231 case 843: return NULL; /* fnmsub --> NULL. */
18232 case 589: value = 590; break; /* scvtf --> scvtf. */
18233 case 590: return NULL; /* scvtf --> NULL. */
18234 case 591: value = 592; break; /* fcvtzs --> fcvtzs. */
18235 case 592: return NULL; /* fcvtzs --> NULL. */
18236 case 605: value = 606; break; /* ucvtf --> ucvtf. */
18237 case 606: return NULL; /* ucvtf --> NULL. */
18238 case 607: value = 608; break; /* fcvtzu --> fcvtzu. */
18239 case 608: return NULL; /* fcvtzu --> NULL. */
18240 default: return NULL;
18241 }
18242
18243 return aarch64_opcode_table + value;
18244 }
18245
18246 const aarch64_opcode *
18247 aarch64_find_alias_opcode (const aarch64_opcode *opcode)
18248 {
18249 /* Use the index as the key to locate the alias opcode. */
18250 int key = opcode - aarch64_opcode_table;
18251 int value;
18252 switch (key)
18253 {
18254 case 2: value = 3; break; /* sbc --> ngc. */
18255 case 4: value = 5; break; /* sbcs --> ngcs. */
18256 case 7: value = 8; break; /* adds --> cmn. */
18257 case 10: value = 11; break; /* subs --> cmp. */
18258 case 12: value = 13; break; /* add --> mov. */
18259 case 14: value = 15; break; /* adds --> cmn. */
18260 case 17: value = 18; break; /* subs --> cmp. */
18261 case 20: value = 21; break; /* adds --> cmn. */
18262 case 22: value = 23; break; /* sub --> neg. */
18263 case 24: value = 26; break; /* subs --> negs. */
18264 case 149: value = 150; break; /* umov --> mov. */
18265 case 151: value = 152; break; /* ins --> mov. */
18266 case 153: value = 154; break; /* ins --> mov. */
18267 case 235: value = 236; break; /* not --> mvn. */
18268 case 310: value = 311; break; /* orr --> mov. */
18269 case 379: value = 380; break; /* sshll --> sxtl. */
18270 case 381: value = 382; break; /* sshll2 --> sxtl2. */
18271 case 403: value = 404; break; /* ushll --> uxtl. */
18272 case 405: value = 406; break; /* ushll2 --> uxtl2. */
18273 case 526: value = 527; break; /* dup --> mov. */
18274 case 609: value = 614; break; /* sbfm --> sxtw. */
18275 case 616: value = 618; break; /* bfm --> bfc. */
18276 case 620: value = 624; break; /* ubfm --> uxth. */
18277 case 654: value = 656; break; /* csinc --> cset. */
18278 case 657: value = 659; break; /* csinv --> csetm. */
18279 case 660: value = 661; break; /* csneg --> cneg. */
18280 case 679: value = 679; break; /* rev --> rev. */
18281 case 704: value = 705; break; /* lslv --> lsl. */
18282 case 706: value = 707; break; /* lsrv --> lsr. */
18283 case 708: value = 709; break; /* asrv --> asr. */
18284 case 710: value = 711; break; /* rorv --> ror. */
18285 case 721: value = 722; break; /* madd --> mul. */
18286 case 723: value = 724; break; /* msub --> mneg. */
18287 case 725: value = 726; break; /* smaddl --> smull. */
18288 case 727: value = 728; break; /* smsubl --> smnegl. */
18289 case 730: value = 731; break; /* umaddl --> umull. */
18290 case 732: value = 733; break; /* umsubl --> umnegl. */
18291 case 743: value = 744; break; /* extr --> ror. */
18292 case 950: value = 951; break; /* and --> bic. */
18293 case 952: value = 953; break; /* orr --> mov. */
18294 case 955: value = 956; break; /* ands --> tst. */
18295 case 959: value = 961; break; /* orr --> uxtw. */
18296 case 962: value = 963; break; /* orn --> mvn. */
18297 case 966: value = 967; break; /* ands --> tst. */
18298 case 997: value = 1093; break; /* ldaddb --> staddb. */
18299 case 998: value = 1094; break; /* ldaddh --> staddh. */
18300 case 999: value = 1095; break; /* ldadd --> stadd. */
18301 case 1001: value = 1096; break; /* ldaddlb --> staddlb. */
18302 case 1004: value = 1097; break; /* ldaddlh --> staddlh. */
18303 case 1007: value = 1098; break; /* ldaddl --> staddl. */
18304 case 1009: value = 1099; break; /* ldclrb --> stclrb. */
18305 case 1010: value = 1100; break; /* ldclrh --> stclrh. */
18306 case 1011: value = 1101; break; /* ldclr --> stclr. */
18307 case 1013: value = 1102; break; /* ldclrlb --> stclrlb. */
18308 case 1016: value = 1103; break; /* ldclrlh --> stclrlh. */
18309 case 1019: value = 1104; break; /* ldclrl --> stclrl. */
18310 case 1021: value = 1105; break; /* ldeorb --> steorb. */
18311 case 1022: value = 1106; break; /* ldeorh --> steorh. */
18312 case 1023: value = 1107; break; /* ldeor --> steor. */
18313 case 1025: value = 1108; break; /* ldeorlb --> steorlb. */
18314 case 1028: value = 1109; break; /* ldeorlh --> steorlh. */
18315 case 1031: value = 1110; break; /* ldeorl --> steorl. */
18316 case 1033: value = 1111; break; /* ldsetb --> stsetb. */
18317 case 1034: value = 1112; break; /* ldseth --> stseth. */
18318 case 1035: value = 1113; break; /* ldset --> stset. */
18319 case 1037: value = 1114; break; /* ldsetlb --> stsetlb. */
18320 case 1040: value = 1115; break; /* ldsetlh --> stsetlh. */
18321 case 1043: value = 1116; break; /* ldsetl --> stsetl. */
18322 case 1045: value = 1117; break; /* ldsmaxb --> stsmaxb. */
18323 case 1046: value = 1118; break; /* ldsmaxh --> stsmaxh. */
18324 case 1047: value = 1119; break; /* ldsmax --> stsmax. */
18325 case 1049: value = 1120; break; /* ldsmaxlb --> stsmaxlb. */
18326 case 1052: value = 1121; break; /* ldsmaxlh --> stsmaxlh. */
18327 case 1055: value = 1122; break; /* ldsmaxl --> stsmaxl. */
18328 case 1057: value = 1123; break; /* ldsminb --> stsminb. */
18329 case 1058: value = 1124; break; /* ldsminh --> stsminh. */
18330 case 1059: value = 1125; break; /* ldsmin --> stsmin. */
18331 case 1061: value = 1126; break; /* ldsminlb --> stsminlb. */
18332 case 1064: value = 1127; break; /* ldsminlh --> stsminlh. */
18333 case 1067: value = 1128; break; /* ldsminl --> stsminl. */
18334 case 1069: value = 1129; break; /* ldumaxb --> stumaxb. */
18335 case 1070: value = 1130; break; /* ldumaxh --> stumaxh. */
18336 case 1071: value = 1131; break; /* ldumax --> stumax. */
18337 case 1073: value = 1132; break; /* ldumaxlb --> stumaxlb. */
18338 case 1076: value = 1133; break; /* ldumaxlh --> stumaxlh. */
18339 case 1079: value = 1134; break; /* ldumaxl --> stumaxl. */
18340 case 1081: value = 1135; break; /* lduminb --> stuminb. */
18341 case 1082: value = 1136; break; /* lduminh --> stuminh. */
18342 case 1083: value = 1137; break; /* ldumin --> stumin. */
18343 case 1085: value = 1138; break; /* lduminlb --> stuminlb. */
18344 case 1088: value = 1139; break; /* lduminlh --> stuminlh. */
18345 case 1091: value = 1140; break; /* lduminl --> stuminl. */
18346 case 1141: value = 1142; break; /* movn --> mov. */
18347 case 1143: value = 1144; break; /* movz --> mov. */
18348 case 1149: value = 1182; break; /* hint --> autibsp. */
18349 case 1167: value = 1171; break; /* sys --> tlbi. */
18350 case 1230: value = 1925; break; /* and --> bic. */
18351 case 1232: value = 1213; break; /* and --> mov. */
18352 case 1233: value = 1217; break; /* ands --> movs. */
18353 case 1268: value = 1926; break; /* cmpge --> cmple. */
18354 case 1271: value = 1929; break; /* cmpgt --> cmplt. */
18355 case 1273: value = 1927; break; /* cmphi --> cmplo. */
18356 case 1276: value = 1928; break; /* cmphs --> cmpls. */
18357 case 1298: value = 1210; break; /* cpy --> mov. */
18358 case 1299: value = 1212; break; /* cpy --> mov. */
18359 case 1300: value = 1936; break; /* cpy --> fmov. */
18360 case 1312: value = 1205; break; /* dup --> mov. */
18361 case 1313: value = 1207; break; /* dup --> mov. */
18362 case 1314: value = 1935; break; /* dup --> fmov. */
18363 case 1315: value = 1208; break; /* dupm --> mov. */
18364 case 1317: value = 1930; break; /* eor --> eon. */
18365 case 1319: value = 1218; break; /* eor --> not. */
18366 case 1320: value = 1219; break; /* eors --> nots. */
18367 case 1325: value = 1931; break; /* facge --> facle. */
18368 case 1326: value = 1932; break; /* facgt --> faclt. */
18369 case 1335: value = 1933; break; /* fcmge --> fcmle. */
18370 case 1337: value = 1934; break; /* fcmgt --> fcmlt. */
18371 case 1343: value = 1202; break; /* fcpy --> fmov. */
18372 case 1360: value = 1201; break; /* fdup --> fmov. */
18373 case 1658: value = 1203; break; /* orr --> mov. */
18374 case 1659: value = 1937; break; /* orr --> orn. */
18375 case 1661: value = 1206; break; /* orr --> mov. */
18376 case 1662: value = 1216; break; /* orrs --> movs. */
18377 case 1718: value = 1211; break; /* sel --> mov. */
18378 case 1719: value = 1214; break; /* sel --> mov. */
18379 default: return NULL;
18380 }
18381
18382 return aarch64_opcode_table + value;
18383 }
18384
18385 const aarch64_opcode *
18386 aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
18387 {
18388 /* Use the index as the key to locate the next opcode. */
18389 int key = opcode - aarch64_opcode_table;
18390 int value;
18391 switch (key)
18392 {
18393 case 3: value = 2; break; /* ngc --> sbc. */
18394 case 5: value = 4; break; /* ngcs --> sbcs. */
18395 case 8: value = 7; break; /* cmn --> adds. */
18396 case 11: value = 10; break; /* cmp --> subs. */
18397 case 13: value = 12; break; /* mov --> add. */
18398 case 15: value = 14; break; /* cmn --> adds. */
18399 case 18: value = 17; break; /* cmp --> subs. */
18400 case 21: value = 20; break; /* cmn --> adds. */
18401 case 23: value = 22; break; /* neg --> sub. */
18402 case 26: value = 25; break; /* negs --> cmp. */
18403 case 25: value = 24; break; /* cmp --> subs. */
18404 case 150: value = 149; break; /* mov --> umov. */
18405 case 152: value = 151; break; /* mov --> ins. */
18406 case 154: value = 153; break; /* mov --> ins. */
18407 case 236: value = 235; break; /* mvn --> not. */
18408 case 311: value = 310; break; /* mov --> orr. */
18409 case 380: value = 379; break; /* sxtl --> sshll. */
18410 case 382: value = 381; break; /* sxtl2 --> sshll2. */
18411 case 404: value = 403; break; /* uxtl --> ushll. */
18412 case 406: value = 405; break; /* uxtl2 --> ushll2. */
18413 case 527: value = 526; break; /* mov --> dup. */
18414 case 614: value = 613; break; /* sxtw --> sxth. */
18415 case 613: value = 612; break; /* sxth --> sxtb. */
18416 case 612: value = 615; break; /* sxtb --> asr. */
18417 case 615: value = 611; break; /* asr --> sbfx. */
18418 case 611: value = 610; break; /* sbfx --> sbfiz. */
18419 case 610: value = 609; break; /* sbfiz --> sbfm. */
18420 case 618: value = 619; break; /* bfc --> bfxil. */
18421 case 619: value = 617; break; /* bfxil --> bfi. */
18422 case 617: value = 616; break; /* bfi --> bfm. */
18423 case 624: value = 623; break; /* uxth --> uxtb. */
18424 case 623: value = 626; break; /* uxtb --> lsr. */
18425 case 626: value = 625; break; /* lsr --> lsl. */
18426 case 625: value = 622; break; /* lsl --> ubfx. */
18427 case 622: value = 621; break; /* ubfx --> ubfiz. */
18428 case 621: value = 620; break; /* ubfiz --> ubfm. */
18429 case 656: value = 655; break; /* cset --> cinc. */
18430 case 655: value = 654; break; /* cinc --> csinc. */
18431 case 659: value = 658; break; /* csetm --> cinv. */
18432 case 658: value = 657; break; /* cinv --> csinv. */
18433 case 661: value = 660; break; /* cneg --> csneg. */
18434 case 679: value = 680; break; /* rev --> rev64. */
18435 case 705: value = 704; break; /* lsl --> lslv. */
18436 case 707: value = 706; break; /* lsr --> lsrv. */
18437 case 709: value = 708; break; /* asr --> asrv. */
18438 case 711: value = 710; break; /* ror --> rorv. */
18439 case 722: value = 721; break; /* mul --> madd. */
18440 case 724: value = 723; break; /* mneg --> msub. */
18441 case 726: value = 725; break; /* smull --> smaddl. */
18442 case 728: value = 727; break; /* smnegl --> smsubl. */
18443 case 731: value = 730; break; /* umull --> umaddl. */
18444 case 733: value = 732; break; /* umnegl --> umsubl. */
18445 case 744: value = 743; break; /* ror --> extr. */
18446 case 951: value = 950; break; /* bic --> and. */
18447 case 953: value = 952; break; /* mov --> orr. */
18448 case 956: value = 955; break; /* tst --> ands. */
18449 case 961: value = 960; break; /* uxtw --> mov. */
18450 case 960: value = 959; break; /* mov --> orr. */
18451 case 963: value = 962; break; /* mvn --> orn. */
18452 case 967: value = 966; break; /* tst --> ands. */
18453 case 1093: value = 997; break; /* staddb --> ldaddb. */
18454 case 1094: value = 998; break; /* staddh --> ldaddh. */
18455 case 1095: value = 999; break; /* stadd --> ldadd. */
18456 case 1096: value = 1001; break; /* staddlb --> ldaddlb. */
18457 case 1097: value = 1004; break; /* staddlh --> ldaddlh. */
18458 case 1098: value = 1007; break; /* staddl --> ldaddl. */
18459 case 1099: value = 1009; break; /* stclrb --> ldclrb. */
18460 case 1100: value = 1010; break; /* stclrh --> ldclrh. */
18461 case 1101: value = 1011; break; /* stclr --> ldclr. */
18462 case 1102: value = 1013; break; /* stclrlb --> ldclrlb. */
18463 case 1103: value = 1016; break; /* stclrlh --> ldclrlh. */
18464 case 1104: value = 1019; break; /* stclrl --> ldclrl. */
18465 case 1105: value = 1021; break; /* steorb --> ldeorb. */
18466 case 1106: value = 1022; break; /* steorh --> ldeorh. */
18467 case 1107: value = 1023; break; /* steor --> ldeor. */
18468 case 1108: value = 1025; break; /* steorlb --> ldeorlb. */
18469 case 1109: value = 1028; break; /* steorlh --> ldeorlh. */
18470 case 1110: value = 1031; break; /* steorl --> ldeorl. */
18471 case 1111: value = 1033; break; /* stsetb --> ldsetb. */
18472 case 1112: value = 1034; break; /* stseth --> ldseth. */
18473 case 1113: value = 1035; break; /* stset --> ldset. */
18474 case 1114: value = 1037; break; /* stsetlb --> ldsetlb. */
18475 case 1115: value = 1040; break; /* stsetlh --> ldsetlh. */
18476 case 1116: value = 1043; break; /* stsetl --> ldsetl. */
18477 case 1117: value = 1045; break; /* stsmaxb --> ldsmaxb. */
18478 case 1118: value = 1046; break; /* stsmaxh --> ldsmaxh. */
18479 case 1119: value = 1047; break; /* stsmax --> ldsmax. */
18480 case 1120: value = 1049; break; /* stsmaxlb --> ldsmaxlb. */
18481 case 1121: value = 1052; break; /* stsmaxlh --> ldsmaxlh. */
18482 case 1122: value = 1055; break; /* stsmaxl --> ldsmaxl. */
18483 case 1123: value = 1057; break; /* stsminb --> ldsminb. */
18484 case 1124: value = 1058; break; /* stsminh --> ldsminh. */
18485 case 1125: value = 1059; break; /* stsmin --> ldsmin. */
18486 case 1126: value = 1061; break; /* stsminlb --> ldsminlb. */
18487 case 1127: value = 1064; break; /* stsminlh --> ldsminlh. */
18488 case 1128: value = 1067; break; /* stsminl --> ldsminl. */
18489 case 1129: value = 1069; break; /* stumaxb --> ldumaxb. */
18490 case 1130: value = 1070; break; /* stumaxh --> ldumaxh. */
18491 case 1131: value = 1071; break; /* stumax --> ldumax. */
18492 case 1132: value = 1073; break; /* stumaxlb --> ldumaxlb. */
18493 case 1133: value = 1076; break; /* stumaxlh --> ldumaxlh. */
18494 case 1134: value = 1079; break; /* stumaxl --> ldumaxl. */
18495 case 1135: value = 1081; break; /* stuminb --> lduminb. */
18496 case 1136: value = 1082; break; /* stuminh --> lduminh. */
18497 case 1137: value = 1083; break; /* stumin --> ldumin. */
18498 case 1138: value = 1085; break; /* stuminlb --> lduminlb. */
18499 case 1139: value = 1088; break; /* stuminlh --> lduminlh. */
18500 case 1140: value = 1091; break; /* stuminl --> lduminl. */
18501 case 1142: value = 1141; break; /* mov --> movn. */
18502 case 1144: value = 1143; break; /* mov --> movz. */
18503 case 1182: value = 1181; break; /* autibsp --> autibz. */
18504 case 1181: value = 1180; break; /* autibz --> autiasp. */
18505 case 1180: value = 1179; break; /* autiasp --> autiaz. */
18506 case 1179: value = 1178; break; /* autiaz --> pacibsp. */
18507 case 1178: value = 1177; break; /* pacibsp --> pacibz. */
18508 case 1177: value = 1176; break; /* pacibz --> paciasp. */
18509 case 1176: value = 1175; break; /* paciasp --> paciaz. */
18510 case 1175: value = 1162; break; /* paciaz --> psb. */
18511 case 1162: value = 1161; break; /* psb --> esb. */
18512 case 1161: value = 1160; break; /* esb --> autib1716. */
18513 case 1160: value = 1159; break; /* autib1716 --> autia1716. */
18514 case 1159: value = 1158; break; /* autia1716 --> pacib1716. */
18515 case 1158: value = 1157; break; /* pacib1716 --> pacia1716. */
18516 case 1157: value = 1156; break; /* pacia1716 --> xpaclri. */
18517 case 1156: value = 1155; break; /* xpaclri --> sevl. */
18518 case 1155: value = 1154; break; /* sevl --> sev. */
18519 case 1154: value = 1153; break; /* sev --> wfi. */
18520 case 1153: value = 1152; break; /* wfi --> wfe. */
18521 case 1152: value = 1151; break; /* wfe --> yield. */
18522 case 1151: value = 1150; break; /* yield --> nop. */
18523 case 1150: value = 1149; break; /* nop --> hint. */
18524 case 1171: value = 1170; break; /* tlbi --> ic. */
18525 case 1170: value = 1169; break; /* ic --> dc. */
18526 case 1169: value = 1168; break; /* dc --> at. */
18527 case 1168: value = 1167; break; /* at --> sys. */
18528 case 1925: value = 1230; break; /* bic --> and. */
18529 case 1213: value = 1232; break; /* mov --> and. */
18530 case 1217: value = 1233; break; /* movs --> ands. */
18531 case 1926: value = 1268; break; /* cmple --> cmpge. */
18532 case 1929: value = 1271; break; /* cmplt --> cmpgt. */
18533 case 1927: value = 1273; break; /* cmplo --> cmphi. */
18534 case 1928: value = 1276; break; /* cmpls --> cmphs. */
18535 case 1210: value = 1298; break; /* mov --> cpy. */
18536 case 1212: value = 1299; break; /* mov --> cpy. */
18537 case 1936: value = 1215; break; /* fmov --> mov. */
18538 case 1215: value = 1300; break; /* mov --> cpy. */
18539 case 1205: value = 1312; break; /* mov --> dup. */
18540 case 1207: value = 1204; break; /* mov --> mov. */
18541 case 1204: value = 1313; break; /* mov --> dup. */
18542 case 1935: value = 1209; break; /* fmov --> mov. */
18543 case 1209: value = 1314; break; /* mov --> dup. */
18544 case 1208: value = 1315; break; /* mov --> dupm. */
18545 case 1930: value = 1317; break; /* eon --> eor. */
18546 case 1218: value = 1319; break; /* not --> eor. */
18547 case 1219: value = 1320; break; /* nots --> eors. */
18548 case 1931: value = 1325; break; /* facle --> facge. */
18549 case 1932: value = 1326; break; /* faclt --> facgt. */
18550 case 1933: value = 1335; break; /* fcmle --> fcmge. */
18551 case 1934: value = 1337; break; /* fcmlt --> fcmgt. */
18552 case 1202: value = 1343; break; /* fmov --> fcpy. */
18553 case 1201: value = 1360; break; /* fmov --> fdup. */
18554 case 1203: value = 1658; break; /* mov --> orr. */
18555 case 1937: value = 1659; break; /* orn --> orr. */
18556 case 1206: value = 1661; break; /* mov --> orr. */
18557 case 1216: value = 1662; break; /* movs --> orrs. */
18558 case 1211: value = 1718; break; /* mov --> sel. */
18559 case 1214: value = 1719; break; /* mov --> sel. */
18560 default: return NULL;
18561 }
18562
18563 return aarch64_opcode_table + value;
18564 }
18565
18566 int
18567 aarch64_extract_operand (const aarch64_operand *self,
18568 aarch64_opnd_info *info,
18569 aarch64_insn code, const aarch64_inst *inst)
18570 {
18571 /* Use the index as the key. */
18572 int key = self - aarch64_operands;
18573 switch (key)
18574 {
18575 case 1:
18576 case 2:
18577 case 3:
18578 case 4:
18579 case 5:
18580 case 6:
18581 case 7:
18582 case 9:
18583 case 10:
18584 case 11:
18585 case 15:
18586 case 16:
18587 case 17:
18588 case 18:
18589 case 20:
18590 case 21:
18591 case 22:
18592 case 23:
18593 case 24:
18594 case 25:
18595 case 26:
18596 case 27:
18597 case 28:
18598 case 36:
18599 case 37:
18600 case 140:
18601 case 141:
18602 case 142:
18603 case 143:
18604 case 144:
18605 case 145:
18606 case 146:
18607 case 147:
18608 case 148:
18609 case 149:
18610 case 162:
18611 case 163:
18612 case 164:
18613 case 165:
18614 case 166:
18615 case 167:
18616 case 168:
18617 case 169:
18618 case 170:
18619 case 171:
18620 case 174:
18621 return aarch64_ext_regno (self, info, code, inst);
18622 case 8:
18623 return aarch64_ext_regrt_sysins (self, info, code, inst);
18624 case 12:
18625 return aarch64_ext_regno_pair (self, info, code, inst);
18626 case 13:
18627 return aarch64_ext_reg_extended (self, info, code, inst);
18628 case 14:
18629 return aarch64_ext_reg_shifted (self, info, code, inst);
18630 case 19:
18631 return aarch64_ext_ft (self, info, code, inst);
18632 case 29:
18633 case 30:
18634 case 31:
18635 return aarch64_ext_reglane (self, info, code, inst);
18636 case 32:
18637 return aarch64_ext_reglist (self, info, code, inst);
18638 case 33:
18639 return aarch64_ext_ldst_reglist (self, info, code, inst);
18640 case 34:
18641 return aarch64_ext_ldst_reglist_r (self, info, code, inst);
18642 case 35:
18643 return aarch64_ext_ldst_elemlist (self, info, code, inst);
18644 case 38:
18645 case 48:
18646 case 49:
18647 case 50:
18648 case 51:
18649 case 52:
18650 case 53:
18651 case 54:
18652 case 55:
18653 case 56:
18654 case 57:
18655 case 58:
18656 case 59:
18657 case 60:
18658 case 68:
18659 case 69:
18660 case 70:
18661 case 71:
18662 case 72:
18663 case 137:
18664 case 139:
18665 case 154:
18666 case 155:
18667 case 156:
18668 case 157:
18669 case 158:
18670 case 159:
18671 case 160:
18672 case 161:
18673 return aarch64_ext_imm (self, info, code, inst);
18674 case 39:
18675 case 40:
18676 return aarch64_ext_advsimd_imm_shift (self, info, code, inst);
18677 case 41:
18678 case 42:
18679 case 43:
18680 return aarch64_ext_advsimd_imm_modified (self, info, code, inst);
18681 case 44:
18682 return aarch64_ext_shll_imm (self, info, code, inst);
18683 case 47:
18684 case 130:
18685 return aarch64_ext_fpimm (self, info, code, inst);
18686 case 61:
18687 case 135:
18688 return aarch64_ext_limm (self, info, code, inst);
18689 case 62:
18690 return aarch64_ext_aimm (self, info, code, inst);
18691 case 63:
18692 return aarch64_ext_imm_half (self, info, code, inst);
18693 case 64:
18694 return aarch64_ext_fbits (self, info, code, inst);
18695 case 66:
18696 case 67:
18697 return aarch64_ext_cond (self, info, code, inst);
18698 case 73:
18699 case 79:
18700 return aarch64_ext_addr_simple (self, info, code, inst);
18701 case 74:
18702 return aarch64_ext_addr_regoff (self, info, code, inst);
18703 case 75:
18704 case 76:
18705 case 77:
18706 return aarch64_ext_addr_simm (self, info, code, inst);
18707 case 78:
18708 return aarch64_ext_addr_uimm12 (self, info, code, inst);
18709 case 80:
18710 return aarch64_ext_simd_addr_post (self, info, code, inst);
18711 case 81:
18712 return aarch64_ext_sysreg (self, info, code, inst);
18713 case 82:
18714 return aarch64_ext_pstatefield (self, info, code, inst);
18715 case 83:
18716 case 84:
18717 case 85:
18718 case 86:
18719 return aarch64_ext_sysins_op (self, info, code, inst);
18720 case 87:
18721 case 88:
18722 return aarch64_ext_barrier (self, info, code, inst);
18723 case 89:
18724 return aarch64_ext_prfop (self, info, code, inst);
18725 case 90:
18726 return aarch64_ext_hint (self, info, code, inst);
18727 case 91:
18728 case 92:
18729 case 93:
18730 case 94:
18731 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst);
18732 case 95:
18733 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst);
18734 case 96:
18735 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst);
18736 case 97:
18737 case 98:
18738 case 99:
18739 case 100:
18740 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst);
18741 case 101:
18742 case 102:
18743 case 103:
18744 case 104:
18745 case 105:
18746 case 106:
18747 case 107:
18748 case 108:
18749 case 109:
18750 case 110:
18751 case 111:
18752 case 112:
18753 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst);
18754 case 113:
18755 case 114:
18756 case 115:
18757 case 116:
18758 case 117:
18759 case 118:
18760 case 119:
18761 case 120:
18762 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst);
18763 case 121:
18764 case 122:
18765 case 123:
18766 case 124:
18767 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst);
18768 case 125:
18769 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst);
18770 case 126:
18771 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst);
18772 case 127:
18773 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst);
18774 case 128:
18775 return aarch64_ext_sve_aimm (self, info, code, inst);
18776 case 129:
18777 return aarch64_ext_sve_asimm (self, info, code, inst);
18778 case 131:
18779 return aarch64_ext_sve_float_half_one (self, info, code, inst);
18780 case 132:
18781 return aarch64_ext_sve_float_half_two (self, info, code, inst);
18782 case 133:
18783 return aarch64_ext_sve_float_zero_one (self, info, code, inst);
18784 case 134:
18785 return aarch64_ext_inv_limm (self, info, code, inst);
18786 case 136:
18787 return aarch64_ext_sve_limm_mov (self, info, code, inst);
18788 case 138:
18789 return aarch64_ext_sve_scale (self, info, code, inst);
18790 case 150:
18791 case 151:
18792 return aarch64_ext_sve_shlimm (self, info, code, inst);
18793 case 152:
18794 case 153:
18795 return aarch64_ext_sve_shrimm (self, info, code, inst);
18796 case 172:
18797 return aarch64_ext_sve_index (self, info, code, inst);
18798 case 173:
18799 case 175:
18800 return aarch64_ext_sve_reglist (self, info, code, inst);
18801 default: assert (0); abort ();
18802 }
18803 }
This page took 0.462836 seconds and 4 git commands to generate.