gdb: add target_ops::supports_displaced_step
[deliverable/binutils-gdb.git] / opcodes / aarch64-asm-2.c
index d0358f97ac5f310e1669db0efaa77f846dd89c97..379901e7a76ad6f7490d4128fabeb4ba576b9104 100644 (file)
@@ -1,5 +1,5 @@
 /* This file is automatically generated by aarch64-gen.  Do not edit!  */
 /* This file is automatically generated by aarch64-gen.  Do not edit!  */
-/* Copyright (C) 2012-2016 Free Software Foundation, Inc.
+/* Copyright (C) 2012-2020 Free Software Foundation, Inc.
    Contributed by ARM Ltd.
 
    This file is part of the GNU opcodes library.
    Contributed by ARM Ltd.
 
    This file is part of the GNU opcodes library.
@@ -58,519 +58,534 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode)
     case 17:   /* subs */
       value = 17;      /* --> subs.  */
       break;
     case 17:   /* subs */
       value = 17;      /* --> subs.  */
       break;
-    case 21:   /* cmn */
-    case 20:   /* adds */
-      value = 20;      /* --> adds.  */
-      break;
-    case 23:   /* neg */
-    case 22:   /* sub */
-      value = 22;      /* --> sub.  */
-      break;
-    case 26:   /* negs */
-    case 25:   /* cmp */
-    case 24:   /* subs */
-      value = 24;      /* --> subs.  */
-      break;
-    case 150:  /* mov */
-    case 149:  /* umov */
-      value = 149;     /* --> umov.  */
-      break;
-    case 152:  /* mov */
-    case 151:  /* ins */
-      value = 151;     /* --> ins.  */
-      break;
-    case 154:  /* mov */
-    case 153:  /* ins */
-      value = 153;     /* --> ins.  */
-      break;
-    case 236:  /* mvn */
-    case 235:  /* not */
-      value = 235;     /* --> not.  */
-      break;
-    case 311:  /* mov */
-    case 310:  /* orr */
-      value = 310;     /* --> orr.  */
-      break;
-    case 380:  /* sxtl */
-    case 379:  /* sshll */
-      value = 379;     /* --> sshll.  */
-      break;
-    case 382:  /* sxtl2 */
-    case 381:  /* sshll2 */
-      value = 381;     /* --> sshll2.  */
-      break;
-    case 404:  /* uxtl */
-    case 403:  /* ushll */
-      value = 403;     /* --> ushll.  */
-      break;
-    case 406:  /* uxtl2 */
-    case 405:  /* ushll2 */
-      value = 405;     /* --> ushll2.  */
-      break;
-    case 527:  /* mov */
-    case 526:  /* dup */
-      value = 526;     /* --> dup.  */
-      break;
-    case 614:  /* sxtw */
-    case 613:  /* sxth */
-    case 612:  /* sxtb */
-    case 615:  /* asr */
-    case 611:  /* sbfx */
-    case 610:  /* sbfiz */
-    case 609:  /* sbfm */
-      value = 609;     /* --> sbfm.  */
-      break;
-    case 618:  /* bfc */
-    case 619:  /* bfxil */
-    case 617:  /* bfi */
-    case 616:  /* bfm */
-      value = 616;     /* --> bfm.  */
-      break;
-    case 624:  /* uxth */
-    case 623:  /* uxtb */
-    case 626:  /* lsr */
-    case 625:  /* lsl */
-    case 622:  /* ubfx */
-    case 621:  /* ubfiz */
-    case 620:  /* ubfm */
-      value = 620;     /* --> ubfm.  */
-      break;
-    case 656:  /* cset */
-    case 655:  /* cinc */
-    case 654:  /* csinc */
-      value = 654;     /* --> csinc.  */
-      break;
-    case 659:  /* csetm */
-    case 658:  /* cinv */
-    case 657:  /* csinv */
-      value = 657;     /* --> csinv.  */
-      break;
-    case 661:  /* cneg */
-    case 660:  /* csneg */
-      value = 660;     /* --> csneg.  */
-      break;
-    case 679:  /* rev */
-    case 680:  /* rev64 */
-      value = 679;     /* --> rev.  */
-      break;
-    case 705:  /* lsl */
-    case 704:  /* lslv */
-      value = 704;     /* --> lslv.  */
-      break;
-    case 707:  /* lsr */
-    case 706:  /* lsrv */
-      value = 706;     /* --> lsrv.  */
-      break;
-    case 709:  /* asr */
-    case 708:  /* asrv */
-      value = 708;     /* --> asrv.  */
-      break;
-    case 711:  /* ror */
-    case 710:  /* rorv */
-      value = 710;     /* --> rorv.  */
-      break;
-    case 722:  /* mul */
-    case 721:  /* madd */
-      value = 721;     /* --> madd.  */
-      break;
-    case 724:  /* mneg */
-    case 723:  /* msub */
-      value = 723;     /* --> msub.  */
-      break;
-    case 726:  /* smull */
-    case 725:  /* smaddl */
-      value = 725;     /* --> smaddl.  */
-      break;
-    case 728:  /* smnegl */
-    case 727:  /* smsubl */
-      value = 727;     /* --> smsubl.  */
-      break;
-    case 731:  /* umull */
-    case 730:  /* umaddl */
-      value = 730;     /* --> umaddl.  */
-      break;
-    case 733:  /* umnegl */
-    case 732:  /* umsubl */
-      value = 732;     /* --> umsubl.  */
-      break;
-    case 744:  /* ror */
-    case 743:  /* extr */
-      value = 743;     /* --> extr.  */
-      break;
-    case 957:  /* bic */
-    case 956:  /* and */
-      value = 956;     /* --> and.  */
-      break;
-    case 959:  /* mov */
-    case 958:  /* orr */
-      value = 958;     /* --> orr.  */
-      break;
-    case 962:  /* tst */
-    case 961:  /* ands */
-      value = 961;     /* --> ands.  */
-      break;
-    case 967:  /* uxtw */
-    case 966:  /* mov */
-    case 965:  /* orr */
-      value = 965;     /* --> orr.  */
-      break;
-    case 969:  /* mvn */
-    case 968:  /* orn */
-      value = 968;     /* --> orn.  */
-      break;
-    case 973:  /* tst */
-    case 972:  /* ands */
-      value = 972;     /* --> ands.  */
-      break;
-    case 1099: /* staddb */
-    case 1003: /* ldaddb */
-      value = 1003;    /* --> ldaddb.  */
-      break;
-    case 1100: /* staddh */
-    case 1004: /* ldaddh */
-      value = 1004;    /* --> ldaddh.  */
-      break;
-    case 1101: /* stadd */
-    case 1005: /* ldadd */
-      value = 1005;    /* --> ldadd.  */
+    case 23:   /* cmn */
+    case 22:   /* adds */
+      value = 22;      /* --> adds.  */
+      break;
+    case 25:   /* neg */
+    case 24:   /* sub */
+      value = 24;      /* --> sub.  */
+      break;
+    case 27:   /* cmp */
+    case 28:   /* negs */
+    case 26:   /* subs */
+      value = 26;      /* --> subs.  */
+      break;
+    case 153:  /* mov */
+    case 152:  /* umov */
+      value = 152;     /* --> umov.  */
+      break;
+    case 155:  /* mov */
+    case 154:  /* ins */
+      value = 154;     /* --> ins.  */
+      break;
+    case 157:  /* mov */
+    case 156:  /* ins */
+      value = 156;     /* --> ins.  */
+      break;
+    case 243:  /* mvn */
+    case 242:  /* not */
+      value = 242;     /* --> not.  */
+      break;
+    case 318:  /* mov */
+    case 317:  /* orr */
+      value = 317;     /* --> orr.  */
+      break;
+    case 389:  /* sxtl */
+    case 388:  /* sshll */
+      value = 388;     /* --> sshll.  */
+      break;
+    case 391:  /* sxtl2 */
+    case 390:  /* sshll2 */
+      value = 390;     /* --> sshll2.  */
+      break;
+    case 413:  /* uxtl */
+    case 412:  /* ushll */
+      value = 412;     /* --> ushll.  */
+      break;
+    case 415:  /* uxtl2 */
+    case 414:  /* ushll2 */
+      value = 414;     /* --> ushll2.  */
+      break;
+    case 536:  /* mov */
+    case 535:  /* dup */
+      value = 535;     /* --> dup.  */
+      break;
+    case 623:  /* sxtw */
+    case 622:  /* sxth */
+    case 621:  /* sxtb */
+    case 624:  /* asr */
+    case 620:  /* sbfx */
+    case 619:  /* sbfiz */
+    case 618:  /* sbfm */
+      value = 618;     /* --> sbfm.  */
+      break;
+    case 627:  /* bfc */
+    case 628:  /* bfxil */
+    case 626:  /* bfi */
+    case 625:  /* bfm */
+      value = 625;     /* --> bfm.  */
+      break;
+    case 633:  /* uxth */
+    case 632:  /* uxtb */
+    case 635:  /* lsr */
+    case 634:  /* lsl */
+    case 631:  /* ubfx */
+    case 630:  /* ubfiz */
+    case 629:  /* ubfm */
+      value = 629;     /* --> ubfm.  */
+      break;
+    case 665:  /* cset */
+    case 664:  /* cinc */
+    case 663:  /* csinc */
+      value = 663;     /* --> csinc.  */
+      break;
+    case 668:  /* csetm */
+    case 667:  /* cinv */
+    case 666:  /* csinv */
+      value = 666;     /* --> csinv.  */
+      break;
+    case 670:  /* cneg */
+    case 669:  /* csneg */
+      value = 669;     /* --> csneg.  */
+      break;
+    case 688:  /* rev */
+    case 689:  /* rev64 */
+      value = 688;     /* --> rev.  */
+      break;
+    case 714:  /* lsl */
+    case 713:  /* lslv */
+      value = 713;     /* --> lslv.  */
+      break;
+    case 716:  /* lsr */
+    case 715:  /* lsrv */
+      value = 715;     /* --> lsrv.  */
+      break;
+    case 718:  /* asr */
+    case 717:  /* asrv */
+      value = 717;     /* --> asrv.  */
+      break;
+    case 720:  /* ror */
+    case 719:  /* rorv */
+      value = 719;     /* --> rorv.  */
+      break;
+    case 723:  /* cmpp */
+    case 722:  /* subps */
+      value = 722;     /* --> subps.  */
+      break;
+    case 736:  /* mul */
+    case 735:  /* madd */
+      value = 735;     /* --> madd.  */
+      break;
+    case 738:  /* mneg */
+    case 737:  /* msub */
+      value = 737;     /* --> msub.  */
+      break;
+    case 740:  /* smull */
+    case 739:  /* smaddl */
+      value = 739;     /* --> smaddl.  */
+      break;
+    case 742:  /* smnegl */
+    case 741:  /* smsubl */
+      value = 741;     /* --> smsubl.  */
+      break;
+    case 745:  /* umull */
+    case 744:  /* umaddl */
+      value = 744;     /* --> umaddl.  */
+      break;
+    case 747:  /* umnegl */
+    case 746:  /* umsubl */
+      value = 746;     /* --> umsubl.  */
+      break;
+    case 759:  /* ror */
+    case 758:  /* extr */
+      value = 758;     /* --> extr.  */
+      break;
+    case 992:  /* bic */
+    case 991:  /* and */
+      value = 991;     /* --> and.  */
+      break;
+    case 994:  /* mov */
+    case 993:  /* orr */
+      value = 993;     /* --> orr.  */
+      break;
+    case 997:  /* tst */
+    case 996:  /* ands */
+      value = 996;     /* --> ands.  */
+      break;
+    case 1002: /* uxtw */
+    case 1001: /* mov */
+    case 1000: /* orr */
+      value = 1000;    /* --> orr.  */
+      break;
+    case 1004: /* mvn */
+    case 1003: /* orn */
+      value = 1003;    /* --> orn.  */
+      break;
+    case 1008: /* tst */
+    case 1007: /* ands */
+      value = 1007;    /* --> ands.  */
+      break;
+    case 1134: /* staddb */
+    case 1038: /* ldaddb */
+      value = 1038;    /* --> ldaddb.  */
+      break;
+    case 1135: /* staddh */
+    case 1039: /* ldaddh */
+      value = 1039;    /* --> ldaddh.  */
       break;
       break;
-    case 1102: /* staddlb */
-    case 1007: /* ldaddlb */
-      value = 1007;    /* --> ldaddlb.  */
+    case 1136: /* stadd */
+    case 1040: /* ldadd */
+      value = 1040;    /* --> ldadd.  */
       break;
       break;
-    case 1103: /* staddlh */
-    case 1010: /* ldaddlh */
-      value = 1010;    /* --> ldaddlh.  */
+    case 1137: /* staddlb */
+    case 1042: /* ldaddlb */
+      value = 1042;    /* --> ldaddlb.  */
       break;
       break;
-    case 1104: /* staddl */
-    case 1013: /* ldaddl */
-      value = 1013;    /* --> ldaddl.  */
+    case 1138: /* staddlh */
+    case 1045: /* ldaddlh */
+      value = 1045;    /* --> ldaddlh.  */
       break;
       break;
-    case 1105: /* stclrb */
-    case 1015: /* ldclrb */
-      value = 1015;    /* --> ldclrb.  */
+    case 1139: /* staddl */
+    case 1048: /* ldaddl */
+      value = 1048;    /* --> ldaddl.  */
       break;
       break;
-    case 1106: /* stclrh */
-    case 1016: /* ldclrh */
-      value = 1016;    /* --> ldclrh.  */
+    case 1140: /* stclrb */
+    case 1050: /* ldclrb */
+      value = 1050;    /* --> ldclrb.  */
       break;
       break;
-    case 1107: /* stclr */
-    case 1017: /* ldclr */
-      value = 1017;    /* --> ldclr.  */
+    case 1141: /* stclrh */
+    case 1051: /* ldclrh */
+      value = 1051;    /* --> ldclrh.  */
       break;
       break;
-    case 1108: /* stclrlb */
-    case 1019: /* ldclrlb */
-      value = 1019;    /* --> ldclrlb.  */
+    case 1142: /* stclr */
+    case 1052: /* ldclr */
+      value = 1052;    /* --> ldclr.  */
       break;
       break;
-    case 1109: /* stclrlh */
-    case 1022: /* ldclrlh */
-      value = 1022;    /* --> ldclrlh.  */
+    case 1143: /* stclrlb */
+    case 1054: /* ldclrlb */
+      value = 1054;    /* --> ldclrlb.  */
       break;
       break;
-    case 1110: /* stclrl */
-    case 1025: /* ldclrl */
-      value = 1025;    /* --> ldclrl.  */
+    case 1144: /* stclrlh */
+    case 1057: /* ldclrlh */
+      value = 1057;    /* --> ldclrlh.  */
       break;
       break;
-    case 1111: /* steorb */
-    case 1027: /* ldeorb */
-      value = 1027;    /* --> ldeorb.  */
+    case 1145: /* stclrl */
+    case 1060: /* ldclrl */
+      value = 1060;    /* --> ldclrl.  */
       break;
       break;
-    case 1112: /* steorh */
-    case 1028: /* ldeorh */
-      value = 1028;    /* --> ldeorh.  */
+    case 1146: /* steorb */
+    case 1062: /* ldeorb */
+      value = 1062;    /* --> ldeorb.  */
       break;
       break;
-    case 1113: /* steor */
-    case 1029: /* ldeor */
-      value = 1029;    /* --> ldeor.  */
+    case 1147: /* steorh */
+    case 1063: /* ldeorh */
+      value = 1063;    /* --> ldeorh.  */
       break;
       break;
-    case 1114: /* steorlb */
-    case 1031: /* ldeorlb */
-      value = 1031;    /* --> ldeorlb.  */
+    case 1148: /* steor */
+    case 1064: /* ldeor */
+      value = 1064;    /* --> ldeor.  */
       break;
       break;
-    case 1115: /* steorlh */
-    case 1034: /* ldeorlh */
-      value = 1034;    /* --> ldeorlh.  */
+    case 1149: /* steorlb */
+    case 1066: /* ldeorlb */
+      value = 1066;    /* --> ldeorlb.  */
       break;
       break;
-    case 1116: /* steorl */
-    case 1037: /* ldeorl */
-      value = 1037;    /* --> ldeorl.  */
+    case 1150: /* steorlh */
+    case 1069: /* ldeorlh */
+      value = 1069;    /* --> ldeorlh.  */
       break;
       break;
-    case 1117: /* stsetb */
-    case 1039: /* ldsetb */
-      value = 1039;    /* --> ldsetb.  */
+    case 1151: /* steorl */
+    case 1072: /* ldeorl */
+      value = 1072;    /* --> ldeorl.  */
       break;
       break;
-    case 1118: /* stseth */
-    case 1040: /* ldseth */
-      value = 1040;    /* --> ldseth.  */
+    case 1152: /* stsetb */
+    case 1074: /* ldsetb */
+      value = 1074;    /* --> ldsetb.  */
       break;
       break;
-    case 1119: /* stset */
-    case 1041: /* ldset */
-      value = 1041;    /* --> ldset.  */
+    case 1153: /* stseth */
+    case 1075: /* ldseth */
+      value = 1075;    /* --> ldseth.  */
       break;
       break;
-    case 1120: /* stsetlb */
-    case 1043: /* ldsetlb */
-      value = 1043;    /* --> ldsetlb.  */
+    case 1154: /* stset */
+    case 1076: /* ldset */
+      value = 1076;    /* --> ldset.  */
       break;
       break;
-    case 1121: /* stsetlh */
-    case 1046: /* ldsetlh */
-      value = 1046;    /* --> ldsetlh.  */
+    case 1155: /* stsetlb */
+    case 1078: /* ldsetlb */
+      value = 1078;    /* --> ldsetlb.  */
       break;
       break;
-    case 1122: /* stsetl */
-    case 1049: /* ldsetl */
-      value = 1049;    /* --> ldsetl.  */
+    case 1156: /* stsetlh */
+    case 1081: /* ldsetlh */
+      value = 1081;    /* --> ldsetlh.  */
       break;
       break;
-    case 1123: /* stsmaxb */
-    case 1051: /* ldsmaxb */
-      value = 1051;    /* --> ldsmaxb.  */
+    case 1157: /* stsetl */
+    case 1084: /* ldsetl */
+      value = 1084;    /* --> ldsetl.  */
       break;
       break;
-    case 1124: /* stsmaxh */
-    case 1052: /* ldsmaxh */
-      value = 1052;    /* --> ldsmaxh.  */
+    case 1158: /* stsmaxb */
+    case 1086: /* ldsmaxb */
+      value = 1086;    /* --> ldsmaxb.  */
       break;
       break;
-    case 1125: /* stsmax */
-    case 1053: /* ldsmax */
-      value = 1053;    /* --> ldsmax.  */
-      break;
-    case 1126: /* stsmaxlb */
-    case 1055: /* ldsmaxlb */
-      value = 1055;    /* --> ldsmaxlb.  */
-      break;
-    case 1127: /* stsmaxlh */
-    case 1058: /* ldsmaxlh */
-      value = 1058;    /* --> ldsmaxlh.  */
-      break;
-    case 1128: /* stsmaxl */
-    case 1061: /* ldsmaxl */
-      value = 1061;    /* --> ldsmaxl.  */
-      break;
-    case 1129: /* stsminb */
-    case 1063: /* ldsminb */
-      value = 1063;    /* --> ldsminb.  */
-      break;
-    case 1130: /* stsminh */
-    case 1064: /* ldsminh */
-      value = 1064;    /* --> ldsminh.  */
-      break;
-    case 1131: /* stsmin */
-    case 1065: /* ldsmin */
-      value = 1065;    /* --> ldsmin.  */
-      break;
-    case 1132: /* stsminlb */
-    case 1067: /* ldsminlb */
-      value = 1067;    /* --> ldsminlb.  */
-      break;
-    case 1133: /* stsminlh */
-    case 1070: /* ldsminlh */
-      value = 1070;    /* --> ldsminlh.  */
-      break;
-    case 1134: /* stsminl */
-    case 1073: /* ldsminl */
-      value = 1073;    /* --> ldsminl.  */
-      break;
-    case 1135: /* stumaxb */
-    case 1075: /* ldumaxb */
-      value = 1075;    /* --> ldumaxb.  */
-      break;
-    case 1136: /* stumaxh */
-    case 1076: /* ldumaxh */
-      value = 1076;    /* --> ldumaxh.  */
-      break;
-    case 1137: /* stumax */
-    case 1077: /* ldumax */
-      value = 1077;    /* --> ldumax.  */
-      break;
-    case 1138: /* stumaxlb */
-    case 1079: /* ldumaxlb */
-      value = 1079;    /* --> ldumaxlb.  */
-      break;
-    case 1139: /* stumaxlh */
-    case 1082: /* ldumaxlh */
-      value = 1082;    /* --> ldumaxlh.  */
-      break;
-    case 1140: /* stumaxl */
-    case 1085: /* ldumaxl */
-      value = 1085;    /* --> ldumaxl.  */
-      break;
-    case 1141: /* stuminb */
-    case 1087: /* lduminb */
-      value = 1087;    /* --> lduminb.  */
-      break;
-    case 1142: /* stuminh */
-    case 1088: /* lduminh */
-      value = 1088;    /* --> lduminh.  */
-      break;
-    case 1143: /* stumin */
-    case 1089: /* ldumin */
-      value = 1089;    /* --> ldumin.  */
-      break;
-    case 1144: /* stuminlb */
-    case 1091: /* lduminlb */
-      value = 1091;    /* --> lduminlb.  */
-      break;
-    case 1145: /* stuminlh */
-    case 1094: /* lduminlh */
-      value = 1094;    /* --> lduminlh.  */
-      break;
-    case 1146: /* stuminl */
-    case 1097: /* lduminl */
-      value = 1097;    /* --> lduminl.  */
-      break;
-    case 1148: /* mov */
-    case 1147: /* movn */
-      value = 1147;    /* --> movn.  */
-      break;
-    case 1150: /* mov */
-    case 1149: /* movz */
-      value = 1149;    /* --> movz.  */
-      break;
-    case 1188: /* autibsp */
-    case 1187: /* autibz */
-    case 1186: /* autiasp */
-    case 1185: /* autiaz */
-    case 1184: /* pacibsp */
-    case 1183: /* pacibz */
-    case 1182: /* paciasp */
-    case 1181: /* paciaz */
-    case 1168: /* psb */
-    case 1167: /* esb */
-    case 1166: /* autib1716 */
-    case 1165: /* autia1716 */
-    case 1164: /* pacib1716 */
-    case 1163: /* pacia1716 */
-    case 1162: /* xpaclri */
-    case 1161: /* sevl */
-    case 1160: /* sev */
-    case 1159: /* wfi */
-    case 1158: /* wfe */
-    case 1157: /* yield */
-    case 1156: /* nop */
-    case 1155: /* hint */
-      value = 1155;    /* --> hint.  */
-      break;
-    case 1177: /* tlbi */
-    case 1176: /* ic */
-    case 1175: /* dc */
-    case 1174: /* at */
-    case 1173: /* sys */
-      value = 1173;    /* --> sys.  */
-      break;
-    case 1931: /* bic */
-    case 1236: /* and */
-      value = 1236;    /* --> and.  */
-      break;
-    case 1219: /* mov */
-    case 1238: /* and */
-      value = 1238;    /* --> and.  */
-      break;
-    case 1223: /* movs */
-    case 1239: /* ands */
-      value = 1239;    /* --> ands.  */
-      break;
-    case 1932: /* cmple */
-    case 1274: /* cmpge */
-      value = 1274;    /* --> cmpge.  */
-      break;
-    case 1935: /* cmplt */
-    case 1277: /* cmpgt */
-      value = 1277;    /* --> cmpgt.  */
-      break;
-    case 1933: /* cmplo */
-    case 1279: /* cmphi */
-      value = 1279;    /* --> cmphi.  */
-      break;
-    case 1934: /* cmpls */
-    case 1282: /* cmphs */
-      value = 1282;    /* --> cmphs.  */
-      break;
-    case 1216: /* mov */
-    case 1304: /* cpy */
-      value = 1304;    /* --> cpy.  */
-      break;
-    case 1218: /* mov */
-    case 1305: /* cpy */
-      value = 1305;    /* --> cpy.  */
-      break;
-    case 1942: /* fmov */
-    case 1221: /* mov */
-    case 1306: /* cpy */
-      value = 1306;    /* --> cpy.  */
-      break;
-    case 1211: /* mov */
-    case 1318: /* dup */
-      value = 1318;    /* --> dup.  */
-      break;
-    case 1213: /* mov */
-    case 1210: /* mov */
-    case 1319: /* dup */
-      value = 1319;    /* --> dup.  */
-      break;
-    case 1941: /* fmov */
-    case 1215: /* mov */
-    case 1320: /* dup */
-      value = 1320;    /* --> dup.  */
-      break;
-    case 1214: /* mov */
-    case 1321: /* dupm */
-      value = 1321;    /* --> dupm.  */
-      break;
-    case 1936: /* eon */
-    case 1323: /* eor */
-      value = 1323;    /* --> eor.  */
-      break;
-    case 1224: /* not */
-    case 1325: /* eor */
-      value = 1325;    /* --> eor.  */
-      break;
-    case 1225: /* nots */
-    case 1326: /* eors */
-      value = 1326;    /* --> eors.  */
-      break;
-    case 1937: /* facle */
-    case 1331: /* facge */
-      value = 1331;    /* --> facge.  */
-      break;
-    case 1938: /* faclt */
-    case 1332: /* facgt */
-      value = 1332;    /* --> facgt.  */
-      break;
-    case 1939: /* fcmle */
-    case 1341: /* fcmge */
-      value = 1341;    /* --> fcmge.  */
-      break;
-    case 1940: /* fcmlt */
-    case 1343: /* fcmgt */
-      value = 1343;    /* --> fcmgt.  */
-      break;
-    case 1208: /* fmov */
-    case 1349: /* fcpy */
-      value = 1349;    /* --> fcpy.  */
-      break;
-    case 1207: /* fmov */
-    case 1366: /* fdup */
-      value = 1366;    /* --> fdup.  */
-      break;
-    case 1209: /* mov */
-    case 1664: /* orr */
-      value = 1664;    /* --> orr.  */
+    case 1159: /* stsmaxh */
+    case 1087: /* ldsmaxh */
+      value = 1087;    /* --> ldsmaxh.  */
       break;
       break;
-    case 1943: /* orn */
-    case 1665: /* orr */
-      value = 1665;    /* --> orr.  */
+    case 1160: /* stsmax */
+    case 1088: /* ldsmax */
+      value = 1088;    /* --> ldsmax.  */
+      break;
+    case 1161: /* stsmaxlb */
+    case 1090: /* ldsmaxlb */
+      value = 1090;    /* --> ldsmaxlb.  */
+      break;
+    case 1162: /* stsmaxlh */
+    case 1093: /* ldsmaxlh */
+      value = 1093;    /* --> ldsmaxlh.  */
+      break;
+    case 1163: /* stsmaxl */
+    case 1096: /* ldsmaxl */
+      value = 1096;    /* --> ldsmaxl.  */
+      break;
+    case 1164: /* stsminb */
+    case 1098: /* ldsminb */
+      value = 1098;    /* --> ldsminb.  */
+      break;
+    case 1165: /* stsminh */
+    case 1099: /* ldsminh */
+      value = 1099;    /* --> ldsminh.  */
+      break;
+    case 1166: /* stsmin */
+    case 1100: /* ldsmin */
+      value = 1100;    /* --> ldsmin.  */
+      break;
+    case 1167: /* stsminlb */
+    case 1102: /* ldsminlb */
+      value = 1102;    /* --> ldsminlb.  */
+      break;
+    case 1168: /* stsminlh */
+    case 1105: /* ldsminlh */
+      value = 1105;    /* --> ldsminlh.  */
+      break;
+    case 1169: /* stsminl */
+    case 1108: /* ldsminl */
+      value = 1108;    /* --> ldsminl.  */
+      break;
+    case 1170: /* stumaxb */
+    case 1110: /* ldumaxb */
+      value = 1110;    /* --> ldumaxb.  */
+      break;
+    case 1171: /* stumaxh */
+    case 1111: /* ldumaxh */
+      value = 1111;    /* --> ldumaxh.  */
+      break;
+    case 1172: /* stumax */
+    case 1112: /* ldumax */
+      value = 1112;    /* --> ldumax.  */
+      break;
+    case 1173: /* stumaxlb */
+    case 1114: /* ldumaxlb */
+      value = 1114;    /* --> ldumaxlb.  */
+      break;
+    case 1174: /* stumaxlh */
+    case 1117: /* ldumaxlh */
+      value = 1117;    /* --> ldumaxlh.  */
+      break;
+    case 1175: /* stumaxl */
+    case 1120: /* ldumaxl */
+      value = 1120;    /* --> ldumaxl.  */
+      break;
+    case 1176: /* stuminb */
+    case 1122: /* lduminb */
+      value = 1122;    /* --> lduminb.  */
+      break;
+    case 1177: /* stuminh */
+    case 1123: /* lduminh */
+      value = 1123;    /* --> lduminh.  */
+      break;
+    case 1178: /* stumin */
+    case 1124: /* ldumin */
+      value = 1124;    /* --> ldumin.  */
+      break;
+    case 1179: /* stuminlb */
+    case 1126: /* lduminlb */
+      value = 1126;    /* --> lduminlb.  */
+      break;
+    case 1180: /* stuminlh */
+    case 1129: /* lduminlh */
+      value = 1129;    /* --> lduminlh.  */
+      break;
+    case 1181: /* stuminl */
+    case 1132: /* lduminl */
+      value = 1132;    /* --> lduminl.  */
+      break;
+    case 1183: /* mov */
+    case 1182: /* movn */
+      value = 1182;    /* --> movn.  */
+      break;
+    case 1185: /* mov */
+    case 1184: /* movz */
+      value = 1184;    /* --> movz.  */
+      break;
+    case 1238: /* autibsp */
+    case 1237: /* autibz */
+    case 1236: /* autiasp */
+    case 1235: /* autiaz */
+    case 1234: /* pacibsp */
+    case 1233: /* pacibz */
+    case 1232: /* paciasp */
+    case 1231: /* paciaz */
+    case 1211: /* tsb */
+    case 1210: /* psb */
+    case 1209: /* esb */
+    case 1208: /* autib1716 */
+    case 1207: /* autia1716 */
+    case 1206: /* pacib1716 */
+    case 1205: /* pacia1716 */
+    case 1204: /* xpaclri */
+    case 1202: /* sevl */
+    case 1201: /* sev */
+    case 1200: /* wfi */
+    case 1199: /* wfe */
+    case 1198: /* yield */
+    case 1197: /* bti */
+    case 1196: /* csdb */
+    case 1195: /* nop */
+    case 1194: /* hint */
+      value = 1194;    /* --> hint.  */
+      break;
+    case 1215: /* pssbb */
+    case 1214: /* ssbb */
+    case 1213: /* dsb */
+      value = 1213;    /* --> dsb.  */
+      break;
+    case 1226: /* cpp */
+    case 1225: /* dvp */
+    case 1224: /* cfp */
+    case 1223: /* tlbi */
+    case 1222: /* ic */
+    case 1221: /* dc */
+    case 1220: /* at */
+    case 1219: /* sys */
+      value = 1219;    /* --> sys.  */
+      break;
+    case 2036: /* bic */
+    case 1286: /* and */
+      value = 1286;    /* --> and.  */
+      break;
+    case 1269: /* mov */
+    case 1288: /* and */
+      value = 1288;    /* --> and.  */
+      break;
+    case 1273: /* movs */
+    case 1289: /* ands */
+      value = 1289;    /* --> ands.  */
+      break;
+    case 2037: /* cmple */
+    case 1324: /* cmpge */
+      value = 1324;    /* --> cmpge.  */
+      break;
+    case 2040: /* cmplt */
+    case 1327: /* cmpgt */
+      value = 1327;    /* --> cmpgt.  */
+      break;
+    case 2038: /* cmplo */
+    case 1329: /* cmphi */
+      value = 1329;    /* --> cmphi.  */
+      break;
+    case 2039: /* cmpls */
+    case 1332: /* cmphs */
+      value = 1332;    /* --> cmphs.  */
+      break;
+    case 1266: /* mov */
+    case 1354: /* cpy */
+      value = 1354;    /* --> cpy.  */
+      break;
+    case 1268: /* mov */
+    case 1355: /* cpy */
+      value = 1355;    /* --> cpy.  */
+      break;
+    case 2047: /* fmov */
+    case 1271: /* mov */
+    case 1356: /* cpy */
+      value = 1356;    /* --> cpy.  */
+      break;
+    case 1261: /* mov */
+    case 1368: /* dup */
+      value = 1368;    /* --> dup.  */
+      break;
+    case 1263: /* mov */
+    case 1260: /* mov */
+    case 1369: /* dup */
+      value = 1369;    /* --> dup.  */
+      break;
+    case 2046: /* fmov */
+    case 1265: /* mov */
+    case 1370: /* dup */
+      value = 1370;    /* --> dup.  */
+      break;
+    case 1264: /* mov */
+    case 1371: /* dupm */
+      value = 1371;    /* --> dupm.  */
+      break;
+    case 2041: /* eon */
+    case 1373: /* eor */
+      value = 1373;    /* --> eor.  */
+      break;
+    case 1274: /* not */
+    case 1375: /* eor */
+      value = 1375;    /* --> eor.  */
+      break;
+    case 1275: /* nots */
+    case 1376: /* eors */
+      value = 1376;    /* --> eors.  */
+      break;
+    case 2042: /* facle */
+    case 1381: /* facge */
+      value = 1381;    /* --> facge.  */
+      break;
+    case 2043: /* faclt */
+    case 1382: /* facgt */
+      value = 1382;    /* --> facgt.  */
+      break;
+    case 2044: /* fcmle */
+    case 1395: /* fcmge */
+      value = 1395;    /* --> fcmge.  */
+      break;
+    case 2045: /* fcmlt */
+    case 1397: /* fcmgt */
+      value = 1397;    /* --> fcmgt.  */
+      break;
+    case 1258: /* fmov */
+    case 1403: /* fcpy */
+      value = 1403;    /* --> fcpy.  */
+      break;
+    case 1257: /* fmov */
+    case 1426: /* fdup */
+      value = 1426;    /* --> fdup.  */
+      break;
+    case 1259: /* mov */
+    case 1757: /* orr */
+      value = 1757;    /* --> orr.  */
       break;
       break;
-    case 1212: /* mov */
-    case 1667: /* orr */
-      value = 1667;    /* --> orr.  */
+    case 2048: /* orn */
+    case 1758: /* orr */
+      value = 1758;    /* --> orr.  */
       break;
       break;
-    case 1222: /* movs */
-    case 1668: /* orrs */
-      value = 1668;    /* --> orrs.  */
+    case 1262: /* mov */
+    case 1760: /* orr */
+      value = 1760;    /* --> orr.  */
       break;
       break;
-    case 1217: /* mov */
-    case 1724: /* sel */
-      value = 1724;    /* --> sel.  */
+    case 1272: /* movs */
+    case 1761: /* orrs */
+      value = 1761;    /* --> orrs.  */
       break;
       break;
-    case 1220: /* mov */
-    case 1725: /* sel */
-      value = 1725;    /* --> sel.  */
+    case 1267: /* mov */
+    case 1823: /* sel */
+      value = 1823;    /* --> sel.  */
+      break;
+    case 1270: /* mov */
+    case 1824: /* sel */
+      value = 1824;    /* --> sel.  */
       break;
     default: return NULL;
     }
       break;
     default: return NULL;
     }
@@ -578,10 +593,11 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode)
   return aarch64_opcode_table + value;
 }
 
   return aarch64_opcode_table + value;
 }
 
-const char*
+bfd_boolean
 aarch64_insert_operand (const aarch64_operand *self,
                           const aarch64_opnd_info *info,
 aarch64_insert_operand (const aarch64_operand *self,
                           const aarch64_opnd_info *info,
-                          aarch64_insn *code, const aarch64_inst *inst)
+                          aarch64_insn *code, const aarch64_inst *inst,
+                          aarch64_operand_error *errors)
 {
   /* Use the index as the key.  */
   int key = self - aarch64_operands;
 {
   /* Use the index as the key.  */
   int key = self - aarch64_operands;
@@ -598,11 +614,11 @@ aarch64_insert_operand (const aarch64_operand *self,
     case 9:
     case 10:
     case 11:
     case 9:
     case 10:
     case 11:
-    case 15:
+    case 12:
     case 16:
     case 17:
     case 18:
     case 16:
     case 17:
     case 18:
-    case 20:
+    case 19:
     case 21:
     case 22:
     case 23:
     case 21:
     case 22:
     case 23:
@@ -611,19 +627,8 @@ aarch64_insert_operand (const aarch64_operand *self,
     case 26:
     case 27:
     case 28:
     case 26:
     case 27:
     case 28:
-    case 36:
-    case 37:
-    case 141:
-    case 142:
-    case 143:
-    case 144:
-    case 145:
-    case 146:
-    case 147:
-    case 148:
-    case 149:
-    case 150:
-    case 163:
+    case 29:
+    case 30:
     case 164:
     case 165:
     case 166:
     case 164:
     case 165:
     case 166:
@@ -633,31 +638,43 @@ aarch64_insert_operand (const aarch64_operand *self,
     case 170:
     case 171:
     case 172:
     case 170:
     case 171:
     case 172:
-    case 175:
-      return aarch64_ins_regno (self, info, code, inst);
-    case 13:
-      return aarch64_ins_reg_extended (self, info, code, inst);
+    case 173:
+    case 188:
+    case 189:
+    case 190:
+    case 191:
+    case 192:
+    case 193:
+    case 194:
+    case 195:
+    case 196:
+    case 202:
+    case 205:
+      return aarch64_ins_regno (self, info, code, inst, errors);
     case 14:
     case 14:
-      return aarch64_ins_reg_shifted (self, info, code, inst);
-    case 19:
-      return aarch64_ins_ft (self, info, code, inst);
-    case 29:
-    case 30:
+      return aarch64_ins_reg_extended (self, info, code, inst, errors);
+    case 15:
+      return aarch64_ins_reg_shifted (self, info, code, inst, errors);
+    case 20:
+      return aarch64_ins_ft (self, info, code, inst, errors);
     case 31:
     case 31:
-      return aarch64_ins_reglane (self, info, code, inst);
     case 32:
     case 32:
-      return aarch64_ins_reglist (self, info, code, inst);
     case 33:
     case 33:
-      return aarch64_ins_ldst_reglist (self, info, code, inst);
     case 34:
     case 34:
-      return aarch64_ins_ldst_reglist_r (self, info, code, inst);
+    case 208:
+      return aarch64_ins_reglane (self, info, code, inst, errors);
     case 35:
     case 35:
-      return aarch64_ins_ldst_elemlist (self, info, code, inst);
+      return aarch64_ins_reglist (self, info, code, inst, errors);
+    case 36:
+      return aarch64_ins_ldst_reglist (self, info, code, inst, errors);
+    case 37:
+      return aarch64_ins_ldst_reglist_r (self, info, code, inst, errors);
     case 38:
     case 38:
-    case 48:
-    case 49:
-    case 50:
-    case 51:
+      return aarch64_ins_ldst_elemlist (self, info, code, inst, errors);
+    case 39:
+    case 40:
+    case 41:
+    case 42:
     case 52:
     case 53:
     case 54:
     case 52:
     case 53:
     case 54:
@@ -667,148 +684,185 @@ aarch64_insert_operand (const aarch64_operand *self,
     case 58:
     case 59:
     case 60:
     case 58:
     case 59:
     case 60:
-    case 69:
-    case 70:
-    case 71:
-    case 72:
-    case 138:
-    case 140:
-    case 155:
-    case 156:
-    case 157:
-    case 158:
-    case 159:
-    case 160:
-    case 161:
-    case 162:
-      return aarch64_ins_imm (self, info, code, inst);
-    case 39:
-    case 40:
-      return aarch64_ins_advsimd_imm_shift (self, info, code, inst);
-    case 41:
-    case 42:
-    case 43:
-      return aarch64_ins_advsimd_imm_modified (self, info, code, inst);
-    case 47:
-    case 131:
-      return aarch64_ins_fpimm (self, info, code, inst);
     case 61:
     case 61:
-    case 136:
-      return aarch64_ins_limm (self, info, code, inst);
     case 62:
     case 62:
-      return aarch64_ins_aimm (self, info, code, inst);
     case 63:
     case 63:
-      return aarch64_ins_imm_half (self, info, code, inst);
     case 64:
     case 64:
-      return aarch64_ins_fbits (self, info, code, inst);
+    case 65:
     case 66:
     case 67:
     case 66:
     case 67:
-      return aarch64_ins_cond (self, info, code, inst);
-    case 73:
+    case 68:
     case 80:
     case 80:
-      return aarch64_ins_addr_simple (self, info, code, inst);
+    case 81:
+    case 82:
+    case 83:
+    case 161:
+    case 163:
+    case 180:
+    case 181:
+    case 182:
+    case 183:
+    case 184:
+    case 185:
+    case 186:
+    case 187:
+    case 207:
+      return aarch64_ins_imm (self, info, code, inst, errors);
+    case 43:
+    case 44:
+      return aarch64_ins_advsimd_imm_shift (self, info, code, inst, errors);
+    case 45:
+    case 46:
+    case 47:
+      return aarch64_ins_advsimd_imm_modified (self, info, code, inst, errors);
+    case 51:
+    case 151:
+      return aarch64_ins_fpimm (self, info, code, inst, errors);
+    case 69:
+    case 159:
+      return aarch64_ins_limm (self, info, code, inst, errors);
+    case 70:
+      return aarch64_ins_aimm (self, info, code, inst, errors);
+    case 71:
+      return aarch64_ins_imm_half (self, info, code, inst, errors);
+    case 72:
+      return aarch64_ins_fbits (self, info, code, inst, errors);
     case 74:
     case 74:
-      return aarch64_ins_addr_regoff (self, info, code, inst);
     case 75:
     case 75:
+    case 156:
+      return aarch64_ins_imm_rotate2 (self, info, code, inst, errors);
     case 76:
     case 76:
+    case 155:
+    case 157:
+      return aarch64_ins_imm_rotate1 (self, info, code, inst, errors);
     case 77:
     case 77:
-      return aarch64_ins_addr_simm (self, info, code, inst);
     case 78:
     case 78:
-      return aarch64_ins_addr_simm10 (self, info, code, inst);
-    case 79:
-      return aarch64_ins_addr_uimm12 (self, info, code, inst);
-    case 81:
-      return aarch64_ins_simd_addr_post (self, info, code, inst);
-    case 82:
-      return aarch64_ins_sysreg (self, info, code, inst);
-    case 83:
-      return aarch64_ins_pstatefield (self, info, code, inst);
+      return aarch64_ins_cond (self, info, code, inst, errors);
     case 84:
     case 84:
+    case 93:
+      return aarch64_ins_addr_simple (self, info, code, inst, errors);
     case 85:
     case 85:
+      return aarch64_ins_addr_regoff (self, info, code, inst, errors);
     case 86:
     case 87:
     case 86:
     case 87:
-      return aarch64_ins_sysins_op (self, info, code, inst);
     case 88:
     case 88:
-    case 89:
-      return aarch64_ins_barrier (self, info, code, inst);
     case 90:
     case 90:
-      return aarch64_ins_prfop (self, info, code, inst);
-    case 91:
-      return aarch64_ins_hint (self, info, code, inst);
     case 92:
     case 92:
-    case 93:
+      return aarch64_ins_addr_simm (self, info, code, inst, errors);
+    case 89:
+      return aarch64_ins_addr_simm10 (self, info, code, inst, errors);
+    case 91:
+      return aarch64_ins_addr_uimm12 (self, info, code, inst, errors);
     case 94:
     case 94:
+      return aarch64_ins_addr_offset (self, info, code, inst, errors);
     case 95:
     case 95:
-      return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst);
+      return aarch64_ins_simd_addr_post (self, info, code, inst, errors);
     case 96:
     case 96:
-      return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst);
+      return aarch64_ins_sysreg (self, info, code, inst, errors);
     case 97:
     case 97:
-      return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst);
+      return aarch64_ins_pstatefield (self, info, code, inst, errors);
     case 98:
     case 99:
     case 100:
     case 101:
     case 98:
     case 99:
     case 100:
     case 101:
-      return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst);
     case 102:
     case 102:
+      return aarch64_ins_sysins_op (self, info, code, inst, errors);
     case 103:
     case 104:
     case 103:
     case 104:
+      return aarch64_ins_barrier (self, info, code, inst, errors);
     case 105:
     case 105:
+      return aarch64_ins_prfop (self, info, code, inst, errors);
     case 106:
     case 106:
+      return aarch64_ins_none (self, info, code, inst, errors);
     case 107:
     case 107:
+      return aarch64_ins_hint (self, info, code, inst, errors);
     case 108:
     case 109:
     case 108:
     case 109:
+      return aarch64_ins_sve_addr_ri_s4 (self, info, code, inst, errors);
     case 110:
     case 111:
     case 112:
     case 113:
     case 110:
     case 111:
     case 112:
     case 113:
-      return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst);
+      return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst, errors);
     case 114:
     case 114:
+      return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst, errors);
     case 115:
     case 115:
+      return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst, errors);
     case 116:
     case 117:
     case 118:
     case 119:
     case 116:
     case 117:
     case 118:
     case 119:
+      return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst, errors);
     case 120:
     case 121:
     case 120:
     case 121:
-      return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst);
     case 122:
     case 123:
     case 124:
     case 125:
     case 122:
     case 123:
     case 124:
     case 125:
-      return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst);
     case 126:
     case 126:
-      return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst);
     case 127:
     case 127:
-      return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst);
     case 128:
     case 128:
-      return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst);
     case 129:
     case 129:
-      return aarch64_ins_sve_aimm (self, info, code, inst);
     case 130:
     case 130:
-      return aarch64_ins_sve_asimm (self, info, code, inst);
+    case 131:
     case 132:
     case 132:
-      return aarch64_ins_sve_float_half_one (self, info, code, inst);
     case 133:
     case 133:
-      return aarch64_ins_sve_float_half_two (self, info, code, inst);
+      return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst, errors);
     case 134:
     case 134:
-      return aarch64_ins_sve_float_zero_one (self, info, code, inst);
     case 135:
     case 135:
-      return aarch64_ins_inv_limm (self, info, code, inst);
+    case 136:
     case 137:
     case 137:
-      return aarch64_ins_sve_limm_mov (self, info, code, inst);
+    case 138:
     case 139:
     case 139:
-      return aarch64_ins_sve_scale (self, info, code, inst);
-    case 151:
+    case 140:
+    case 141:
+      return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst, errors);
+    case 142:
+    case 143:
+    case 144:
+    case 145:
+      return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst, errors);
+    case 146:
+      return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst, errors);
+    case 147:
+      return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst, errors);
+    case 148:
+      return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst, errors);
+    case 149:
+      return aarch64_ins_sve_aimm (self, info, code, inst, errors);
+    case 150:
+      return aarch64_ins_sve_asimm (self, info, code, inst, errors);
     case 152:
     case 152:
-      return aarch64_ins_sve_shlimm (self, info, code, inst);
+      return aarch64_ins_sve_float_half_one (self, info, code, inst, errors);
     case 153:
     case 153:
+      return aarch64_ins_sve_float_half_two (self, info, code, inst, errors);
     case 154:
     case 154:
-      return aarch64_ins_sve_shrimm (self, info, code, inst);
-    case 173:
-      return aarch64_ins_sve_index (self, info, code, inst);
+      return aarch64_ins_sve_float_zero_one (self, info, code, inst, errors);
+    case 158:
+      return aarch64_ins_inv_limm (self, info, code, inst, errors);
+    case 160:
+      return aarch64_ins_sve_limm_mov (self, info, code, inst, errors);
+    case 162:
+      return aarch64_ins_sve_scale (self, info, code, inst, errors);
     case 174:
     case 174:
+    case 175:
     case 176:
     case 176:
-      return aarch64_ins_sve_reglist (self, info, code, inst);
+      return aarch64_ins_sve_shlimm (self, info, code, inst, errors);
+    case 177:
+    case 178:
+    case 179:
+      return aarch64_ins_sve_shrimm (self, info, code, inst, errors);
+    case 197:
+    case 198:
+    case 199:
+    case 200:
+    case 201:
+      return aarch64_ins_sve_quad_index (self, info, code, inst, errors);
+    case 203:
+      return aarch64_ins_sve_index (self, info, code, inst, errors);
+    case 204:
+    case 206:
+      return aarch64_ins_sve_reglist (self, info, code, inst, errors);
     default: assert (0); abort ();
     }
 }
     default: assert (0); abort ();
     }
 }
This page took 0.046256 seconds and 4 git commands to generate.