X-Git-Url: http://git.efficios.com/?a=blobdiff_plain;f=tests%2Fbindings%2Fpython%2Fbt2%2Ftest_clock_class.py;h=8be327bd5c6d1031eb50916caf51eff1aae3e0f8;hb=c5f330cd909f5dfbdb519546e875b4427434ba4f;hp=de0c001c6d6b11235812b8518f2021ff51464617;hpb=9ec609eca33472c516129ba3b1257fbfc1978e77;p=babeltrace.git diff --git a/tests/bindings/python/bt2/test_clock_class.py b/tests/bindings/python/bt2/test_clock_class.py index de0c001c..8be327bd 100644 --- a/tests/bindings/python/bt2/test_clock_class.py +++ b/tests/bindings/python/bt2/test_clock_class.py @@ -201,7 +201,7 @@ class ClockSnapshotTestCase(unittest.TestCase): self._cc = _cc class MyIter(bt2._UserMessageIterator): - def __init__(self): + def __init__(self, self_port_output): self._at = 0 def __next__(self):