From: Szabolcs Nagy Date: Fri, 11 Nov 2016 10:36:32 +0000 (+0000) Subject: [AArch64] Add ARMv8.3 single source PAC instructions X-Git-Url: http://git.efficios.com/?a=commitdiff_plain;h=a2cfc830e715142a0dd28496feaedf569b116b0e;p=deliverable%2Fbinutils-gdb.git [AArch64] Add ARMv8.3 single source PAC instructions Add support for ARMv8.3 pointer authentication instructions that are encoded as single source data processing instructions. opcodes/ 2016-11-08 Szabolcs Nagy * aarch64-tbl.h (arch64_opcode_table): Add pacia, pacib, pacda, pacdb, autia, autib, autda, autdb, paciza, pacizb, pacdza, pacdzb, autiza, autizb, autdza, autdzb, xpaci, xpacd. * aarch64-asm-2.c: Regenerate. * aarch64-dis-2.c: Regenerate. * aarch64-opc-2.c: Regenerate. gas/testsuite/ 2016-11-11 Szabolcs Nagy * testsuite/gas/aarch64/pac.s: New. * testsuite/gas/aarch64/pac.d: New. --- diff --git a/gas/ChangeLog b/gas/ChangeLog index 6c6e79f0f0..de48cd4e1a 100644 --- a/gas/ChangeLog +++ b/gas/ChangeLog @@ -1,3 +1,8 @@ +2016-11-11 Szabolcs Nagy + + * testsuite/gas/aarch64/pac.s: New. + * testsuite/gas/aarch64/pac.d: New. + 2016-11-11 Szabolcs Nagy * testsuite/gas/aarch64/sysreg-3.s: New. diff --git a/gas/testsuite/gas/aarch64/pac.d b/gas/testsuite/gas/aarch64/pac.d new file mode 100644 index 0000000000..448e674eaa --- /dev/null +++ b/gas/testsuite/gas/aarch64/pac.d @@ -0,0 +1,34 @@ +#objdump: -dr +#as: -march=armv8.3-a + +.*: file .* + +Disassembly of section \.text: + +0000000000000000 <.*>: + 0: dac10083 pacia x3, x4 + 4: dac103e5 pacia x5, sp + 8: dac10483 pacib x3, x4 + c: dac107e5 pacib x5, sp + 10: dac10883 pacda x3, x4 + 14: dac10be5 pacda x5, sp + 18: dac10c83 pacdb x3, x4 + 1c: dac10fe5 pacdb x5, sp + 20: dac11083 autia x3, x4 + 24: dac113e5 autia x5, sp + 28: dac11483 autib x3, x4 + 2c: dac117e5 autib x5, sp + 30: dac11883 autda x3, x4 + 34: dac11be5 autda x5, sp + 38: dac11c83 autdb x3, x4 + 3c: dac11fe5 autdb x5, sp + 40: dac123e5 paciza x5 + 44: dac127e5 pacizb x5 + 48: dac12be5 pacdza x5 + 4c: dac12fe5 pacdzb x5 + 50: dac133e5 autiza x5 + 54: dac137e5 autizb x5 + 58: dac13be5 autdza x5 + 5c: dac13fe5 autdzb x5 + 60: dac143e5 xpaci x5 + 64: dac147e5 xpacd x5 diff --git a/gas/testsuite/gas/aarch64/pac.s b/gas/testsuite/gas/aarch64/pac.s new file mode 100644 index 0000000000..dd01682dea --- /dev/null +++ b/gas/testsuite/gas/aarch64/pac.s @@ -0,0 +1,34 @@ + /* ARMv8.3 Pointer authentication instructions. */ + .text + + /* Basic instructions. */ + pacia x3, x4 + pacia x5, sp + pacib x3, x4 + pacib x5, sp + pacda x3, x4 + pacda x5, sp + pacdb x3, x4 + pacdb x5, sp + + autia x3, x4 + autia x5, sp + autib x3, x4 + autib x5, sp + autda x3, x4 + autda x5, sp + autdb x3, x4 + autdb x5, sp + + paciza x5 + pacizb x5 + pacdza x5 + pacdzb x5 + + autiza x5 + autizb x5 + autdza x5 + autdzb x5 + + xpaci x5 + xpacd x5 diff --git a/opcodes/ChangeLog b/opcodes/ChangeLog index 5000976da5..2db9e50a98 100644 --- a/opcodes/ChangeLog +++ b/opcodes/ChangeLog @@ -1,3 +1,12 @@ +2016-11-11 Szabolcs Nagy + + * aarch64-tbl.h (arch64_opcode_table): Add pacia, pacib, pacda, pacdb, autia, + autib, autda, autdb, paciza, pacizb, pacdza, pacdzb, autiza, autizb, autdza, + autdzb, xpaci, xpacd. + * aarch64-asm-2.c: Regenerate. + * aarch64-dis-2.c: Regenerate. + * aarch64-opc-2.c: Regenerate. + 2016-11-11 Szabolcs Nagy * aarch64-opc.c (aarch64_sys_regs): Add apiakeylo_el1, apiakeyhi_el1, diff --git a/opcodes/aarch64-asm-2.c b/opcodes/aarch64-asm-2.c index e24a267c5d..d6efacc255 100644 --- a/opcodes/aarch64-asm-2.c +++ b/opcodes/aarch64-asm-2.c @@ -153,424 +153,424 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode) case 668: /* rev64 */ value = 667; /* --> rev. */ break; - case 675: /* lsl */ - case 674: /* lslv */ - value = 674; /* --> lslv. */ - break; - case 677: /* lsr */ - case 676: /* lsrv */ - value = 676; /* --> lsrv. */ - break; - case 679: /* asr */ - case 678: /* asrv */ - value = 678; /* --> asrv. */ - break; - case 681: /* ror */ - case 680: /* rorv */ - value = 680; /* --> rorv. */ - break; - case 691: /* mul */ - case 690: /* madd */ - value = 690; /* --> madd. */ - break; - case 693: /* mneg */ - case 692: /* msub */ - value = 692; /* --> msub. */ - break; - case 695: /* smull */ - case 694: /* smaddl */ - value = 694; /* --> smaddl. */ - break; - case 697: /* smnegl */ - case 696: /* smsubl */ - value = 696; /* --> smsubl. */ - break; - case 700: /* umull */ - case 699: /* umaddl */ - value = 699; /* --> umaddl. */ - break; - case 702: /* umnegl */ - case 701: /* umsubl */ - value = 701; /* --> umsubl. */ - break; - case 713: /* ror */ - case 712: /* extr */ - value = 712; /* --> extr. */ - break; - case 920: /* bic */ - case 919: /* and */ - value = 919; /* --> and. */ - break; - case 922: /* mov */ - case 921: /* orr */ - value = 921; /* --> orr. */ - break; - case 925: /* tst */ - case 924: /* ands */ - value = 924; /* --> ands. */ - break; - case 930: /* uxtw */ - case 929: /* mov */ - case 928: /* orr */ - value = 928; /* --> orr. */ + case 693: /* lsl */ + case 692: /* lslv */ + value = 692; /* --> lslv. */ + break; + case 695: /* lsr */ + case 694: /* lsrv */ + value = 694; /* --> lsrv. */ + break; + case 697: /* asr */ + case 696: /* asrv */ + value = 696; /* --> asrv. */ + break; + case 699: /* ror */ + case 698: /* rorv */ + value = 698; /* --> rorv. */ + break; + case 709: /* mul */ + case 708: /* madd */ + value = 708; /* --> madd. */ + break; + case 711: /* mneg */ + case 710: /* msub */ + value = 710; /* --> msub. */ + break; + case 713: /* smull */ + case 712: /* smaddl */ + value = 712; /* --> smaddl. */ + break; + case 715: /* smnegl */ + case 714: /* smsubl */ + value = 714; /* --> smsubl. */ + break; + case 718: /* umull */ + case 717: /* umaddl */ + value = 717; /* --> umaddl. */ + break; + case 720: /* umnegl */ + case 719: /* umsubl */ + value = 719; /* --> umsubl. */ + break; + case 731: /* ror */ + case 730: /* extr */ + value = 730; /* --> extr. */ + break; + case 938: /* bic */ + case 937: /* and */ + value = 937; /* --> and. */ + break; + case 940: /* mov */ + case 939: /* orr */ + value = 939; /* --> orr. */ + break; + case 943: /* tst */ + case 942: /* ands */ + value = 942; /* --> ands. */ + break; + case 948: /* uxtw */ + case 947: /* mov */ + case 946: /* orr */ + value = 946; /* --> orr. */ break; - case 932: /* mvn */ - case 931: /* orn */ - value = 931; /* --> orn. */ + case 950: /* mvn */ + case 949: /* orn */ + value = 949; /* --> orn. */ break; - case 936: /* tst */ - case 935: /* ands */ - value = 935; /* --> ands. */ + case 954: /* tst */ + case 953: /* ands */ + value = 953; /* --> ands. */ break; - case 1062: /* staddb */ - case 966: /* ldaddb */ - value = 966; /* --> ldaddb. */ + case 1080: /* staddb */ + case 984: /* ldaddb */ + value = 984; /* --> ldaddb. */ break; - case 1063: /* staddh */ - case 967: /* ldaddh */ - value = 967; /* --> ldaddh. */ + case 1081: /* staddh */ + case 985: /* ldaddh */ + value = 985; /* --> ldaddh. */ break; - case 1064: /* stadd */ - case 968: /* ldadd */ - value = 968; /* --> ldadd. */ + case 1082: /* stadd */ + case 986: /* ldadd */ + value = 986; /* --> ldadd. */ break; - case 1065: /* staddlb */ - case 970: /* ldaddlb */ - value = 970; /* --> ldaddlb. */ + case 1083: /* staddlb */ + case 988: /* ldaddlb */ + value = 988; /* --> ldaddlb. */ break; - case 1066: /* staddlh */ - case 973: /* ldaddlh */ - value = 973; /* --> ldaddlh. */ + case 1084: /* staddlh */ + case 991: /* ldaddlh */ + value = 991; /* --> ldaddlh. */ break; - case 1067: /* staddl */ - case 976: /* ldaddl */ - value = 976; /* --> ldaddl. */ + case 1085: /* staddl */ + case 994: /* ldaddl */ + value = 994; /* --> ldaddl. */ break; - case 1068: /* stclrb */ - case 978: /* ldclrb */ - value = 978; /* --> ldclrb. */ + case 1086: /* stclrb */ + case 996: /* ldclrb */ + value = 996; /* --> ldclrb. */ break; - case 1069: /* stclrh */ - case 979: /* ldclrh */ - value = 979; /* --> ldclrh. */ + case 1087: /* stclrh */ + case 997: /* ldclrh */ + value = 997; /* --> ldclrh. */ break; - case 1070: /* stclr */ - case 980: /* ldclr */ - value = 980; /* --> ldclr. */ + case 1088: /* stclr */ + case 998: /* ldclr */ + value = 998; /* --> ldclr. */ break; - case 1071: /* stclrlb */ - case 982: /* ldclrlb */ - value = 982; /* --> ldclrlb. */ + case 1089: /* stclrlb */ + case 1000: /* ldclrlb */ + value = 1000; /* --> ldclrlb. */ break; - case 1072: /* stclrlh */ - case 985: /* ldclrlh */ - value = 985; /* --> ldclrlh. */ + case 1090: /* stclrlh */ + case 1003: /* ldclrlh */ + value = 1003; /* --> ldclrlh. */ break; - case 1073: /* stclrl */ - case 988: /* ldclrl */ - value = 988; /* --> ldclrl. */ + case 1091: /* stclrl */ + case 1006: /* ldclrl */ + value = 1006; /* --> ldclrl. */ break; - case 1074: /* steorb */ - case 990: /* ldeorb */ - value = 990; /* --> ldeorb. */ + case 1092: /* steorb */ + case 1008: /* ldeorb */ + value = 1008; /* --> ldeorb. */ break; - case 1075: /* steorh */ - case 991: /* ldeorh */ - value = 991; /* --> ldeorh. */ + case 1093: /* steorh */ + case 1009: /* ldeorh */ + value = 1009; /* --> ldeorh. */ break; - case 1076: /* steor */ - case 992: /* ldeor */ - value = 992; /* --> ldeor. */ + case 1094: /* steor */ + case 1010: /* ldeor */ + value = 1010; /* --> ldeor. */ break; - case 1077: /* steorlb */ - case 994: /* ldeorlb */ - value = 994; /* --> ldeorlb. */ + case 1095: /* steorlb */ + case 1012: /* ldeorlb */ + value = 1012; /* --> ldeorlb. */ break; - case 1078: /* steorlh */ - case 997: /* ldeorlh */ - value = 997; /* --> ldeorlh. */ + case 1096: /* steorlh */ + case 1015: /* ldeorlh */ + value = 1015; /* --> ldeorlh. */ break; - case 1079: /* steorl */ - case 1000: /* ldeorl */ - value = 1000; /* --> ldeorl. */ + case 1097: /* steorl */ + case 1018: /* ldeorl */ + value = 1018; /* --> ldeorl. */ break; - case 1080: /* stsetb */ - case 1002: /* ldsetb */ - value = 1002; /* --> ldsetb. */ + case 1098: /* stsetb */ + case 1020: /* ldsetb */ + value = 1020; /* --> ldsetb. */ break; - case 1081: /* stseth */ - case 1003: /* ldseth */ - value = 1003; /* --> ldseth. */ + case 1099: /* stseth */ + case 1021: /* ldseth */ + value = 1021; /* --> ldseth. */ break; - case 1082: /* stset */ - case 1004: /* ldset */ - value = 1004; /* --> ldset. */ + case 1100: /* stset */ + case 1022: /* ldset */ + value = 1022; /* --> ldset. */ break; - case 1083: /* stsetlb */ - case 1006: /* ldsetlb */ - value = 1006; /* --> ldsetlb. */ + case 1101: /* stsetlb */ + case 1024: /* ldsetlb */ + value = 1024; /* --> ldsetlb. */ break; - case 1084: /* stsetlh */ - case 1009: /* ldsetlh */ - value = 1009; /* --> ldsetlh. */ + case 1102: /* stsetlh */ + case 1027: /* ldsetlh */ + value = 1027; /* --> ldsetlh. */ break; - case 1085: /* stsetl */ - case 1012: /* ldsetl */ - value = 1012; /* --> ldsetl. */ + case 1103: /* stsetl */ + case 1030: /* ldsetl */ + value = 1030; /* --> ldsetl. */ break; - case 1086: /* stsmaxb */ - case 1014: /* ldsmaxb */ - value = 1014; /* --> ldsmaxb. */ + case 1104: /* stsmaxb */ + case 1032: /* ldsmaxb */ + value = 1032; /* --> ldsmaxb. */ break; - case 1087: /* stsmaxh */ - case 1015: /* ldsmaxh */ - value = 1015; /* --> ldsmaxh. */ + case 1105: /* stsmaxh */ + case 1033: /* ldsmaxh */ + value = 1033; /* --> ldsmaxh. */ break; - case 1088: /* stsmax */ - case 1016: /* ldsmax */ - value = 1016; /* --> ldsmax. */ - break; - case 1089: /* stsmaxlb */ - case 1018: /* ldsmaxlb */ - value = 1018; /* --> ldsmaxlb. */ - break; - case 1090: /* stsmaxlh */ - case 1021: /* ldsmaxlh */ - value = 1021; /* --> ldsmaxlh. */ - break; - case 1091: /* stsmaxl */ - case 1024: /* ldsmaxl */ - value = 1024; /* --> ldsmaxl. */ - break; - case 1092: /* stsminb */ - case 1026: /* ldsminb */ - value = 1026; /* --> ldsminb. */ - break; - case 1093: /* stsminh */ - case 1027: /* ldsminh */ - value = 1027; /* --> ldsminh. */ - break; - case 1094: /* stsmin */ - case 1028: /* ldsmin */ - value = 1028; /* --> ldsmin. */ - break; - case 1095: /* stsminlb */ - case 1030: /* ldsminlb */ - value = 1030; /* --> ldsminlb. */ - break; - case 1096: /* stsminlh */ - case 1033: /* ldsminlh */ - value = 1033; /* --> ldsminlh. */ - break; - case 1097: /* stsminl */ - case 1036: /* ldsminl */ - value = 1036; /* --> ldsminl. */ - break; - case 1098: /* stumaxb */ - case 1038: /* ldumaxb */ - value = 1038; /* --> ldumaxb. */ - break; - case 1099: /* stumaxh */ - case 1039: /* ldumaxh */ - value = 1039; /* --> ldumaxh. */ - break; - case 1100: /* stumax */ - case 1040: /* ldumax */ - value = 1040; /* --> ldumax. */ - break; - case 1101: /* stumaxlb */ - case 1042: /* ldumaxlb */ - value = 1042; /* --> ldumaxlb. */ - break; - case 1102: /* stumaxlh */ - case 1045: /* ldumaxlh */ - value = 1045; /* --> ldumaxlh. */ - break; - case 1103: /* stumaxl */ - case 1048: /* ldumaxl */ - value = 1048; /* --> ldumaxl. */ - break; - case 1104: /* stuminb */ - case 1050: /* lduminb */ - value = 1050; /* --> lduminb. */ - break; - case 1105: /* stuminh */ - case 1051: /* lduminh */ - value = 1051; /* --> lduminh. */ - break; - case 1106: /* stumin */ - case 1052: /* ldumin */ - value = 1052; /* --> ldumin. */ - break; - case 1107: /* stuminlb */ - case 1054: /* lduminlb */ - value = 1054; /* --> lduminlb. */ - break; - case 1108: /* stuminlh */ - case 1057: /* lduminlh */ - value = 1057; /* --> lduminlh. */ - break; - case 1109: /* stuminl */ - case 1060: /* lduminl */ - value = 1060; /* --> lduminl. */ - break; - case 1111: /* mov */ - case 1110: /* movn */ - value = 1110; /* --> movn. */ - break; - case 1113: /* mov */ - case 1112: /* movz */ - value = 1112; /* --> movz. */ - break; - case 1151: /* autibsp */ - case 1150: /* autibz */ - case 1149: /* autiasp */ - case 1148: /* autiaz */ - case 1147: /* pacibsp */ - case 1146: /* pacibz */ - case 1145: /* paciasp */ - case 1144: /* paciaz */ - case 1131: /* psb */ - case 1130: /* esb */ - case 1129: /* autib1716 */ - case 1128: /* autia1716 */ - case 1127: /* pacib1716 */ - case 1126: /* pacia1716 */ - case 1125: /* xpaclri */ - case 1124: /* sevl */ - case 1123: /* sev */ - case 1122: /* wfi */ - case 1121: /* wfe */ - case 1120: /* yield */ - case 1119: /* nop */ - case 1118: /* hint */ - value = 1118; /* --> hint. */ - break; - case 1140: /* tlbi */ - case 1139: /* ic */ - case 1138: /* dc */ - case 1137: /* at */ - case 1136: /* sys */ - value = 1136; /* --> sys. */ - break; - case 1894: /* bic */ - case 1199: /* and */ - value = 1199; /* --> and. */ - break; - case 1182: /* mov */ - case 1201: /* and */ - value = 1201; /* --> and. */ - break; - case 1186: /* movs */ - case 1202: /* ands */ - value = 1202; /* --> ands. */ - break; - case 1895: /* cmple */ - case 1237: /* cmpge */ - value = 1237; /* --> cmpge. */ - break; - case 1898: /* cmplt */ - case 1240: /* cmpgt */ - value = 1240; /* --> cmpgt. */ - break; - case 1896: /* cmplo */ - case 1242: /* cmphi */ - value = 1242; /* --> cmphi. */ - break; - case 1897: /* cmpls */ - case 1245: /* cmphs */ - value = 1245; /* --> cmphs. */ - break; - case 1179: /* mov */ - case 1267: /* cpy */ - value = 1267; /* --> cpy. */ - break; - case 1181: /* mov */ - case 1268: /* cpy */ - value = 1268; /* --> cpy. */ - break; - case 1905: /* fmov */ - case 1184: /* mov */ - case 1269: /* cpy */ - value = 1269; /* --> cpy. */ - break; - case 1174: /* mov */ - case 1281: /* dup */ - value = 1281; /* --> dup. */ - break; - case 1176: /* mov */ - case 1173: /* mov */ - case 1282: /* dup */ - value = 1282; /* --> dup. */ - break; - case 1904: /* fmov */ - case 1178: /* mov */ - case 1283: /* dup */ - value = 1283; /* --> dup. */ - break; - case 1177: /* mov */ - case 1284: /* dupm */ - value = 1284; /* --> dupm. */ - break; - case 1899: /* eon */ - case 1286: /* eor */ - value = 1286; /* --> eor. */ - break; - case 1187: /* not */ - case 1288: /* eor */ - value = 1288; /* --> eor. */ - break; - case 1188: /* nots */ - case 1289: /* eors */ - value = 1289; /* --> eors. */ - break; - case 1900: /* facle */ - case 1294: /* facge */ - value = 1294; /* --> facge. */ - break; - case 1901: /* faclt */ - case 1295: /* facgt */ - value = 1295; /* --> facgt. */ - break; - case 1902: /* fcmle */ - case 1304: /* fcmge */ - value = 1304; /* --> fcmge. */ - break; - case 1903: /* fcmlt */ - case 1306: /* fcmgt */ - value = 1306; /* --> fcmgt. */ - break; - case 1171: /* fmov */ - case 1312: /* fcpy */ - value = 1312; /* --> fcpy. */ - break; - case 1170: /* fmov */ - case 1329: /* fdup */ - value = 1329; /* --> fdup. */ - break; - case 1172: /* mov */ - case 1627: /* orr */ - value = 1627; /* --> orr. */ - break; - case 1906: /* orn */ - case 1628: /* orr */ - value = 1628; /* --> orr. */ - break; - case 1175: /* mov */ - case 1630: /* orr */ - value = 1630; /* --> orr. */ - break; - case 1185: /* movs */ - case 1631: /* orrs */ - value = 1631; /* --> orrs. */ - break; - case 1180: /* mov */ - case 1687: /* sel */ - value = 1687; /* --> sel. */ - break; - case 1183: /* mov */ - case 1688: /* sel */ - value = 1688; /* --> sel. */ + case 1106: /* stsmax */ + case 1034: /* ldsmax */ + value = 1034; /* --> ldsmax. */ + break; + case 1107: /* stsmaxlb */ + case 1036: /* ldsmaxlb */ + value = 1036; /* --> ldsmaxlb. */ + break; + case 1108: /* stsmaxlh */ + case 1039: /* ldsmaxlh */ + value = 1039; /* --> ldsmaxlh. */ + break; + case 1109: /* stsmaxl */ + case 1042: /* ldsmaxl */ + value = 1042; /* --> ldsmaxl. */ + break; + case 1110: /* stsminb */ + case 1044: /* ldsminb */ + value = 1044; /* --> ldsminb. */ + break; + case 1111: /* stsminh */ + case 1045: /* ldsminh */ + value = 1045; /* --> ldsminh. */ + break; + case 1112: /* stsmin */ + case 1046: /* ldsmin */ + value = 1046; /* --> ldsmin. */ + break; + case 1113: /* stsminlb */ + case 1048: /* ldsminlb */ + value = 1048; /* --> ldsminlb. */ + break; + case 1114: /* stsminlh */ + case 1051: /* ldsminlh */ + value = 1051; /* --> ldsminlh. */ + break; + case 1115: /* stsminl */ + case 1054: /* ldsminl */ + value = 1054; /* --> ldsminl. */ + break; + case 1116: /* stumaxb */ + case 1056: /* ldumaxb */ + value = 1056; /* --> ldumaxb. */ + break; + case 1117: /* stumaxh */ + case 1057: /* ldumaxh */ + value = 1057; /* --> ldumaxh. */ + break; + case 1118: /* stumax */ + case 1058: /* ldumax */ + value = 1058; /* --> ldumax. */ + break; + case 1119: /* stumaxlb */ + case 1060: /* ldumaxlb */ + value = 1060; /* --> ldumaxlb. */ + break; + case 1120: /* stumaxlh */ + case 1063: /* ldumaxlh */ + value = 1063; /* --> ldumaxlh. */ + break; + case 1121: /* stumaxl */ + case 1066: /* ldumaxl */ + value = 1066; /* --> ldumaxl. */ + break; + case 1122: /* stuminb */ + case 1068: /* lduminb */ + value = 1068; /* --> lduminb. */ + break; + case 1123: /* stuminh */ + case 1069: /* lduminh */ + value = 1069; /* --> lduminh. */ + break; + case 1124: /* stumin */ + case 1070: /* ldumin */ + value = 1070; /* --> ldumin. */ + break; + case 1125: /* stuminlb */ + case 1072: /* lduminlb */ + value = 1072; /* --> lduminlb. */ + break; + case 1126: /* stuminlh */ + case 1075: /* lduminlh */ + value = 1075; /* --> lduminlh. */ + break; + case 1127: /* stuminl */ + case 1078: /* lduminl */ + value = 1078; /* --> lduminl. */ + break; + case 1129: /* mov */ + case 1128: /* movn */ + value = 1128; /* --> movn. */ + break; + case 1131: /* mov */ + case 1130: /* movz */ + value = 1130; /* --> movz. */ + break; + case 1169: /* autibsp */ + case 1168: /* autibz */ + case 1167: /* autiasp */ + case 1166: /* autiaz */ + case 1165: /* pacibsp */ + case 1164: /* pacibz */ + case 1163: /* paciasp */ + case 1162: /* paciaz */ + case 1149: /* psb */ + case 1148: /* esb */ + case 1147: /* autib1716 */ + case 1146: /* autia1716 */ + case 1145: /* pacib1716 */ + case 1144: /* pacia1716 */ + case 1143: /* xpaclri */ + case 1142: /* sevl */ + case 1141: /* sev */ + case 1140: /* wfi */ + case 1139: /* wfe */ + case 1138: /* yield */ + case 1137: /* nop */ + case 1136: /* hint */ + value = 1136; /* --> hint. */ + break; + case 1158: /* tlbi */ + case 1157: /* ic */ + case 1156: /* dc */ + case 1155: /* at */ + case 1154: /* sys */ + value = 1154; /* --> sys. */ + break; + case 1912: /* bic */ + case 1217: /* and */ + value = 1217; /* --> and. */ + break; + case 1200: /* mov */ + case 1219: /* and */ + value = 1219; /* --> and. */ + break; + case 1204: /* movs */ + case 1220: /* ands */ + value = 1220; /* --> ands. */ + break; + case 1913: /* cmple */ + case 1255: /* cmpge */ + value = 1255; /* --> cmpge. */ + break; + case 1916: /* cmplt */ + case 1258: /* cmpgt */ + value = 1258; /* --> cmpgt. */ + break; + case 1914: /* cmplo */ + case 1260: /* cmphi */ + value = 1260; /* --> cmphi. */ + break; + case 1915: /* cmpls */ + case 1263: /* cmphs */ + value = 1263; /* --> cmphs. */ + break; + case 1197: /* mov */ + case 1285: /* cpy */ + value = 1285; /* --> cpy. */ + break; + case 1199: /* mov */ + case 1286: /* cpy */ + value = 1286; /* --> cpy. */ + break; + case 1923: /* fmov */ + case 1202: /* mov */ + case 1287: /* cpy */ + value = 1287; /* --> cpy. */ + break; + case 1192: /* mov */ + case 1299: /* dup */ + value = 1299; /* --> dup. */ + break; + case 1194: /* mov */ + case 1191: /* mov */ + case 1300: /* dup */ + value = 1300; /* --> dup. */ + break; + case 1922: /* fmov */ + case 1196: /* mov */ + case 1301: /* dup */ + value = 1301; /* --> dup. */ + break; + case 1195: /* mov */ + case 1302: /* dupm */ + value = 1302; /* --> dupm. */ + break; + case 1917: /* eon */ + case 1304: /* eor */ + value = 1304; /* --> eor. */ + break; + case 1205: /* not */ + case 1306: /* eor */ + value = 1306; /* --> eor. */ + break; + case 1206: /* nots */ + case 1307: /* eors */ + value = 1307; /* --> eors. */ + break; + case 1918: /* facle */ + case 1312: /* facge */ + value = 1312; /* --> facge. */ + break; + case 1919: /* faclt */ + case 1313: /* facgt */ + value = 1313; /* --> facgt. */ + break; + case 1920: /* fcmle */ + case 1322: /* fcmge */ + value = 1322; /* --> fcmge. */ + break; + case 1921: /* fcmlt */ + case 1324: /* fcmgt */ + value = 1324; /* --> fcmgt. */ + break; + case 1189: /* fmov */ + case 1330: /* fcpy */ + value = 1330; /* --> fcpy. */ + break; + case 1188: /* fmov */ + case 1347: /* fdup */ + value = 1347; /* --> fdup. */ + break; + case 1190: /* mov */ + case 1645: /* orr */ + value = 1645; /* --> orr. */ + break; + case 1924: /* orn */ + case 1646: /* orr */ + value = 1646; /* --> orr. */ + break; + case 1193: /* mov */ + case 1648: /* orr */ + value = 1648; /* --> orr. */ + break; + case 1203: /* movs */ + case 1649: /* orrs */ + value = 1649; /* --> orrs. */ + break; + case 1198: /* mov */ + case 1705: /* sel */ + value = 1705; /* --> sel. */ + break; + case 1201: /* mov */ + case 1706: /* sel */ + value = 1706; /* --> sel. */ break; default: return NULL; } diff --git a/opcodes/aarch64-dis-2.c b/opcodes/aarch64-dis-2.c index 3af371ccd3..8b403d5f42 100644 --- a/opcodes/aarch64-dis-2.c +++ b/opcodes/aarch64-dis-2.c @@ -40,7 +40,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx0 adr. */ - return 1115; + return 1133; } else { @@ -48,7 +48,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx0000xxx1 adrp. */ - return 1116; + return 1134; } } else @@ -115,7 +115,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx000x0010000 stxrb. */ - return 873; + return 891; } else { @@ -123,7 +123,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx000x0010010 stxrh. */ - return 879; + return 897; } } else @@ -132,7 +132,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx000x00100x1 stxr. */ - return 885; + return 903; } } else @@ -143,7 +143,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx100x00100x0 casp. */ - return 950; + return 968; } else { @@ -151,7 +151,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx100x00100x1 stxp. */ - return 887; + return 905; } } } @@ -167,7 +167,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx000x0010000 stlxrb. */ - return 874; + return 892; } else { @@ -175,7 +175,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx000x0010010 stlxrh. */ - return 880; + return 898; } } else @@ -184,7 +184,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx000x00100x1 stlxr. */ - return 886; + return 904; } } else @@ -195,7 +195,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx100x00100x0 caspl. */ - return 952; + return 970; } else { @@ -203,7 +203,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx100x00100x1 stlxp. */ - return 888; + return 906; } } } @@ -214,7 +214,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx00x00101xx stnp. */ - return 901; + return 919; } } else @@ -231,7 +231,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx001x00100x0 stllrb. */ - return 899; + return 917; } else { @@ -239,7 +239,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx001x00100x1 stllr. */ - return 898; + return 916; } } else @@ -252,7 +252,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx101x0010000 casb. */ - return 938; + return 956; } else { @@ -260,7 +260,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx101x0010010 cash. */ - return 939; + return 957; } } else @@ -269,7 +269,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx101x00100x1 cas. */ - return 940; + return 958; } } } @@ -285,7 +285,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx001x0010000 stlrb. */ - return 877; + return 895; } else { @@ -293,7 +293,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx001x0010010 stlrh. */ - return 883; + return 901; } } else @@ -302,7 +302,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx001x00100x1 stlr. */ - return 893; + return 911; } } else @@ -315,7 +315,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx101x0010000 caslb. */ - return 942; + return 960; } else { @@ -323,7 +323,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx101x0010010 caslh. */ - return 945; + return 963; } } else @@ -332,7 +332,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx101x00100x1 casl. */ - return 948; + return 966; } } } @@ -343,7 +343,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx01x00101xx stp. */ - return 910; + return 928; } } } @@ -365,7 +365,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx010x0010000 ldxrb. */ - return 875; + return 893; } else { @@ -373,7 +373,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx010x0010010 ldxrh. */ - return 881; + return 899; } } else @@ -382,7 +382,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx010x00100x1 ldxr. */ - return 889; + return 907; } } else @@ -393,7 +393,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx110x00100x0 caspa. */ - return 951; + return 969; } else { @@ -401,7 +401,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx110x00100x1 ldxp. */ - return 891; + return 909; } } } @@ -417,7 +417,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx010x0010000 ldaxrb. */ - return 876; + return 894; } else { @@ -425,7 +425,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx010x0010010 ldaxrh. */ - return 882; + return 900; } } else @@ -434,7 +434,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx010x00100x1 ldaxr. */ - return 890; + return 908; } } else @@ -445,7 +445,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx110x00100x0 caspal. */ - return 953; + return 971; } else { @@ -453,7 +453,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx110x00100x1 ldaxp. */ - return 892; + return 910; } } } @@ -466,7 +466,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx10x001010x ldnp. */ - return 902; + return 920; } else { @@ -474,7 +474,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx10x001011x ldpsw. */ - return 909; + return 927; } } } @@ -494,7 +494,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx011x0010000 ldlarb. */ - return 896; + return 914; } else { @@ -502,7 +502,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx011x0010010 ldlarh. */ - return 897; + return 915; } } else @@ -511,7 +511,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx011x00100x1 ldlar. */ - return 895; + return 913; } } else @@ -524,7 +524,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx111x0010000 casab. */ - return 941; + return 959; } else { @@ -532,7 +532,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx111x0010010 casah. */ - return 944; + return 962; } } else @@ -541,7 +541,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx111x00100x1 casa. */ - return 947; + return 965; } } } @@ -557,7 +557,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx011x0010000 ldarb. */ - return 878; + return 896; } else { @@ -565,7 +565,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx011x0010010 ldarh. */ - return 884; + return 902; } } else @@ -574,7 +574,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx011x00100x1 ldar. */ - return 894; + return 912; } } else @@ -587,7 +587,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx111x0010000 casalb. */ - return 943; + return 961; } else { @@ -595,7 +595,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx111x0010010 casalh. */ - return 946; + return 964; } } else @@ -604,7 +604,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx111x00100x1 casal. */ - return 949; + return 967; } } } @@ -617,7 +617,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx11x001010x ldp. */ - return 911; + return 929; } else { @@ -625,7 +625,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx11x001011x ldpsw. */ - return 914; + return 932; } } } @@ -643,7 +643,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx000110x0 ldr. */ - return 915; + return 933; } else { @@ -653,7 +653,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx00011001 ldrsw. */ - return 917; + return 935; } else { @@ -661,7 +661,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx00011011 prfm. */ - return 918; + return 936; } } } @@ -685,7 +685,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx00000011100 sturb. */ - return 861; + return 879; } else { @@ -693,7 +693,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx00000011110 sturh. */ - return 866; + return 884; } } else @@ -702,7 +702,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx000000111x1 stur. */ - return 869; + return 887; } } else @@ -715,7 +715,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx01000011100 ldurb. */ - return 862; + return 880; } else { @@ -723,7 +723,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx01000011110 ldurh. */ - return 867; + return 885; } } else @@ -732,7 +732,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx010000111x1 ldur. */ - return 870; + return 888; } } } @@ -746,7 +746,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx0x100011100 ldursb. */ - return 863; + return 881; } else { @@ -754,7 +754,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx0x100011101 ldursw. */ - return 871; + return 889; } } else @@ -765,7 +765,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx0x100011110 ldursh. */ - return 868; + return 886; } else { @@ -773,7 +773,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxx0x100011111 prfum. */ - return 872; + return 890; } } } @@ -800,7 +800,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx10000011100 ldaddb. */ - return 966; + return 984; } else { @@ -808,7 +808,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx10000011110 ldaddh. */ - return 967; + return 985; } } else @@ -817,7 +817,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx100000111x1 ldadd. */ - return 968; + return 986; } } else @@ -830,7 +830,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx10100011100 ldaddab. */ - return 969; + return 987; } else { @@ -838,7 +838,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx10100011110 ldaddah. */ - return 972; + return 990; } } else @@ -847,7 +847,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx101000111x1 ldadda. */ - return 975; + return 993; } } } @@ -863,7 +863,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx11000011100 ldaddlb. */ - return 970; + return 988; } else { @@ -871,7 +871,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx11000011110 ldaddlh. */ - return 973; + return 991; } } else @@ -880,7 +880,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx110000111x1 ldaddl. */ - return 976; + return 994; } } else @@ -893,7 +893,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx11100011100 ldaddalb. */ - return 971; + return 989; } else { @@ -901,7 +901,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx11100011110 ldaddalh. */ - return 974; + return 992; } } else @@ -910,7 +910,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx111000111x1 ldaddal. */ - return 977; + return 995; } } } @@ -929,7 +929,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx10000011100 swpb. */ - return 954; + return 972; } else { @@ -937,7 +937,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx10000011110 swph. */ - return 955; + return 973; } } else @@ -946,7 +946,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx100000111x1 swp. */ - return 956; + return 974; } } else @@ -959,7 +959,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx10100011100 swpab. */ - return 957; + return 975; } else { @@ -967,7 +967,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx10100011110 swpah. */ - return 960; + return 978; } } else @@ -976,7 +976,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx101000111x1 swpa. */ - return 963; + return 981; } } } @@ -992,7 +992,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx11000011100 swplb. */ - return 958; + return 976; } else { @@ -1000,7 +1000,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx11000011110 swplh. */ - return 961; + return 979; } } else @@ -1009,7 +1009,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx110000111x1 swpl. */ - return 964; + return 982; } } else @@ -1022,7 +1022,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx11100011100 swpalb. */ - return 959; + return 977; } else { @@ -1030,7 +1030,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx11100011110 swpalh. */ - return 962; + return 980; } } else @@ -1039,7 +1039,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000001xxxxx111000111x1 swpal. */ - return 965; + return 983; } } } @@ -1059,7 +1059,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx10000011100 ldsmaxb. */ - return 1014; + return 1032; } else { @@ -1067,7 +1067,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx10000011110 ldsmaxh. */ - return 1015; + return 1033; } } else @@ -1076,7 +1076,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx100000111x1 ldsmax. */ - return 1016; + return 1034; } } else @@ -1089,7 +1089,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx10100011100 ldsmaxab. */ - return 1017; + return 1035; } else { @@ -1097,7 +1097,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx10100011110 ldsmaxah. */ - return 1020; + return 1038; } } else @@ -1106,7 +1106,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx101000111x1 ldsmaxa. */ - return 1023; + return 1041; } } } @@ -1122,7 +1122,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx11000011100 ldsmaxlb. */ - return 1018; + return 1036; } else { @@ -1130,7 +1130,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx11000011110 ldsmaxlh. */ - return 1021; + return 1039; } } else @@ -1139,7 +1139,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx110000111x1 ldsmaxl. */ - return 1024; + return 1042; } } else @@ -1152,7 +1152,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx11100011100 ldsmaxalb. */ - return 1019; + return 1037; } else { @@ -1160,7 +1160,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx11100011110 ldsmaxalh. */ - return 1022; + return 1040; } } else @@ -1169,7 +1169,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xxxxxx111000111x1 ldsmaxal. */ - return 1025; + return 1043; } } } @@ -1191,7 +1191,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx10000011100 ldeorb. */ - return 990; + return 1008; } else { @@ -1199,7 +1199,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx10000011110 ldeorh. */ - return 991; + return 1009; } } else @@ -1208,7 +1208,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx100000111x1 ldeor. */ - return 992; + return 1010; } } else @@ -1221,7 +1221,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx10100011100 ldeorab. */ - return 993; + return 1011; } else { @@ -1229,7 +1229,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx10100011110 ldeorah. */ - return 996; + return 1014; } } else @@ -1238,7 +1238,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx101000111x1 ldeora. */ - return 999; + return 1017; } } } @@ -1254,7 +1254,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx11000011100 ldeorlb. */ - return 994; + return 1012; } else { @@ -1262,7 +1262,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx11000011110 ldeorlh. */ - return 997; + return 1015; } } else @@ -1271,7 +1271,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx110000111x1 ldeorl. */ - return 1000; + return 1018; } } else @@ -1284,7 +1284,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx11100011100 ldeoralb. */ - return 995; + return 1013; } else { @@ -1292,7 +1292,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx11100011110 ldeoralh. */ - return 998; + return 1016; } } else @@ -1301,7 +1301,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00010xxxxxx111000111x1 ldeoral. */ - return 1001; + return 1019; } } } @@ -1320,7 +1320,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx10000011100 ldumaxb. */ - return 1038; + return 1056; } else { @@ -1328,7 +1328,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx10000011110 ldumaxh. */ - return 1039; + return 1057; } } else @@ -1337,7 +1337,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx100000111x1 ldumax. */ - return 1040; + return 1058; } } else @@ -1350,7 +1350,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx10100011100 ldumaxab. */ - return 1041; + return 1059; } else { @@ -1358,7 +1358,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx10100011110 ldumaxah. */ - return 1044; + return 1062; } } else @@ -1367,7 +1367,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx101000111x1 ldumaxa. */ - return 1047; + return 1065; } } } @@ -1383,7 +1383,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx11000011100 ldumaxlb. */ - return 1042; + return 1060; } else { @@ -1391,7 +1391,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx11000011110 ldumaxlh. */ - return 1045; + return 1063; } } else @@ -1400,7 +1400,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx110000111x1 ldumaxl. */ - return 1048; + return 1066; } } else @@ -1413,7 +1413,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx11100011100 ldumaxalb. */ - return 1043; + return 1061; } else { @@ -1421,7 +1421,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx11100011110 ldumaxalh. */ - return 1046; + return 1064; } } else @@ -1430,7 +1430,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00011xxxxxx111000111x1 ldumaxal. */ - return 1049; + return 1067; } } } @@ -1455,7 +1455,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx10000011100 ldclrb. */ - return 978; + return 996; } else { @@ -1463,7 +1463,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx10000011110 ldclrh. */ - return 979; + return 997; } } else @@ -1472,7 +1472,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx100000111x1 ldclr. */ - return 980; + return 998; } } else @@ -1485,7 +1485,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx10100011100 ldclrab. */ - return 981; + return 999; } else { @@ -1493,7 +1493,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx10100011110 ldclrah. */ - return 984; + return 1002; } } else @@ -1502,7 +1502,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx101000111x1 ldclra. */ - return 987; + return 1005; } } } @@ -1518,7 +1518,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx11000011100 ldclrlb. */ - return 982; + return 1000; } else { @@ -1526,7 +1526,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx11000011110 ldclrlh. */ - return 985; + return 1003; } } else @@ -1535,7 +1535,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx110000111x1 ldclrl. */ - return 988; + return 1006; } } else @@ -1548,7 +1548,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx11100011100 ldclralb. */ - return 983; + return 1001; } else { @@ -1556,7 +1556,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx11100011110 ldclralh. */ - return 986; + return 1004; } } else @@ -1565,7 +1565,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00100xxxxxx111000111x1 ldclral. */ - return 989; + return 1007; } } } @@ -1584,7 +1584,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx10000011100 ldsminb. */ - return 1026; + return 1044; } else { @@ -1592,7 +1592,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx10000011110 ldsminh. */ - return 1027; + return 1045; } } else @@ -1601,7 +1601,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx100000111x1 ldsmin. */ - return 1028; + return 1046; } } else @@ -1614,7 +1614,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx10100011100 ldsminab. */ - return 1029; + return 1047; } else { @@ -1622,7 +1622,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx10100011110 ldsminah. */ - return 1032; + return 1050; } } else @@ -1631,7 +1631,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx101000111x1 ldsmina. */ - return 1035; + return 1053; } } } @@ -1647,7 +1647,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx11000011100 ldsminlb. */ - return 1030; + return 1048; } else { @@ -1655,7 +1655,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx11000011110 ldsminlh. */ - return 1033; + return 1051; } } else @@ -1664,7 +1664,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx110000111x1 ldsminl. */ - return 1036; + return 1054; } } else @@ -1677,7 +1677,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx11100011100 ldsminalb. */ - return 1031; + return 1049; } else { @@ -1685,7 +1685,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx11100011110 ldsminalh. */ - return 1034; + return 1052; } } else @@ -1694,7 +1694,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00101xxxxxx111000111x1 ldsminal. */ - return 1037; + return 1055; } } } @@ -1716,7 +1716,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx10000011100 ldsetb. */ - return 1002; + return 1020; } else { @@ -1724,7 +1724,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx10000011110 ldseth. */ - return 1003; + return 1021; } } else @@ -1733,7 +1733,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx100000111x1 ldset. */ - return 1004; + return 1022; } } else @@ -1746,7 +1746,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx10100011100 ldsetab. */ - return 1005; + return 1023; } else { @@ -1754,7 +1754,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx10100011110 ldsetah. */ - return 1008; + return 1026; } } else @@ -1763,7 +1763,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx101000111x1 ldseta. */ - return 1011; + return 1029; } } } @@ -1779,7 +1779,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx11000011100 ldsetlb. */ - return 1006; + return 1024; } else { @@ -1787,7 +1787,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx11000011110 ldsetlh. */ - return 1009; + return 1027; } } else @@ -1796,7 +1796,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx110000111x1 ldsetl. */ - return 1012; + return 1030; } } else @@ -1809,7 +1809,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx11100011100 ldsetalb. */ - return 1007; + return 1025; } else { @@ -1817,7 +1817,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx11100011110 ldsetalh. */ - return 1010; + return 1028; } } else @@ -1826,7 +1826,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00110xxxxxx111000111x1 ldsetal. */ - return 1013; + return 1031; } } } @@ -1845,7 +1845,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx10000011100 lduminb. */ - return 1050; + return 1068; } else { @@ -1853,7 +1853,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx10000011110 lduminh. */ - return 1051; + return 1069; } } else @@ -1862,7 +1862,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx100000111x1 ldumin. */ - return 1052; + return 1070; } } else @@ -1875,7 +1875,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx10100011100 lduminab. */ - return 1053; + return 1071; } else { @@ -1883,7 +1883,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx10100011110 lduminah. */ - return 1056; + return 1074; } } else @@ -1892,7 +1892,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx101000111x1 ldumina. */ - return 1059; + return 1077; } } } @@ -1908,7 +1908,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx11000011100 lduminlb. */ - return 1054; + return 1072; } else { @@ -1916,7 +1916,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx11000011110 lduminlh. */ - return 1057; + return 1075; } } else @@ -1925,7 +1925,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx110000111x1 lduminl. */ - return 1060; + return 1078; } } else @@ -1938,7 +1938,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx11100011100 lduminalb. */ - return 1055; + return 1073; } else { @@ -1946,7 +1946,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx11100011110 lduminalh. */ - return 1058; + return 1076; } } else @@ -1955,7 +1955,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00111xxxxxx111000111x1 lduminal. */ - return 1061; + return 1079; } } } @@ -1980,7 +1980,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx00000011100 sttrb. */ - return 852; + return 870; } else { @@ -1988,7 +1988,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx00000011110 sttrh. */ - return 855; + return 873; } } else @@ -1997,7 +1997,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx000000111x1 sttr. */ - return 858; + return 876; } } else @@ -2010,7 +2010,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx01000011100 ldtrb. */ - return 853; + return 871; } else { @@ -2018,7 +2018,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx01000011110 ldtrh. */ - return 856; + return 874; } } else @@ -2027,7 +2027,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx010000111x1 ldtr. */ - return 859; + return 877; } } } @@ -2041,7 +2041,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx0x100011100 ldtrsb. */ - return 854; + return 872; } else { @@ -2049,7 +2049,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx0x100011101 ldtrsw. */ - return 860; + return 878; } } else @@ -2058,7 +2058,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx0x10001111x ldtrsh. */ - return 857; + return 875; } } } @@ -2076,7 +2076,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx10000011100 strb. */ - return 840; + return 858; } else { @@ -2084,7 +2084,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx10000011110 strh. */ - return 845; + return 863; } } else @@ -2093,7 +2093,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx100000111x1 str. */ - return 848; + return 866; } } else @@ -2106,7 +2106,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx11000011100 ldrb. */ - return 841; + return 859; } else { @@ -2114,7 +2114,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx11000011110 ldrh. */ - return 846; + return 864; } } else @@ -2123,7 +2123,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx110000111x1 ldr. */ - return 849; + return 867; } } } @@ -2137,7 +2137,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx1x100011100 ldrsb. */ - return 842; + return 860; } else { @@ -2145,7 +2145,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx1x100011101 ldrsw. */ - return 850; + return 868; } } else @@ -2156,7 +2156,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx1x100011110 ldrsh. */ - return 847; + return 865; } else { @@ -2164,7 +2164,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxx1x100011111 prfm. */ - return 851; + return 869; } } } @@ -2185,7 +2185,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx0000011100 strb. */ - return 817; + return 835; } else { @@ -2193,7 +2193,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx0000011110 strh. */ - return 822; + return 840; } } else @@ -2202,7 +2202,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx00000111x1 str. */ - return 825; + return 843; } } else @@ -2215,7 +2215,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx1000011100 ldrb. */ - return 818; + return 836; } else { @@ -2223,7 +2223,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx1000011110 ldrh. */ - return 823; + return 841; } } else @@ -2232,7 +2232,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx10000111x1 ldr. */ - return 826; + return 844; } } } @@ -2246,7 +2246,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxxx100011100 ldrsb. */ - return 819; + return 837; } else { @@ -2254,7 +2254,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxxx100011101 ldrsw. */ - return 827; + return 845; } } else @@ -2263,7 +2263,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxxx10001111x ldrsh. */ - return 824; + return 842; } } } @@ -2283,7 +2283,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx0010011x00 strb. */ - return 828; + return 846; } else { @@ -2291,7 +2291,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx0010011x10 strh. */ - return 833; + return 851; } } else @@ -2300,7 +2300,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx0010011xx1 str. */ - return 836; + return 854; } } else @@ -2313,7 +2313,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx1010011x00 ldrb. */ - return 829; + return 847; } else { @@ -2321,7 +2321,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx1010011x10 ldrh. */ - return 834; + return 852; } } else @@ -2330,7 +2330,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx1010011xx1 ldr. */ - return 837; + return 855; } } } @@ -2344,7 +2344,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx110011x00 ldrsb. */ - return 830; + return 848; } else { @@ -2352,7 +2352,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx110011x01 ldrsw. */ - return 838; + return 856; } } else @@ -2363,7 +2363,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx110011x10 ldrsh. */ - return 835; + return 853; } else { @@ -2371,7 +2371,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx110011x11 prfm. */ - return 839; + return 857; } } } @@ -2395,7 +2395,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx00100x00x and. */ - return 919; + return 937; } else { @@ -2403,7 +2403,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx00100x01x eor. */ - return 923; + return 941; } } else @@ -2414,7 +2414,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx00100x10x orr. */ - return 921; + return 939; } else { @@ -2422,7 +2422,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx00100x11x ands. */ - return 924; + return 942; } } } @@ -2436,7 +2436,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx10100x00x movn. */ - return 1110; + return 1128; } else { @@ -2444,7 +2444,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx10100x01x movz. */ - return 1112; + return 1130; } } else @@ -2453,7 +2453,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx10100x1xx movk. */ - return 1114; + return 1132; } } } @@ -2471,7 +2471,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx0xx0101000x and. */ - return 926; + return 944; } else { @@ -2479,7 +2479,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx0xx0101001x eor. */ - return 933; + return 951; } } else @@ -2490,7 +2490,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx0xx0101010x orr. */ - return 928; + return 946; } else { @@ -2498,7 +2498,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx0xx0101011x ands. */ - return 935; + return 953; } } } @@ -2599,48 +2599,103 @@ aarch64_opcode_lookup_1 (uint32_t word) if (((word >> 13) & 0x1) == 0) { if (((word >> 14) & 0x1) == 0) + { + if (((word >> 16) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00000x0xxxx01101011xxx + rbit. */ + return 664; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00000x1xxxx01101011xxx + pacia. */ + return 672; + } + } + else + { + if (((word >> 30) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00001xxxxxx01101011x0x + crc32b. */ + return 700; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00001xxxxxx01101011x1x + xpaci. */ + return 688; + } + } + } + else + { + if (((word >> 30) & 0x1) == 0) { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx00000xxxxxx01101011xxx - rbit. */ - return 664; + xxxxxxxxxx0001xxxxxxx01101011x0x + lslv. */ + return 692; } else { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx00001xxxxxx01101011xxx - crc32b. */ - return 682; + xxxxxxxxxx0001xxxxxxx01101011x1x + paciza. */ + return 680; } } - else - { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx0001xxxxxxx01101011xxx - lslv. */ - return 674; - } } else { - if (((word >> 14) & 0x1) == 0) + if (((word >> 13) & 0x1) == 0) { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx001x0xxxxxx01101011xxx - clz. */ - return 669; + if (((word >> 14) & 0x1) == 0) + { + if (((word >> 16) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00100x0xxxx01101011xxx + clz. */ + return 669; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00100x1xxxx01101011xxx + autia. */ + return 676; + } + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx00101xxxxxx01101011xxx + crc32cb. */ + return 704; + } } else { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx001x1xxxxxx01101011xxx - crc32cb. */ - return 686; + xxxxxxxxxx0011xxxxxxx01101011xxx + autiza. */ + return 684; } } } @@ -2681,25 +2736,36 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01000xxxxxx0x101011x0x udiv. */ - return 672; + return 690; } else { - if (((word >> 31) & 0x1) == 0) + if (((word >> 16) & 0x1) == 0) { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx01000xxxxxx0x101011x10 - rev. */ - return 666; + if (((word >> 31) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx01000x0xxxx0x101011x10 + rev. */ + return 666; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx01000x0xxxx0x101011x11 + rev32. */ + return 671; + } } else { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx01000xxxxxx0x101011x11 - rev32. */ - return 671; + xxxxxxxxxx01000x1xxxx0x101011x1x + pacda. */ + return 674; } } } @@ -2709,25 +2775,58 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01001xxxxxx0x101011xxx crc32w. */ - return 684; + return 702; } } else { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx0101xxxxxxx0x101011xxx - asrv. */ - return 678; + if (((word >> 30) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx0101xxxxxxx0x101011x0x + asrv. */ + return 696; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx0101xxxxxxx0x101011x1x + pacdza. */ + return 682; + } } } else { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx011xxxxxxxx0x101011xxx - crc32cw. */ - return 688; + if (((word >> 13) & 0x1) == 0) + { + if (((word >> 14) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx01100xxxxxx0x101011xxx + autda. */ + return 678; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx01101xxxxxx0x101011xxx + crc32cw. */ + return 706; + } + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx0111xxxxxxx0x101011xxx + autdza. */ + return 686; + } } } } @@ -2762,48 +2861,103 @@ aarch64_opcode_lookup_1 (uint32_t word) if (((word >> 13) & 0x1) == 0) { if (((word >> 14) & 0x1) == 0) + { + if (((word >> 16) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10000x0xxxx01x01011xxx + rev16. */ + return 665; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10000x1xxxx01x01011xxx + pacib. */ + return 673; + } + } + else + { + if (((word >> 30) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10001xxxxxx01x01011x0x + crc32h. */ + return 701; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10001xxxxxx01x01011x1x + xpacd. */ + return 689; + } + } + } + else + { + if (((word >> 30) & 0x1) == 0) { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx10000xxxxxx01x01011xxx - rev16. */ - return 665; + xxxxxxxxxx1001xxxxxxx01x01011x0x + lsrv. */ + return 694; } else { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx10001xxxxxx01x01011xxx - crc32h. */ - return 683; + xxxxxxxxxx1001xxxxxxx01x01011x1x + pacizb. */ + return 681; } } - else - { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx1001xxxxxxx01x01011xxx - lsrv. */ - return 676; - } } else { - if (((word >> 14) & 0x1) == 0) + if (((word >> 13) & 0x1) == 0) { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx101x0xxxxxx01x01011xxx - cls. */ - return 670; + if (((word >> 14) & 0x1) == 0) + { + if (((word >> 16) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10100x0xxxx01x01011xxx + cls. */ + return 670; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10100x1xxxx01x01011xxx + autib. */ + return 677; + } + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx10101xxxxxx01x01011xxx + crc32ch. */ + return 705; + } } else { /* 33222222222211111111110000000000 10987654321098765432109876543210 - xxxxxxxxxx101x1xxxxxx01x01011xxx - crc32ch. */ - return 687; + xxxxxxxxxx1011xxxxxxx01x01011xxx + autizb. */ + return 685; } } } @@ -2822,15 +2976,26 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11000xxxxxx0xx01011x0x sdiv. */ - return 673; + return 691; } else { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx11000xxxxxx0xx01011x1x - rev. */ - return 667; + if (((word >> 16) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx11000x0xxxx0xx01011x1x + rev. */ + return 667; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx11000x1xxxx0xx01011x1x + pacdb. */ + return 675; + } } } else @@ -2839,25 +3004,58 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11001xxxxxx0xx01011xxx crc32x. */ - return 685; + return 703; } } else { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx1101xxxxxxx0xx01011xxx - rorv. */ - return 680; + if (((word >> 30) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx1101xxxxxxx0xx01011x0x + rorv. */ + return 698; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx1101xxxxxxx0xx01011x1x + pacdzb. */ + return 683; + } } } else { - /* 33222222222211111111110000000000 - 10987654321098765432109876543210 - xxxxxxxxxx111xxxxxxxx0xx01011xxx - crc32cx. */ - return 689; + if (((word >> 13) & 0x1) == 0) + { + if (((word >> 14) & 0x1) == 0) + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx11100xxxxxx0xx01011xxx + autdb. */ + return 679; + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx11101xxxxxx0xx01011xxx + crc32cx. */ + return 707; + } + } + else + { + /* 33222222222211111111110000000000 + 10987654321098765432109876543210 + xxxxxxxxxx1111xxxxxxx0xx01011xxx + autdzb. */ + return 687; + } } } } @@ -2873,7 +3071,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx1xx0101x00x bic. */ - return 927; + return 945; } else { @@ -2881,7 +3079,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx1xx0101x01x eon. */ - return 934; + return 952; } } else @@ -2892,7 +3090,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx1xx0101x10x orn. */ - return 931; + return 949; } else { @@ -2900,7 +3098,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx1xx0101x11x bics. */ - return 937; + return 955; } } } @@ -2946,7 +3144,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxx11100xxxx extr. */ - return 712; + return 730; } } else @@ -3004,7 +3202,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx00x11011xxx madd. */ - return 690; + return 708; } else { @@ -3014,7 +3212,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx01011011xxx smulh. */ - return 698; + return 716; } else { @@ -3022,7 +3220,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx01111011xxx umulh. */ - return 703; + return 721; } } } @@ -3032,7 +3230,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx0xx11011xxx msub. */ - return 692; + return 710; } } } @@ -3089,7 +3287,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx1x011011xxx smaddl. */ - return 694; + return 712; } else { @@ -3097,7 +3295,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx1x011011xxx smsubl. */ - return 696; + return 714; } } } @@ -3109,7 +3307,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx1x11101xxxx umaddl. */ - return 699; + return 717; } else { @@ -3117,7 +3315,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx1x11101xxxx umsubl. */ - return 701; + return 719; } } } @@ -3161,7 +3359,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000000000xx0x100000 add. */ - return 1192; + return 1210; } else { @@ -3169,7 +3367,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000000010xx0x100000 mul. */ - return 1618; + return 1636; } } else @@ -3180,7 +3378,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000000100xx0x100000 smax. */ - return 1691; + return 1709; } else { @@ -3188,7 +3386,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000000110xx0x100000 orr. */ - return 1629; + return 1647; } } } @@ -3200,7 +3398,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0000010x0xx0x100000 sdiv. */ - return 1685; + return 1703; } else { @@ -3208,7 +3406,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0000011x0xx0x100000 sabd. */ - return 1679; + return 1697; } } } @@ -3222,7 +3420,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0000100x0xx0x100000 smulh. */ - return 1696; + return 1714; } else { @@ -3232,7 +3430,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000010100xx0x100000 smin. */ - return 1694; + return 1712; } else { @@ -3240,7 +3438,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000010110xx0x100000 and. */ - return 1200; + return 1218; } } } @@ -3250,7 +3448,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000011xx0xx0x100000 sdivr. */ - return 1686; + return 1704; } } } @@ -3266,7 +3464,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0001000x0xx0x100000 sub. */ - return 1812; + return 1830; } else { @@ -3276,7 +3474,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000100100xx0x100000 umax. */ - return 1834; + return 1852; } else { @@ -3284,7 +3482,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000100110xx0x100000 eor. */ - return 1287; + return 1305; } } } @@ -3296,7 +3494,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0001010x0xx0x100000 udiv. */ - return 1831; + return 1849; } else { @@ -3304,7 +3502,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0001011x0xx0x100000 uabd. */ - return 1825; + return 1843; } } } @@ -3320,7 +3518,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000110000xx0x100000 subr. */ - return 1814; + return 1832; } else { @@ -3328,7 +3526,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000110010xx0x100000 umulh. */ - return 1839; + return 1857; } } else @@ -3339,7 +3537,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000110100xx0x100000 umin. */ - return 1837; + return 1855; } else { @@ -3347,7 +3545,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000110110xx0x100000 bic. */ - return 1212; + return 1230; } } } @@ -3357,7 +3555,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000111xx0xx0x100000 udivr. */ - return 1832; + return 1850; } } } @@ -3370,7 +3568,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx0x00x100001 ld1sb. */ - return 1447; + return 1465; } else { @@ -3378,7 +3576,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx0x10x100001 ld1sh. */ - return 1458; + return 1476; } } } @@ -3390,7 +3588,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx0x00x10001x ld1sb. */ - return 1451; + return 1469; } else { @@ -3398,7 +3596,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx0x10x10001x ld1sh. */ - return 1462; + return 1480; } } } @@ -3418,7 +3616,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000xxxxx1xx0x100000 add. */ - return 1190; + return 1208; } else { @@ -3426,7 +3624,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001000xxxxx1xx0x100000 sqadd. */ - return 1698; + return 1716; } } else @@ -3435,7 +3633,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x000xxxxx1xx0x100000 sqsub. */ - return 1728; + return 1746; } } else @@ -3448,7 +3646,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100000xxxxx1xx0x100000 sub. */ - return 1810; + return 1828; } else { @@ -3456,7 +3654,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101000xxxxx1xx0x100000 uqadd. */ - return 1840; + return 1858; } } else @@ -3465,7 +3663,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x000xxxxx1xx0x100000 uqsub. */ - return 1870; + return 1888; } } } @@ -3477,7 +3675,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1x00x100001 prfb. */ - return 1637; + return 1655; } else { @@ -3485,7 +3683,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1x10x100001 ld1sh. */ - return 1459; + return 1477; } } } @@ -3497,7 +3695,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1x00x10001x prfb. */ - return 1638; + return 1656; } else { @@ -3505,7 +3703,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1x10x10001x ld1sh. */ - return 1463; + return 1481; } } } @@ -3530,7 +3728,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010x0000xx0x100000 asr. */ - return 1208; + return 1226; } else { @@ -3538,7 +3736,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010x0010xx0x100000 asr. */ - return 1206; + return 1224; } } else @@ -3547,7 +3745,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010x01x0xx0x100000 asr. */ - return 1207; + return 1225; } } else @@ -3558,7 +3756,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010x1x00xx0x100000 asrd. */ - return 1209; + return 1227; } else { @@ -3566,7 +3764,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010x1x10xx0x100000 asrr. */ - return 1210; + return 1228; } } } @@ -3584,7 +3782,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001100000xx0x100000 lsr. */ - return 1609; + return 1627; } else { @@ -3592,7 +3790,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001100010xx0x100000 lsr. */ - return 1607; + return 1625; } } else @@ -3601,7 +3799,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011001x0xx0x100000 lsr. */ - return 1608; + return 1626; } } else @@ -3610,7 +3808,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001101xx0xx0x100000 lsrr. */ - return 1610; + return 1628; } } else @@ -3625,7 +3823,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001110000xx0x100000 lsl. */ - return 1603; + return 1621; } else { @@ -3633,7 +3831,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001110010xx0x100000 lsl. */ - return 1601; + return 1619; } } else @@ -3642,7 +3840,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011101x0xx0x100000 lsl. */ - return 1602; + return 1620; } } else @@ -3651,7 +3849,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001111xx0xx0x100000 lslr. */ - return 1604; + return 1622; } } } @@ -3666,7 +3864,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0x0001xxxxx1xx0x100000 asr. */ - return 1204; + return 1222; } else { @@ -3674,7 +3872,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0x1001xxxxx1xx0x100000 asr. */ - return 1205; + return 1223; } } else @@ -3687,7 +3885,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100001xxxxx1xx0x100000 lsr. */ - return 1605; + return 1623; } else { @@ -3695,7 +3893,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101001xxxxx1xx0x100000 lsr. */ - return 1606; + return 1624; } } else @@ -3706,7 +3904,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx110001xxxxx1xx0x100000 lsl. */ - return 1599; + return 1617; } else { @@ -3714,7 +3912,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx111001xxxxx1xx0x100000 lsl. */ - return 1600; + return 1618; } } } @@ -3730,7 +3928,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxx000x100001 ld1sb. */ - return 1453; + return 1471; } else { @@ -3738,7 +3936,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxx010x100001 ld1sh. */ - return 1466; + return 1484; } } else @@ -3749,7 +3947,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxx100x100001 ld1rb. */ - return 1431; + return 1449; } else { @@ -3757,7 +3955,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxx110x100001 ld1rsw. */ - return 1444; + return 1462; } } } @@ -3772,7 +3970,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx0x00x10001x ld1sb. */ - return 1452; + return 1470; } else { @@ -3780,7 +3978,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx0x10x10001x ld1sh. */ - return 1464; + return 1482; } } else @@ -3793,7 +3991,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx1000x10001x ld1sb. */ - return 1457; + return 1475; } else { @@ -3801,7 +3999,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx1010x10001x ld1sh. */ - return 1469; + return 1487; } } else @@ -3812,7 +4010,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx1100x10001x prfb. */ - return 1639; + return 1657; } else { @@ -3820,7 +4018,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx1110x10001x ld1sh. */ - return 1465; + return 1483; } } } @@ -3841,7 +4039,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0xx0x100000 mla. */ - return 1612; + return 1630; } else { @@ -3851,7 +4049,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x00x100001 ld1b. */ - return 1397; + return 1415; } else { @@ -3859,7 +4057,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x10x100001 ld1h. */ - return 1417; + return 1435; } } } @@ -3871,7 +4069,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x00x10001x ld1b. */ - return 1402; + return 1420; } else { @@ -3879,7 +4077,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x10x10001x ld1h. */ - return 1422; + return 1440; } } } @@ -3899,7 +4097,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010xxxxx1xx0x100000 index. */ - return 1388; + return 1406; } else { @@ -3907,7 +4105,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100010xxxxx1xx0x100000 index. */ - return 1389; + return 1407; } } else @@ -3920,7 +4118,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx01010xxxxx1000x100000 addvl. */ - return 1194; + return 1212; } else { @@ -3928,7 +4126,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx01010xxxxx1010x100000 rdvl. */ - return 1673; + return 1691; } } else @@ -3937,7 +4135,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx01010xxxxx11x0x100000 addpl. */ - return 1193; + return 1211; } } } @@ -3949,7 +4147,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x010xxxxx1xx0x100000 index. */ - return 1390; + return 1408; } else { @@ -3957,7 +4155,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x010xxxxx1xx0x100000 index. */ - return 1387; + return 1405; } } } @@ -3969,7 +4167,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x00x100001 prfw. */ - return 1657; + return 1675; } else { @@ -3977,7 +4175,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x10x100001 ld1h. */ - return 1418; + return 1436; } } } @@ -3989,7 +4187,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x00x10001x prfw. */ - return 1659; + return 1677; } else { @@ -3997,7 +4195,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x10x10001x ld1h. */ - return 1423; + return 1441; } } } @@ -4014,7 +4212,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0xx0x100000 mad. */ - return 1611; + return 1629; } else { @@ -4030,7 +4228,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx010x0x100000 sqincw. */ - return 1725; + return 1743; } else { @@ -4040,7 +4238,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx01100x100000 sqinch. */ - return 1719; + return 1737; } else { @@ -4048,7 +4246,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx01110x100000 sqincd. */ - return 1716; + return 1734; } } } @@ -4060,7 +4258,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx110x0x100000 incw. */ - return 1385; + return 1403; } else { @@ -4070,7 +4268,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx11100x100000 inch. */ - return 1381; + return 1399; } else { @@ -4078,7 +4276,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x011xxxx11110x100000 incd. */ - return 1379; + return 1397; } } } @@ -4091,7 +4289,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x011xxxxx10x0x100000 sqdecw. */ - return 1711; + return 1729; } else { @@ -4101,7 +4299,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x011xxxxx1100x100000 sqdech. */ - return 1705; + return 1723; } else { @@ -4109,7 +4307,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x011xxxxx1110x100000 sqdecd. */ - return 1702; + return 1720; } } } @@ -4126,7 +4324,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx010x0x100000 uqincw. */ - return 1867; + return 1885; } else { @@ -4136,7 +4334,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx01100x100000 uqinch. */ - return 1861; + return 1879; } else { @@ -4144,7 +4342,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx01110x100000 uqincd. */ - return 1858; + return 1876; } } } @@ -4156,7 +4354,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx110x0x100000 decw. */ - return 1279; + return 1297; } else { @@ -4166,7 +4364,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx11100x100000 dech. */ - return 1275; + return 1293; } else { @@ -4174,7 +4372,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x011xxxx11110x100000 decd. */ - return 1273; + return 1291; } } } @@ -4187,7 +4385,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x011xxxxx10x0x100000 uqdecw. */ - return 1853; + return 1871; } else { @@ -4197,7 +4395,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x011xxxxx1100x100000 uqdech. */ - return 1847; + return 1865; } else { @@ -4205,7 +4403,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x011xxxxx1110x100000 uqdecd. */ - return 1844; + return 1862; } } } @@ -4224,7 +4422,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0000x100001 prfb. */ - return 1636; + return 1654; } else { @@ -4232,7 +4430,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0010x100001 prfh. */ - return 1651; + return 1669; } } else @@ -4243,7 +4441,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1000x100001 ld1b. */ - return 1404; + return 1422; } else { @@ -4251,7 +4449,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1010x100001 ld1h. */ - return 1426; + return 1444; } } } @@ -4263,7 +4461,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxxx100x100001 ld1rb. */ - return 1433; + return 1451; } else { @@ -4271,7 +4469,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxxx110x100001 ld1rh. */ - return 1437; + return 1455; } } } @@ -4286,7 +4484,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0x00x10001x ld1b. */ - return 1403; + return 1421; } else { @@ -4294,7 +4492,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0x10x10001x ld1h. */ - return 1424; + return 1442; } } else @@ -4307,7 +4505,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1000x10001x ld1b. */ - return 1409; + return 1427; } else { @@ -4315,7 +4513,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1010x10001x ld1h. */ - return 1430; + return 1448; } } else @@ -4326,7 +4524,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1100x10001x prfw. */ - return 1660; + return 1678; } else { @@ -4334,7 +4532,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1110x10001x ld1h. */ - return 1425; + return 1443; } } } @@ -4356,7 +4554,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx000xxxxx0xx0x1001xx cmphs. */ - return 1245; + return 1263; } else { @@ -4364,7 +4562,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx000xxxxx0xx0x1001xx cmphi. */ - return 1242; + return 1260; } } else @@ -4379,7 +4577,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx010xxxxx0xx0x100100 cmpge. */ - return 1236; + return 1254; } else { @@ -4387,7 +4585,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx010xxxxx0xx0x100100 cmpgt. */ - return 1239; + return 1257; } } else @@ -4400,7 +4598,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0000x100101 ld1b. */ - return 1398; + return 1416; } else { @@ -4408,7 +4606,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0010x100101 ld1sw. */ - return 1470; + return 1488; } } else @@ -4419,7 +4617,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0100x100101 ld1b. */ - return 1400; + return 1418; } else { @@ -4427,7 +4625,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0110x100101 ld1h. */ - return 1420; + return 1438; } } } @@ -4440,7 +4638,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx00x0x10011x st1b. */ - return 1730; + return 1748; } else { @@ -4450,7 +4648,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0100x10011x st1b. */ - return 1734; + return 1752; } else { @@ -4458,7 +4656,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0110x10011x st1h. */ - return 1755; + return 1773; } } } @@ -4476,7 +4674,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx001xxxxx0xx0x10010x cmpge. */ - return 1237; + return 1255; } else { @@ -4484,7 +4682,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx001xxxxx0xx0x10010x cmpgt. */ - return 1240; + return 1258; } } else @@ -4497,7 +4695,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx011xxxxx0xx0x100100 cmphs. */ - return 1246; + return 1264; } else { @@ -4505,7 +4703,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx011xxxxx0xx0x100100 cmphi. */ - return 1243; + return 1261; } } else @@ -4518,7 +4716,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0000x100101 ldnt1b. */ - return 1589; + return 1607; } else { @@ -4526,7 +4724,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0010x100101 ldnt1h. */ - return 1593; + return 1611; } } else @@ -4537,7 +4735,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0100x100101 ld3b. */ - return 1497; + return 1515; } else { @@ -4545,7 +4743,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0110x100101 ld3h. */ - return 1501; + return 1519; } } } @@ -4561,7 +4759,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0000x10011x st1b. */ - return 1731; + return 1749; } else { @@ -4569,7 +4767,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0010x10011x st1h. */ - return 1750; + return 1768; } } else @@ -4580,7 +4778,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0100x10011x st1b. */ - return 1735; + return 1753; } else { @@ -4588,7 +4786,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0110x10011x st1h. */ - return 1756; + return 1774; } } } @@ -4606,7 +4804,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx0xxxxxxx1xx0x100100 cmphs. */ - return 1247; + return 1265; } else { @@ -4614,7 +4812,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx0xxxxxxx1xx0x100100 cmphi. */ - return 1244; + return 1262; } } else @@ -4629,7 +4827,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1000x100101 ld1b. */ - return 1399; + return 1417; } else { @@ -4637,7 +4835,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1010x100101 ld1h. */ - return 1419; + return 1437; } } else @@ -4648,7 +4846,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1100x100101 ld1b. */ - return 1401; + return 1419; } else { @@ -4656,7 +4854,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1110x100101 ld1h. */ - return 1421; + return 1439; } } } @@ -4670,7 +4868,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1000x100101 ld2b. */ - return 1489; + return 1507; } else { @@ -4678,7 +4876,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1010x100101 ld2h. */ - return 1493; + return 1511; } } else @@ -4689,7 +4887,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1100x100101 ld4b. */ - return 1505; + return 1523; } else { @@ -4697,7 +4895,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1110x100101 ld4h. */ - return 1509; + return 1527; } } } @@ -4715,7 +4913,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1000x10011x st1b. */ - return 1733; + return 1751; } else { @@ -4723,7 +4921,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1010x10011x st1h. */ - return 1752; + return 1770; } } else @@ -4734,7 +4932,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1100x10011x st1b. */ - return 1736; + return 1754; } else { @@ -4742,7 +4940,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x0xxxxx1110x10011x st1h. */ - return 1757; + return 1775; } } } @@ -4754,7 +4952,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx10x0x10011x st1h. */ - return 1753; + return 1771; } else { @@ -4762,7 +4960,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx11x0x10011x st1h. */ - return 1758; + return 1776; } } } @@ -4795,7 +4993,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10000x000xx0x100000 saddv. */ - return 1680; + return 1698; } else { @@ -4803,7 +5001,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10010x000xx0x100000 uaddv. */ - return 1826; + return 1844; } } else @@ -4812,7 +5010,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100x0x010xx0x100000 movprfx. */ - return 1615; + return 1633; } } else @@ -4825,7 +5023,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10000x100xx0x100000 smaxv. */ - return 1692; + return 1710; } else { @@ -4833,7 +5031,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10000x110xx0x100000 orv. */ - return 1632; + return 1650; } } else @@ -4844,7 +5042,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10010x100xx0x100000 umaxv. */ - return 1835; + return 1853; } else { @@ -4852,7 +5050,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10010x110xx0x100000 eorv. */ - return 1290; + return 1308; } } } @@ -4867,7 +5065,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10001xx00xx0x100000 sminv. */ - return 1695; + return 1713; } else { @@ -4875,7 +5073,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10001xx10xx0x100000 andv. */ - return 1203; + return 1221; } } else @@ -4884,7 +5082,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10011xxx0xx0x100000 uminv. */ - return 1838; + return 1856; } } } @@ -4896,7 +5094,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx0x00x100001 ldff1sb. */ - return 1539; + return 1557; } else { @@ -4904,7 +5102,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx0x10x100001 ldff1sh. */ - return 1547; + return 1565; } } } @@ -4916,7 +5114,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx0x00x10001x ldff1sb. */ - return 1543; + return 1561; } else { @@ -4924,7 +5122,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx0x10x10001x ldff1sh. */ - return 1551; + return 1569; } } } @@ -4942,7 +5140,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1000x100000 and. */ - return 1198; + return 1216; } else { @@ -4950,7 +5148,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1100x100000 orr. */ - return 1627; + return 1645; } } else @@ -4959,7 +5157,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1x00x100001 prfh. */ - return 1650; + return 1668; } } else @@ -4968,7 +5166,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1x00x10001x prfh. */ - return 1652; + return 1670; } } else @@ -4983,7 +5181,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1010x100000 eor. */ - return 1285; + return 1303; } else { @@ -4991,7 +5189,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1110x100000 bic. */ - return 1211; + return 1229; } } else @@ -5000,7 +5198,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1x10x100001 ldff1sh. */ - return 1548; + return 1566; } } else @@ -5009,7 +5207,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1x10x10001x ldff1sh. */ - return 1552; + return 1570; } } } @@ -5034,7 +5232,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010000x0xx0x100000 sxtb. */ - return 1817; + return 1835; } else { @@ -5042,7 +5240,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010001x0xx0x100000 cls. */ - return 1231; + return 1249; } } else @@ -5053,7 +5251,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010010x0xx0x100000 sxtw. */ - return 1819; + return 1837; } else { @@ -5061,7 +5259,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010011x0xx0x100000 fabs. */ - return 1293; + return 1311; } } } @@ -5075,7 +5273,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010100x0xx0x100000 sxth. */ - return 1818; + return 1836; } else { @@ -5083,7 +5281,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010101x0xx0x100000 cnt. */ - return 1260; + return 1278; } } else @@ -5094,7 +5292,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010110x0xx0x100000 abs. */ - return 1189; + return 1207; } else { @@ -5102,7 +5300,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010111x0xx0x100000 not. */ - return 1624; + return 1642; } } } @@ -5119,7 +5317,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011000x0xx0x100000 uxtb. */ - return 1874; + return 1892; } else { @@ -5127,7 +5325,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011001x0xx0x100000 clz. */ - return 1232; + return 1250; } } else @@ -5138,7 +5336,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011010x0xx0x100000 uxtw. */ - return 1876; + return 1894; } else { @@ -5146,7 +5344,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011011x0xx0x100000 fneg. */ - return 1351; + return 1369; } } } @@ -5160,7 +5358,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011100x0xx0x100000 uxth. */ - return 1875; + return 1893; } else { @@ -5168,7 +5366,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011101x0xx0x100000 cnot. */ - return 1259; + return 1277; } } else @@ -5177,7 +5375,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101111xx0xx0x100000 neg. */ - return 1621; + return 1639; } } } @@ -5194,7 +5392,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxx0101xxxxx1000x100000 adr. */ - return 1195; + return 1213; } else { @@ -5202,7 +5400,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxx0101xxxxx1100x100000 adr. */ - return 1196; + return 1214; } } else @@ -5211,7 +5409,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxx0101xxxxx1x10x100000 adr. */ - return 1197; + return 1215; } } else @@ -5224,7 +5422,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001101xxxxx1xx0x100000 ftssel. */ - return 1377; + return 1395; } else { @@ -5232,7 +5430,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011101xxxxx1xx0x100000 fexpa. */ - return 1330; + return 1348; } } else @@ -5241,7 +5439,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1x1101xxxxx1xx0x100000 movprfx. */ - return 1614; + return 1632; } } } @@ -5256,7 +5454,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxx000x100001 ldff1sb. */ - return 1545; + return 1563; } else { @@ -5264,7 +5462,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxx010x100001 ldff1sh. */ - return 1555; + return 1573; } } else @@ -5275,7 +5473,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxx100x100001 ld1rb. */ - return 1432; + return 1450; } else { @@ -5283,7 +5481,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxx110x100001 ld1rh. */ - return 1436; + return 1454; } } } @@ -5298,7 +5496,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0x00x10001x ldff1sb. */ - return 1544; + return 1562; } else { @@ -5306,7 +5504,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0x10x10001x ldff1sh. */ - return 1553; + return 1571; } } else @@ -5319,7 +5517,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1000x10001x ldff1sb. */ - return 1546; + return 1564; } else { @@ -5327,7 +5525,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1010x10001x ldff1sh. */ - return 1556; + return 1574; } } else @@ -5338,7 +5536,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1100x10001x prfh. */ - return 1653; + return 1671; } else { @@ -5346,7 +5544,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1110x10001x ldff1sh. */ - return 1554; + return 1572; } } } @@ -5367,7 +5565,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0xx0x100000 mls. */ - return 1613; + return 1631; } else { @@ -5377,7 +5575,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x00x100001 ldff1b. */ - return 1513; + return 1531; } else { @@ -5385,7 +5583,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x10x100001 ldff1h. */ - return 1528; + return 1546; } } } @@ -5397,7 +5595,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x00x10001x ldff1b. */ - return 1518; + return 1536; } else { @@ -5405,7 +5603,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x10x10001x ldff1h. */ - return 1533; + return 1551; } } } @@ -5419,7 +5617,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x00x10000x prfd. */ - return 1643; + return 1661; } else { @@ -5427,7 +5625,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x00x10001x prfd. */ - return 1645; + return 1663; } } else @@ -5438,7 +5636,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x10x10000x ldff1h. */ - return 1529; + return 1547; } else { @@ -5446,7 +5644,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x10x10001x ldff1h. */ - return 1534; + return 1552; } } } @@ -5463,7 +5661,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0xx0x100000 msb. */ - return 1616; + return 1634; } else { @@ -5483,7 +5681,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx01000x100000 cntb. */ - return 1261; + return 1279; } else { @@ -5491,7 +5689,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx01010x100000 cntw. */ - return 1265; + return 1283; } } else @@ -5502,7 +5700,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx01100x100000 cnth. */ - return 1263; + return 1281; } else { @@ -5510,7 +5708,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx01110x100000 cntd. */ - return 1262; + return 1280; } } } @@ -5524,7 +5722,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx11000x100000 incb. */ - return 1378; + return 1396; } else { @@ -5532,7 +5730,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx11010x100000 incw. */ - return 1386; + return 1404; } } else @@ -5543,7 +5741,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx11100x100000 inch. */ - return 1382; + return 1400; } else { @@ -5551,7 +5749,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000111xxxx11110x100000 incd. */ - return 1380; + return 1398; } } } @@ -5568,7 +5766,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx01000x100000 sqincb. */ - return 1715; + return 1733; } else { @@ -5576,7 +5774,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx01010x100000 sqincw. */ - return 1727; + return 1745; } } else @@ -5587,7 +5785,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx01100x100000 sqinch. */ - return 1721; + return 1739; } else { @@ -5595,7 +5793,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx01110x100000 sqincd. */ - return 1718; + return 1736; } } } @@ -5609,7 +5807,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx11000x100000 sqincb. */ - return 1714; + return 1732; } else { @@ -5617,7 +5815,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx11010x100000 sqincw. */ - return 1726; + return 1744; } } else @@ -5628,7 +5826,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx11100x100000 sqinch. */ - return 1720; + return 1738; } else { @@ -5636,7 +5834,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001111xxxx11110x100000 sqincd. */ - return 1717; + return 1735; } } } @@ -5654,7 +5852,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx01000x100000 sqdecb. */ - return 1701; + return 1719; } else { @@ -5662,7 +5860,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx01010x100000 sqdecw. */ - return 1713; + return 1731; } } else @@ -5673,7 +5871,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx01100x100000 sqdech. */ - return 1707; + return 1725; } else { @@ -5681,7 +5879,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx01110x100000 sqdecd. */ - return 1704; + return 1722; } } } @@ -5695,7 +5893,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx11000x100000 sqdecb. */ - return 1700; + return 1718; } else { @@ -5703,7 +5901,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx11010x100000 sqdecw. */ - return 1712; + return 1730; } } else @@ -5714,7 +5912,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx11100x100000 sqdech. */ - return 1706; + return 1724; } else { @@ -5722,7 +5920,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x111xxxx11110x100000 sqdecd. */ - return 1703; + return 1721; } } } @@ -5742,7 +5940,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100111xxxxx1000x100000 decb. */ - return 1272; + return 1290; } else { @@ -5750,7 +5948,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100111xxxxx1010x100000 decw. */ - return 1280; + return 1298; } } else @@ -5761,7 +5959,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100111xxxxx1100x100000 dech. */ - return 1276; + return 1294; } else { @@ -5769,7 +5967,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100111xxxxx1110x100000 decd. */ - return 1274; + return 1292; } } } @@ -5785,7 +5983,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx01000x100000 uqincb. */ - return 1856; + return 1874; } else { @@ -5793,7 +5991,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx01010x100000 uqincw. */ - return 1868; + return 1886; } } else @@ -5804,7 +6002,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx01100x100000 uqinch. */ - return 1862; + return 1880; } else { @@ -5812,7 +6010,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx01110x100000 uqincd. */ - return 1859; + return 1877; } } } @@ -5826,7 +6024,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx11000x100000 uqincb. */ - return 1857; + return 1875; } else { @@ -5834,7 +6032,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx11010x100000 uqincw. */ - return 1869; + return 1887; } } else @@ -5845,7 +6043,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx11100x100000 uqinch. */ - return 1863; + return 1881; } else { @@ -5853,7 +6051,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101111xxxx11110x100000 uqincd. */ - return 1860; + return 1878; } } } @@ -5871,7 +6069,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx01000x100000 uqdecb. */ - return 1842; + return 1860; } else { @@ -5879,7 +6077,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx01010x100000 uqdecw. */ - return 1854; + return 1872; } } else @@ -5890,7 +6088,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx01100x100000 uqdech. */ - return 1848; + return 1866; } else { @@ -5898,7 +6096,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx01110x100000 uqdecd. */ - return 1845; + return 1863; } } } @@ -5912,7 +6110,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx11000x100000 uqdecb. */ - return 1843; + return 1861; } else { @@ -5920,7 +6118,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx11010x100000 uqdecw. */ - return 1855; + return 1873; } } else @@ -5931,7 +6129,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx11100x100000 uqdech. */ - return 1849; + return 1867; } else { @@ -5939,7 +6137,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x111xxxx11110x100000 uqdecd. */ - return 1846; + return 1864; } } } @@ -5959,7 +6157,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0000x100001 prfb. */ - return 1640; + return 1658; } else { @@ -5967,7 +6165,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0010x100001 prfh. */ - return 1654; + return 1672; } } else @@ -5978,7 +6176,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1000x100001 ldff1b. */ - return 1520; + return 1538; } else { @@ -5986,7 +6184,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1010x100001 ldff1h. */ - return 1537; + return 1555; } } } @@ -5998,7 +6196,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxxx100x100001 ld1rb. */ - return 1434; + return 1452; } else { @@ -6006,7 +6204,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxxx110x100001 ld1rh. */ - return 1438; + return 1456; } } } @@ -6023,7 +6221,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0000x10001x prfb. */ - return 1642; + return 1660; } else { @@ -6031,7 +6229,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0010x10001x prfh. */ - return 1656; + return 1674; } } else @@ -6042,7 +6240,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0100x10001x ldff1b. */ - return 1519; + return 1537; } else { @@ -6050,7 +6248,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0110x10001x ldff1h. */ - return 1535; + return 1553; } } } @@ -6064,7 +6262,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1000x10001x ldff1b. */ - return 1521; + return 1539; } else { @@ -6072,7 +6270,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1010x10001x ldff1h. */ - return 1538; + return 1556; } } else @@ -6083,7 +6281,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1100x10001x prfd. */ - return 1646; + return 1664; } else { @@ -6091,7 +6289,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1110x10001x ldff1h. */ - return 1536; + return 1554; } } } @@ -6113,7 +6311,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx100xxxxx0xx0x1001xx cmpeq. */ - return 1233; + return 1251; } else { @@ -6121,7 +6319,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx100xxxxx0xx0x1001xx cmpne. */ - return 1256; + return 1274; } } else @@ -6136,7 +6334,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx101xxxxx0xx0x100100 cmpeq. */ - return 1234; + return 1252; } else { @@ -6144,7 +6342,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx101xxxxx0xx0x100100 cmpne. */ - return 1257; + return 1275; } } else @@ -6159,7 +6357,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00000x100101 ld1b. */ - return 1405; + return 1423; } else { @@ -6167,7 +6365,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00010x100101 ld1sw. */ - return 1475; + return 1493; } } else @@ -6178,7 +6376,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00100x100101 ld1b. */ - return 1407; + return 1425; } else { @@ -6186,7 +6384,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00110x100101 ld1h. */ - return 1428; + return 1446; } } } @@ -6200,7 +6398,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10000x100101 ldnf1b. */ - return 1573; + return 1591; } else { @@ -6208,7 +6406,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10010x100101 ldnf1sw. */ - return 1586; + return 1604; } } else @@ -6219,7 +6417,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10100x100101 ldnf1b. */ - return 1575; + return 1593; } else { @@ -6227,7 +6425,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10110x100101 ldnf1h. */ - return 1579; + return 1597; } } } @@ -6243,7 +6441,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0000x10011x st1b. */ - return 1732; + return 1750; } else { @@ -6251,7 +6449,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0010x10011x st1h. */ - return 1751; + return 1769; } } else @@ -6262,7 +6460,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0100x10011x st1b. */ - return 1739; + return 1757; } else { @@ -6270,7 +6468,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0110x10011x st1h. */ - return 1760; + return 1778; } } } @@ -6290,7 +6488,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx110xxxxx0xx0x100100 cmplt. */ - return 1254; + return 1272; } else { @@ -6298,7 +6496,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx110xxxxx0xx0x100100 cmple. */ - return 1248; + return 1266; } } else @@ -6311,7 +6509,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0000x100101 ldff1b. */ - return 1514; + return 1532; } else { @@ -6319,7 +6517,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0010x100101 ldff1sw. */ - return 1557; + return 1575; } } else @@ -6330,7 +6528,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0100x100101 ldff1b. */ - return 1516; + return 1534; } else { @@ -6338,7 +6536,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0110x100101 ldff1h. */ - return 1531; + return 1549; } } } @@ -6353,7 +6551,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0000x10011x stnt1b. */ - return 1800; + return 1818; } else { @@ -6361,7 +6559,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0010x10011x stnt1h. */ - return 1804; + return 1822; } } else @@ -6372,7 +6570,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0100x10011x st3b. */ - return 1784; + return 1802; } else { @@ -6380,7 +6578,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0110x10011x st3h. */ - return 1788; + return 1806; } } } @@ -6397,7 +6595,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx111xxxxx0xx0x100100 cmplo. */ - return 1250; + return 1268; } else { @@ -6405,7 +6603,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx111xxxxx0xx0x100100 cmpls. */ - return 1252; + return 1270; } } else @@ -6418,7 +6616,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0000x100101 ldnt1b. */ - return 1590; + return 1608; } else { @@ -6426,7 +6624,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0010x100101 ldnt1h. */ - return 1594; + return 1612; } } else @@ -6437,7 +6635,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0100x100101 ld3b. */ - return 1498; + return 1516; } else { @@ -6445,7 +6643,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0110x100101 ld3h. */ - return 1502; + return 1520; } } } @@ -6460,7 +6658,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx000x0x10011x st1b. */ - return 1737; + return 1755; } else { @@ -6470,7 +6668,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx00100x10011x st1b. */ - return 1740; + return 1758; } else { @@ -6478,7 +6676,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx00110x10011x st1h. */ - return 1761; + return 1779; } } } @@ -6492,7 +6690,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10000x10011x stnt1b. */ - return 1801; + return 1819; } else { @@ -6500,7 +6698,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10010x10011x stnt1h. */ - return 1805; + return 1823; } } else @@ -6511,7 +6709,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10100x10011x st3b. */ - return 1785; + return 1803; } else { @@ -6519,7 +6717,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10110x10011x st3h. */ - return 1789; + return 1807; } } } @@ -6539,7 +6737,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx1xxxxxxx1xx0x100100 cmplo. */ - return 1251; + return 1269; } else { @@ -6547,7 +6745,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx1xxxxxxx1xx0x100100 cmpls. */ - return 1253; + return 1271; } } else @@ -6564,7 +6762,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx01000x100101 ld1b. */ - return 1406; + return 1424; } else { @@ -6572,7 +6770,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx01010x100101 ld1h. */ - return 1427; + return 1445; } } else @@ -6583,7 +6781,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx01100x100101 ld1b. */ - return 1408; + return 1426; } else { @@ -6591,7 +6789,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx01110x100101 ld1h. */ - return 1429; + return 1447; } } } @@ -6605,7 +6803,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx11000x100101 ldnf1b. */ - return 1574; + return 1592; } else { @@ -6613,7 +6811,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx11010x100101 ldnf1h. */ - return 1578; + return 1596; } } else @@ -6624,7 +6822,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx11100x100101 ldnf1b. */ - return 1576; + return 1594; } else { @@ -6632,7 +6830,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxx11110x100101 ldnf1h. */ - return 1580; + return 1598; } } } @@ -6649,7 +6847,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1000x100101 ldff1b. */ - return 1515; + return 1533; } else { @@ -6657,7 +6855,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1010x100101 ldff1h. */ - return 1530; + return 1548; } } else @@ -6668,7 +6866,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1100x100101 ldff1b. */ - return 1517; + return 1535; } else { @@ -6676,7 +6874,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1110x100101 ldff1h. */ - return 1532; + return 1550; } } } @@ -6690,7 +6888,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1000x100101 ld2b. */ - return 1490; + return 1508; } else { @@ -6698,7 +6896,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1010x100101 ld2h. */ - return 1494; + return 1512; } } else @@ -6709,7 +6907,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1100x100101 ld4b. */ - return 1506; + return 1524; } else { @@ -6717,7 +6915,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1110x100101 ld4h. */ - return 1510; + return 1528; } } } @@ -6734,7 +6932,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxxx10x0x10011x st1h. */ - return 1754; + return 1772; } else { @@ -6744,7 +6942,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxxx1100x10011x st1b. */ - return 1741; + return 1759; } else { @@ -6752,7 +6950,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx10xxxxxx1110x10011x st1h. */ - return 1762; + return 1780; } } } @@ -6768,7 +6966,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1000x10011x st2b. */ - return 1776; + return 1794; } else { @@ -6776,7 +6974,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1010x10011x st2h. */ - return 1780; + return 1798; } } else @@ -6787,7 +6985,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1100x10011x st4b. */ - return 1792; + return 1810; } else { @@ -6795,7 +6993,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1110x10011x st4h. */ - return 1796; + return 1814; } } } @@ -6811,7 +7009,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01000x10011x st1b. */ - return 1738; + return 1756; } else { @@ -6819,7 +7017,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01010x10011x st1h. */ - return 1759; + return 1777; } } else @@ -6830,7 +7028,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01100x10011x st1b. */ - return 1742; + return 1760; } else { @@ -6838,7 +7036,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01110x10011x st1h. */ - return 1763; + return 1781; } } } @@ -6852,7 +7050,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11000x10011x st2b. */ - return 1777; + return 1795; } else { @@ -6860,7 +7058,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11010x10011x st2h. */ - return 1781; + return 1799; } } else @@ -6871,7 +7069,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11100x10011x st4b. */ - return 1793; + return 1811; } else { @@ -6879,7 +7077,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11110x10011x st4h. */ - return 1797; + return 1815; } } } @@ -6910,7 +7108,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxx00001x100000 orr. */ - return 1628; + return 1646; } else { @@ -6918,7 +7116,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxx00011x100000 and. */ - return 1199; + return 1217; } } else @@ -6929,7 +7127,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxx00101x100000 eor. */ - return 1286; + return 1304; } else { @@ -6937,7 +7135,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxx00111x100000 dupm. */ - return 1284; + return 1302; } } } @@ -6949,7 +7147,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxx10xx1x100000 cpy. */ - return 1269; + return 1287; } else { @@ -6957,7 +7155,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxx10xx1x100000 fcpy. */ - return 1312; + return 1330; } } } @@ -6973,7 +7171,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1xx1x100000 ext. */ - return 1291; + return 1309; } else { @@ -6989,7 +7187,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010000x1xx1x100000 cpy. */ - return 1267; + return 1285; } else { @@ -6997,7 +7195,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010001x1xx1x100000 clasta. */ - return 1225; + return 1243; } } else @@ -7008,7 +7206,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010010x1xx1x100000 revb. */ - return 1676; + return 1694; } else { @@ -7016,7 +7214,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010011x1xx1x100000 splice. */ - return 1697; + return 1715; } } } @@ -7030,7 +7228,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010100x1xx1x100000 lasta. */ - return 1394; + return 1412; } else { @@ -7038,7 +7236,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010101x1xx1x100000 clasta. */ - return 1226; + return 1244; } } else @@ -7047,7 +7245,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001011xx1xx1x100000 revw. */ - return 1678; + return 1696; } } } @@ -7063,7 +7261,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011000x1xx1x100000 compact. */ - return 1266; + return 1284; } else { @@ -7071,7 +7269,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011001x1xx1x100000 clastb. */ - return 1228; + return 1246; } } else @@ -7080,7 +7278,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001101xx1xx1x100000 revh. */ - return 1677; + return 1695; } } else @@ -7093,7 +7291,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011100x1xx1x100000 lastb. */ - return 1396; + return 1414; } else { @@ -7101,7 +7299,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011101x1xx1x100000 clastb. */ - return 1229; + return 1247; } } else @@ -7110,7 +7308,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001111xx1xx1x100000 rbit. */ - return 1669; + return 1687; } } } @@ -7128,7 +7326,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx00100xxxxx1xx1x100000 dup. */ - return 1282; + return 1300; } else { @@ -7136,7 +7334,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx01100xxxxx1xx1x100000 tbl. */ - return 1820; + return 1838; } } else @@ -7155,7 +7353,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x100000001xx1x100000 dup. */ - return 1281; + return 1299; } else { @@ -7163,7 +7361,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x100000011xx1x100000 sunpklo. */ - return 1816; + return 1834; } } else @@ -7172,7 +7370,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x1000001x1xx1x100000 rev. */ - return 1675; + return 1693; } } else @@ -7183,7 +7381,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x100001x01xx1x100000 insr. */ - return 1391; + return 1409; } else { @@ -7191,7 +7389,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x100001x11xx1x100000 insr. */ - return 1392; + return 1410; } } } @@ -7201,7 +7399,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x10001xxx1xx1x100000 uunpklo. */ - return 1873; + return 1891; } } else @@ -7212,7 +7410,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x10010xxx1xx1x100000 sunpkhi. */ - return 1815; + return 1833; } else { @@ -7220,7 +7418,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1x10011xxx1xx1x100000 uunpkhi. */ - return 1872; + return 1890; } } } @@ -7237,7 +7435,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010xx001xx1x100000 lasta. */ - return 1393; + return 1411; } else { @@ -7245,7 +7443,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010xx011xx1x100000 clasta. */ - return 1227; + return 1245; } } else @@ -7254,7 +7452,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010xx1x1xx1x100000 cpy. */ - return 1268; + return 1286; } } else @@ -7265,7 +7463,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011xxx01xx1x100000 lastb. */ - return 1395; + return 1413; } else { @@ -7273,7 +7471,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011xxx11xx1x100000 clastb. */ - return 1230; + return 1248; } } } @@ -7297,7 +7495,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010xxxx01xx1x100000 zip1. */ - return 1890; + return 1908; } else { @@ -7309,7 +7507,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000100x0x11xx1x100000 punpklo. */ - return 1668; + return 1686; } else { @@ -7317,7 +7515,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000100x1x11xx1x100000 rev. */ - return 1674; + return 1692; } } else @@ -7326,7 +7524,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000101xxx11xx1x100000 punpkhi. */ - return 1667; + return 1685; } } } @@ -7336,7 +7534,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000110xxxxx1xx1x100000 zip1. */ - return 1891; + return 1909; } } else @@ -7347,7 +7545,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001010xxxxx1xx1x100000 trn1. */ - return 1821; + return 1839; } else { @@ -7355,7 +7553,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001110xxxxx1xx1x100000 trn1. */ - return 1822; + return 1840; } } } @@ -7367,7 +7565,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x010xxxxx1xx1x100000 uzp1. */ - return 1877; + return 1895; } else { @@ -7375,7 +7573,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01x110xxxxx1xx1x100000 uzp1. */ - return 1878; + return 1896; } } } @@ -7391,7 +7589,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100010xxxxx1xx1x100000 zip2. */ - return 1892; + return 1910; } else { @@ -7399,7 +7597,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx100110xxxxx1xx1x100000 zip2. */ - return 1893; + return 1911; } } else @@ -7410,7 +7608,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101010xxxxx1xx1x100000 trn2. */ - return 1823; + return 1841; } else { @@ -7418,7 +7616,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx101110xxxxx1xx1x100000 trn2. */ - return 1824; + return 1842; } } } @@ -7430,7 +7628,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x010xxxxx1xx1x100000 uzp2. */ - return 1879; + return 1897; } else { @@ -7438,7 +7636,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11x110xxxxx1xx1x100000 uzp2. */ - return 1880; + return 1898; } } } @@ -7449,7 +7647,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11xxxxx1xx1x100000 sel. */ - return 1687; + return 1705; } } } @@ -7468,7 +7666,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxxx0x1x100001 ldr. */ - return 1597; + return 1615; } else { @@ -7476,7 +7674,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxxx1x1x100001 prfb. */ - return 1641; + return 1659; } } else @@ -7487,7 +7685,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxxx01x100001 ld1rsh. */ - return 1442; + return 1460; } else { @@ -7495,7 +7693,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxxxx11x100001 ld1rsb. */ - return 1439; + return 1457; } } } @@ -7511,7 +7709,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x01x100001 ld1w. */ - return 1477; + return 1495; } else { @@ -7519,7 +7717,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x01x100001 ld1w. */ - return 1478; + return 1496; } } else @@ -7530,7 +7728,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxxx011x100001 ldr. */ - return 1598; + return 1616; } else { @@ -7538,7 +7736,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxxx111x100001 prfw. */ - return 1662; + return 1680; } } } @@ -7554,7 +7752,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0001x100001 prfw. */ - return 1658; + return 1676; } else { @@ -7562,7 +7760,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0011x100001 prfd. */ - return 1644; + return 1662; } } else @@ -7571,7 +7769,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx10x1x100001 ld1w. */ - return 1485; + return 1503; } } else @@ -7582,7 +7780,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxxx101x100001 ld1rw. */ - return 1445; + return 1463; } else { @@ -7590,7 +7788,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxxx111x100001 ld1rsb. */ - return 1441; + return 1459; } } } @@ -7606,7 +7804,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxxxxx1x100001 prfh. */ - return 1655; + return 1673; } else { @@ -7616,7 +7814,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxxx01x100001 ld1rsh. */ - return 1443; + return 1461; } else { @@ -7624,7 +7822,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxxxx11x100001 ld1rsb. */ - return 1440; + return 1458; } } } @@ -7640,7 +7838,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x01x100001 ldff1w. */ - return 1563; + return 1581; } else { @@ -7648,7 +7846,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x01x100001 ldff1w. */ - return 1564; + return 1582; } } else @@ -7657,7 +7855,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxxxx11x100001 prfd. */ - return 1648; + return 1666; } } else @@ -7672,7 +7870,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0001x100001 prfw. */ - return 1661; + return 1679; } else { @@ -7680,7 +7878,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0011x100001 prfd. */ - return 1647; + return 1665; } } else @@ -7689,7 +7887,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx10x1x100001 ldff1w. */ - return 1571; + return 1589; } } else @@ -7700,7 +7898,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxxx101x100001 ld1rw. */ - return 1446; + return 1464; } else { @@ -7708,7 +7906,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxxx111x100001 ld1rd. */ - return 1435; + return 1453; } } } @@ -7730,7 +7928,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx0xx1x10001x ld1sw. */ - return 1471; + return 1489; } else { @@ -7738,7 +7936,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1xx1x10001x ld1sw. */ - return 1472; + return 1490; } } else @@ -7749,7 +7947,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx0xx1x10001x ld1sw. */ - return 1473; + return 1491; } else { @@ -7759,7 +7957,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx10x1x10001x ld1sw. */ - return 1476; + return 1494; } else { @@ -7767,7 +7965,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx11x1x10001x ld1sw. */ - return 1474; + return 1492; } } } @@ -7784,7 +7982,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x01x10001x ld1w. */ - return 1481; + return 1499; } else { @@ -7792,7 +7990,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0x11x10001x ld1d. */ - return 1411; + return 1429; } } else @@ -7803,7 +8001,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x01x10001x ld1w. */ - return 1482; + return 1500; } else { @@ -7811,7 +8009,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x11x10001x ld1d. */ - return 1412; + return 1430; } } } @@ -7825,7 +8023,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0x01x10001x ld1w. */ - return 1483; + return 1501; } else { @@ -7833,7 +8031,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0x11x10001x ld1d. */ - return 1413; + return 1431; } } else @@ -7846,7 +8044,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1001x10001x ld1w. */ - return 1488; + return 1506; } else { @@ -7854,7 +8052,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1011x10001x ld1d. */ - return 1416; + return 1434; } } else @@ -7865,7 +8063,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1101x10001x ld1w. */ - return 1484; + return 1502; } else { @@ -7873,7 +8071,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1111x10001x ld1d. */ - return 1414; + return 1432; } } } @@ -7892,7 +8090,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx0xx1x10001x ldff1sw. */ - return 1558; + return 1576; } else { @@ -7900,7 +8098,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1xx1x10001x ldff1sw. */ - return 1559; + return 1577; } } else @@ -7911,7 +8109,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0xx1x10001x ldff1sw. */ - return 1560; + return 1578; } else { @@ -7921,7 +8119,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx10x1x10001x ldff1sw. */ - return 1562; + return 1580; } else { @@ -7929,7 +8127,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx11x1x10001x ldff1sw. */ - return 1561; + return 1579; } } } @@ -7946,7 +8144,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x01x10001x ldff1w. */ - return 1567; + return 1585; } else { @@ -7954,7 +8152,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0x11x10001x ldff1d. */ - return 1523; + return 1541; } } else @@ -7965,7 +8163,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x01x10001x ldff1w. */ - return 1568; + return 1586; } else { @@ -7973,7 +8171,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x11x10001x ldff1d. */ - return 1524; + return 1542; } } } @@ -7989,7 +8187,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0001x10001x prfw. */ - return 1663; + return 1681; } else { @@ -7997,7 +8195,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0011x10001x prfd. */ - return 1649; + return 1667; } } else @@ -8008,7 +8206,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0101x10001x ldff1w. */ - return 1569; + return 1587; } else { @@ -8016,7 +8214,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0111x10001x ldff1d. */ - return 1525; + return 1543; } } } @@ -8030,7 +8228,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1001x10001x ldff1w. */ - return 1572; + return 1590; } else { @@ -8038,7 +8236,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1011x10001x ldff1d. */ - return 1527; + return 1545; } } else @@ -8049,7 +8247,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1101x10001x ldff1w. */ - return 1570; + return 1588; } else { @@ -8057,7 +8255,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1111x10001x ldff1d. */ - return 1526; + return 1544; } } } @@ -8084,7 +8282,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx000xxxxx0xx1x10010x cmpge. */ - return 1238; + return 1256; } else { @@ -8096,7 +8294,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxx00000xxxxx1xx1x10010x whilelt. */ - return 1887; + return 1905; } else { @@ -8104,7 +8302,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxx01000xxxxx1xx1x10010x whilelt. */ - return 1888; + return 1906; } } else @@ -8115,7 +8313,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxx10000xxxxx1xx1x10010x whilelo. */ - return 1883; + return 1901; } else { @@ -8123,7 +8321,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxx11000xxxxx1xx1x10010x whilelo. */ - return 1884; + return 1902; } } } @@ -8136,7 +8334,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx000xxxxx0xx1x10010x cmpgt. */ - return 1241; + return 1259; } else { @@ -8148,7 +8346,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxx00000xxxxx1xx1x10010x whilele. */ - return 1881; + return 1899; } else { @@ -8156,7 +8354,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxx01000xxxxx1xx1x10010x whilele. */ - return 1882; + return 1900; } } else @@ -8167,7 +8365,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxx10000xxxxx1xx1x10010x whilels. */ - return 1885; + return 1903; } else { @@ -8175,7 +8373,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxx11000xxxxx1xx1x10010x whilels. */ - return 1886; + return 1904; } } } @@ -8195,7 +8393,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00x000xxxxx0xx1x100110 fadd. */ - return 1296; + return 1314; } else { @@ -8205,7 +8403,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010000xxxxx0xx1x100110 fmul. */ - return 1347; + return 1365; } else { @@ -8213,7 +8411,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011000xxxxx0xx1x100110 frecps. */ - return 1357; + return 1375; } } } @@ -8225,7 +8423,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx10x000xxxxx0xx1x100110 fsub. */ - return 1370; + return 1388; } else { @@ -8235,7 +8433,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx110000xxxxx0xx1x100110 ftsmul. */ - return 1376; + return 1394; } else { @@ -8243,7 +8441,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx111000xxxxx0xx1x100110 frsqrts. */ - return 1367; + return 1385; } } } @@ -8254,7 +8452,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxx1xx1x100110 fmla. */ - return 1344; + return 1362; } } else @@ -8263,7 +8461,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx000xxxxxxxx1x100111 str. */ - return 1808; + return 1826; } } } @@ -8279,7 +8477,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx100xxxxx0xx1x10010x cmplt. */ - return 1255; + return 1273; } else { @@ -8287,7 +8485,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx100xxxxx0xx1x10010x cmple. */ - return 1249; + return 1267; } } else @@ -8306,7 +8504,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100000000xx1x10011x faddv. */ - return 1300; + return 1318; } else { @@ -8316,7 +8514,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx100000010xx1x10011x fcmge. */ - return 1303; + return 1321; } else { @@ -8324,7 +8522,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx100000010xx1x10011x fcmgt. */ - return 1305; + return 1323; } } } @@ -8334,7 +8532,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1000001x0xx1x10011x fadda. */ - return 1299; + return 1317; } } else @@ -8343,7 +8541,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100001xx0xx1x10011x fmaxnmv. */ - return 1336; + return 1354; } } else @@ -8354,7 +8552,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100010xx0xx1x10011x fcmeq. */ - return 1301; + return 1319; } else { @@ -8364,7 +8562,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1000110x0xx1x10011x fmaxv. */ - return 1337; + return 1355; } else { @@ -8372,7 +8570,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1000111x0xx1x10011x frecpe. */ - return 1356; + return 1374; } } } @@ -8389,7 +8587,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx100100xx0xx1x10011x fcmlt. */ - return 1308; + return 1326; } else { @@ -8397,7 +8595,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx100100xx0xx1x10011x fcmle. */ - return 1307; + return 1325; } } else @@ -8406,7 +8604,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100101xx0xx1x10011x fminnmv. */ - return 1342; + return 1360; } } else @@ -8417,7 +8615,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100110xx0xx1x10011x fcmne. */ - return 1309; + return 1327; } else { @@ -8427,7 +8625,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1001110x0xx1x10011x fminv. */ - return 1343; + return 1361; } else { @@ -8435,7 +8633,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1001111x0xx1x10011x frsqrte. */ - return 1366; + return 1384; } } } @@ -8452,7 +8650,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx100xxxxx1xx1x10010x ctermeq. */ - return 1270; + return 1288; } else { @@ -8460,7 +8658,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx100xxxxx1xx1x10010x ctermne. */ - return 1271; + return 1289; } } else @@ -8469,7 +8667,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx100xxxxx1xx1x10011x fmls. */ - return 1345; + return 1363; } } } @@ -8496,7 +8694,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxxx00001x100100 and. */ - return 1201; + return 1219; } else { @@ -8504,7 +8702,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx0xxxx10xxxx00001x100100 bic. */ - return 1213; + return 1231; } } else @@ -8515,7 +8713,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxx0xxxx10xxx010001x100100 brka. */ - return 1215; + return 1233; } else { @@ -8523,7 +8721,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxx0xxxx10xxx110001x100100 brkn. */ - return 1219; + return 1237; } } } @@ -8535,7 +8733,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx1xxxx10xxxxx0001x100100 eor. */ - return 1288; + return 1306; } else { @@ -8543,7 +8741,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx1xxxx10xxxxx0001x100100 sel. */ - return 1688; + return 1706; } } } @@ -8555,7 +8753,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0001x100101 ld1sh. */ - return 1460; + return 1478; } else { @@ -8563,7 +8761,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0001x100101 ldff1sh. */ - return 1549; + return 1567; } } } @@ -8573,7 +8771,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx10xxxxx0001x10011x stnt1w. */ - return 1806; + return 1824; } } else @@ -8592,7 +8790,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxxx00101x100100 ands. */ - return 1202; + return 1220; } else { @@ -8602,7 +8800,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxx010101x100100 brkas. */ - return 1216; + return 1234; } else { @@ -8610,7 +8808,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxx110101x100100 brkns. */ - return 1220; + return 1238; } } } @@ -8620,7 +8818,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx1xxxx10xxxxx0101x100100 eors. */ - return 1289; + return 1307; } } else @@ -8629,7 +8827,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxxx10xxxxx0101x100100 bics. */ - return 1214; + return 1232; } } else @@ -8640,7 +8838,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0101x100101 ld1w. */ - return 1479; + return 1497; } else { @@ -8648,7 +8846,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0101x100101 ldff1w. */ - return 1565; + return 1583; } } } @@ -8660,7 +8858,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0101x10011x st1w. */ - return 1768; + return 1786; } else { @@ -8668,7 +8866,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0101x10011x st3w. */ - return 1790; + return 1808; } } } @@ -8683,7 +8881,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1001x1001xx ld1sh. */ - return 1461; + return 1479; } else { @@ -8693,7 +8891,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1101x10010x ld1w. */ - return 1480; + return 1498; } else { @@ -8701,7 +8899,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1101x10011x st1w. */ - return 1770; + return 1788; } } } @@ -8715,7 +8913,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1001x10010x ldff1sh. */ - return 1550; + return 1568; } else { @@ -8723,7 +8921,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1001x10011x st2w. */ - return 1782; + return 1800; } } else @@ -8734,7 +8932,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1101x10010x ldff1w. */ - return 1566; + return 1584; } else { @@ -8742,7 +8940,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1101x10011x st4w. */ - return 1798; + return 1816; } } } @@ -8768,7 +8966,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxxx00011x100100 orr. */ - return 1630; + return 1648; } else { @@ -8776,7 +8974,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx0xxxx10xxxx00011x100100 orn. */ - return 1625; + return 1643; } } else @@ -8785,7 +8983,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxx0xxxx10xxxx10011x100100 brkb. */ - return 1217; + return 1235; } } else @@ -8796,7 +8994,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx1xxxx10xxxxx0011x100100 nor. */ - return 1622; + return 1640; } else { @@ -8804,7 +9002,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx1xxxx10xxxxx0011x100100 nand. */ - return 1619; + return 1637; } } } @@ -8816,7 +9014,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0011x100101 ld1sb. */ - return 1448; + return 1466; } else { @@ -8824,7 +9022,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0011x100101 ldff1sb. */ - return 1540; + return 1558; } } } @@ -8842,7 +9040,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxxx00111x100100 orrs. */ - return 1631; + return 1649; } else { @@ -8850,7 +9048,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx0xxxx10xxxx10111x100100 brkbs. */ - return 1218; + return 1236; } } else @@ -8859,7 +9057,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxx1xxxx10xxxxx0111x100100 nors. */ - return 1623; + return 1641; } } else @@ -8870,7 +9068,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx0xxxx10xxxxx0111x100100 orns. */ - return 1626; + return 1644; } else { @@ -8878,7 +9076,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxx1xxxx10xxxxx0111x100100 nands. */ - return 1620; + return 1638; } } } @@ -8890,7 +9088,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx0111x100101 ld1sb. */ - return 1450; + return 1468; } else { @@ -8898,7 +9096,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0111x100101 ldff1sb. */ - return 1542; + return 1560; } } } @@ -8913,7 +9111,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1011x10010x ld1sb. */ - return 1449; + return 1467; } else { @@ -8921,7 +9119,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1111x10010x ld1d. */ - return 1410; + return 1428; } } else @@ -8932,7 +9130,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1011x10010x ldff1sb. */ - return 1541; + return 1559; } else { @@ -8940,7 +9138,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1111x10010x ldff1d. */ - return 1522; + return 1540; } } } @@ -8959,7 +9157,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx010xxxxx0x11x100110 fcmge. */ - return 1304; + return 1322; } else { @@ -8967,7 +9165,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx010xxxxx0x11x100110 fcmgt. */ - return 1306; + return 1324; } } else @@ -8976,7 +9174,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxx1x11x100110 fnmla. */ - return 1353; + return 1371; } } else @@ -8987,7 +9185,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxxx011x100111 str. */ - return 1809; + return 1827; } else { @@ -8995,7 +9193,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx010xxxxxx111x100111 st1d. */ - return 1747; + return 1765; } } } @@ -9011,7 +9209,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx110xxxxx0x11x100110 fcmeq. */ - return 1302; + return 1320; } else { @@ -9019,7 +9217,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx110xxxxx0x11x100110 fcmne. */ - return 1310; + return 1328; } } else @@ -9030,7 +9228,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0011x100111 stnt1d. */ - return 1802; + return 1820; } else { @@ -9038,7 +9236,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx0111x100111 st3d. */ - return 1786; + return 1804; } } } @@ -9050,7 +9248,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1x11x100110 fnmls. */ - return 1354; + return 1372; } else { @@ -9060,7 +9258,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1011x100111 st2d. */ - return 1778; + return 1796; } else { @@ -9068,7 +9266,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx110xxxxx1111x100111 st4d. */ - return 1794; + return 1812; } } } @@ -9093,7 +9291,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx001xxxxx0xx1x10010x cmpeq. */ - return 1235; + return 1253; } else { @@ -9101,7 +9299,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx001xxxxx0xx1x10010x cmpne. */ - return 1258; + return 1276; } } else @@ -9116,7 +9314,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00001x10010x ld1sh. */ - return 1467; + return 1485; } else { @@ -9124,7 +9322,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00011x10010x ld1sb. */ - return 1454; + return 1472; } } else @@ -9135,7 +9333,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00101x10010x ld1w. */ - return 1486; + return 1504; } else { @@ -9143,7 +9341,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx00111x10010x ld1sb. */ - return 1456; + return 1474; } } } @@ -9157,7 +9355,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10001x10010x ldnf1sh. */ - return 1584; + return 1602; } else { @@ -9165,7 +9363,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10011x10010x ldnf1sb. */ - return 1581; + return 1599; } } else @@ -9176,7 +9374,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10101x10010x ldnf1w. */ - return 1587; + return 1605; } else { @@ -9184,7 +9382,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxx10111x10010x ldnf1sb. */ - return 1583; + return 1601; } } } @@ -9204,7 +9402,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxxx11xxxx000x1x100100 brkpa. */ - return 1221; + return 1239; } else { @@ -9212,7 +9410,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxxx11xxxx001x1x100100 brkpas. */ - return 1222; + return 1240; } } else @@ -9225,7 +9423,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxxx110xx010xx1x100100 ptest. */ - return 1664; + return 1682; } else { @@ -9239,7 +9437,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x00110xx110xx1x100100 pfirst. */ - return 1634; + return 1652; } else { @@ -9247,7 +9445,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x01110xx110xx1x100100 ptrue. */ - return 1665; + return 1683; } } else @@ -9258,7 +9456,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x1x110xx1100x1x100100 rdffr. */ - return 1671; + return 1689; } else { @@ -9266,7 +9464,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x1x110xx1101x1x100100 rdffrs. */ - return 1672; + return 1690; } } } @@ -9276,7 +9474,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx1xxx110xx110xx1x100100 pfalse. */ - return 1633; + return 1651; } } } @@ -9290,7 +9488,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x0x111xxx10xx1x100100 ptrues. */ - return 1666; + return 1684; } else { @@ -9298,7 +9496,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx0x1x111xxx10xx1x100100 rdffr. */ - return 1670; + return 1688; } } else @@ -9307,7 +9505,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx1xxx111xxx10xx1x100100 pnext. */ - return 1635; + return 1653; } } } @@ -9320,7 +9518,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxxx11xxxxx00x1x100100 brkpb. */ - return 1223; + return 1241; } else { @@ -9328,7 +9526,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxxx11xxxxx01x1x100100 brkpbs. */ - return 1224; + return 1242; } } } @@ -9344,7 +9542,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0001x100101 ldnt1w. */ - return 1595; + return 1613; } else { @@ -9352,7 +9550,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0011x100101 ldnt1d. */ - return 1591; + return 1609; } } else @@ -9363,7 +9561,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0101x100101 ld3w. */ - return 1503; + return 1521; } else { @@ -9371,7 +9569,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx0111x100101 ld3d. */ - return 1499; + return 1517; } } } @@ -9385,7 +9583,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0001x100101 ldnt1w. */ - return 1596; + return 1614; } else { @@ -9393,7 +9591,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0011x100101 ldnt1d. */ - return 1592; + return 1610; } } else @@ -9404,7 +9602,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0101x100101 ld3w. */ - return 1504; + return 1522; } else { @@ -9412,7 +9610,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0111x100101 ld3d. */ - return 1500; + return 1518; } } } @@ -9431,7 +9629,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0001x10011x st1w. */ - return 1764; + return 1782; } else { @@ -9439,7 +9637,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0101x10011x st1w. */ - return 1769; + return 1787; } } else @@ -9462,7 +9660,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001000000x11x100110 fadd. */ - return 1297; + return 1315; } else { @@ -9470,7 +9668,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001001000x11x100110 fmaxnm. */ - return 1334; + return 1352; } } else @@ -9481,7 +9679,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001010000x11x100110 fmul. */ - return 1348; + return 1366; } else { @@ -9489,7 +9687,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001011000x11x100110 fmax. */ - return 1332; + return 1350; } } } @@ -9503,7 +9701,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001100000x11x100110 fsub. */ - return 1371; + return 1389; } else { @@ -9511,7 +9709,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001101000x11x100110 fminnm. */ - return 1340; + return 1358; } } else @@ -9522,7 +9720,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001110000x11x100110 fsubr. */ - return 1373; + return 1391; } else { @@ -9530,7 +9728,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001111000x11x100110 fmin. */ - return 1338; + return 1356; } } } @@ -9541,7 +9739,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxx010x11x100110 ftmad. */ - return 1375; + return 1393; } } else @@ -9558,7 +9756,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001000100x11x100110 fabd. */ - return 1292; + return 1310; } else { @@ -9566,7 +9764,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001000110x11x100110 fadd. */ - return 1298; + return 1316; } } else @@ -9577,7 +9775,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001001100x11x100110 fdivr. */ - return 1328; + return 1346; } else { @@ -9585,7 +9783,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001001110x11x100110 fmaxnm. */ - return 1335; + return 1353; } } } @@ -9599,7 +9797,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001010100x11x100110 fmulx. */ - return 1350; + return 1368; } else { @@ -9607,7 +9805,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001010110x11x100110 fmul. */ - return 1349; + return 1367; } } else @@ -9616,7 +9814,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0010111x0x11x100110 fmax. */ - return 1333; + return 1351; } } } @@ -9632,7 +9830,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001100100x11x100110 fscale. */ - return 1368; + return 1386; } else { @@ -9640,7 +9838,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001100110x11x100110 fsub. */ - return 1372; + return 1390; } } else @@ -9651,7 +9849,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001101100x11x100110 fdiv. */ - return 1327; + return 1345; } else { @@ -9659,7 +9857,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001101110x11x100110 fminnm. */ - return 1341; + return 1359; } } } @@ -9671,7 +9869,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011101x0x11x100110 fsubr. */ - return 1374; + return 1392; } else { @@ -9679,7 +9877,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0011111x0x11x100110 fmin. */ - return 1339; + return 1357; } } } @@ -9693,7 +9891,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxxxxx011xxxxx0x11x100110 fcmuo. */ - return 1311; + return 1329; } else { @@ -9701,7 +9899,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxxxxx011xxxxx0x11x100110 facge. */ - return 1294; + return 1312; } } } @@ -9711,7 +9909,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx0x11x100111 st1d. */ - return 1743; + return 1761; } } } @@ -9727,7 +9925,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0001x10011x st1w. */ - return 1765; + return 1783; } else { @@ -9735,7 +9933,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0101x10011x st1w. */ - return 1772; + return 1790; } } else @@ -9756,7 +9954,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101000000x11x100110 frintn. */ - return 1362; + return 1380; } else { @@ -9764,7 +9962,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101000010x11x100110 scvtf. */ - return 1682; + return 1700; } } else @@ -9777,7 +9975,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101000100011x100110 fcvt. */ - return 1313; + return 1331; } else { @@ -9785,7 +9983,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101000100111x100110 fcvt. */ - return 1315; + return 1333; } } else @@ -9794,7 +9992,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101000110x11x100110 fcvtzs. */ - return 1320; + return 1338; } } } @@ -9808,7 +10006,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001000x11x100110 frinta. */ - return 1359; + return 1377; } else { @@ -9818,7 +10016,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001010011x100110 scvtf. */ - return 1681; + return 1699; } else { @@ -9826,7 +10024,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001010111x100110 scvtf. */ - return 1683; + return 1701; } } } @@ -9838,7 +10036,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001100x11x100110 frecpx. */ - return 1358; + return 1376; } else { @@ -9848,7 +10046,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001110011x100110 fcvtzs. */ - return 1319; + return 1337; } else { @@ -9856,7 +10054,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101001110111x100110 fcvtzs. */ - return 1321; + return 1339; } } } @@ -9872,7 +10070,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010100x0x11x100110 frintm. */ - return 1361; + return 1379; } else { @@ -9880,7 +10078,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010101x0x11x100110 fcvt. */ - return 1317; + return 1335; } } else @@ -9893,7 +10091,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101011000x11x100110 frintx. */ - return 1364; + return 1382; } else { @@ -9901,7 +10099,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101011010x11x100110 scvtf. */ - return 1684; + return 1702; } } else @@ -9910,7 +10108,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1010111x0x11x100110 fcvtzs. */ - return 1322; + return 1340; } } } @@ -9929,7 +10127,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101100000x11x100110 frintp. */ - return 1363; + return 1381; } else { @@ -9937,7 +10135,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101100010x11x100110 ucvtf. */ - return 1828; + return 1846; } } else @@ -9950,7 +10148,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101100100011x100110 fcvt. */ - return 1314; + return 1332; } else { @@ -9958,7 +10156,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101100100111x100110 fcvt. */ - return 1316; + return 1334; } } else @@ -9967,7 +10165,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101100110x11x100110 fcvtzu. */ - return 1324; + return 1342; } } } @@ -9981,7 +10179,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011010x0011x100110 ucvtf. */ - return 1827; + return 1845; } else { @@ -9989,7 +10187,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011010x0111x100110 ucvtf. */ - return 1829; + return 1847; } } else @@ -10000,7 +10198,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101101100x11x100110 fsqrt. */ - return 1369; + return 1387; } else { @@ -10010,7 +10208,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101101110011x100110 fcvtzu. */ - return 1323; + return 1341; } else { @@ -10018,7 +10216,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101101110111x100110 fcvtzu. */ - return 1325; + return 1343; } } } @@ -10034,7 +10232,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011100x0x11x100110 frintz. */ - return 1365; + return 1383; } else { @@ -10042,7 +10240,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011101x0x11x100110 fcvt. */ - return 1318; + return 1336; } } else @@ -10055,7 +10253,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101111000x11x100110 frinti. */ - return 1360; + return 1378; } else { @@ -10063,7 +10261,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101111010x11x100110 ucvtf. */ - return 1830; + return 1848; } } else @@ -10072,7 +10270,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx1011111x0x11x100110 fcvtzu. */ - return 1326; + return 1344; } } } @@ -10086,7 +10284,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0011x100111 st1d. */ - return 1744; + return 1762; } else { @@ -10094,7 +10292,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx0111x100111 st1d. */ - return 1748; + return 1766; } } } @@ -10109,7 +10307,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx00x01x10011x st1w. */ - return 1773; + return 1791; } else { @@ -10119,7 +10317,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10001x10011x stnt1w. */ - return 1807; + return 1825; } else { @@ -10127,7 +10325,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx10101x10011x st3w. */ - return 1791; + return 1809; } } } @@ -10139,7 +10337,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0x11x100110 facgt. */ - return 1295; + return 1313; } else { @@ -10149,7 +10347,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0011x100111 stnt1d. */ - return 1803; + return 1821; } else { @@ -10157,7 +10355,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx0111x100111 st3d. */ - return 1787; + return 1805; } } } @@ -10187,7 +10385,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01000001xx1x100100 cntp. */ - return 1264; + return 1282; } else { @@ -10201,7 +10399,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000x01000101xx1x100100 sqincp. */ - return 1722; + return 1740; } else { @@ -10209,7 +10407,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001x01000101xx1x100100 wrffr. */ - return 1889; + return 1907; } } else @@ -10218,7 +10416,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xx01000101xx1x100100 sqincp. */ - return 1724; + return 1742; } } else @@ -10227,7 +10425,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxx01000101xx1x100100 sqincp. */ - return 1723; + return 1741; } } } @@ -10241,7 +10439,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx00x01001x01xx1x100100 incp. */ - return 1383; + return 1401; } else { @@ -10249,7 +10447,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx01x01001x01xx1x100100 setffr. */ - return 1689; + return 1707; } } else @@ -10258,7 +10456,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxx1xx01001x01xx1x100100 incp. */ - return 1384; + return 1402; } } } @@ -10272,7 +10470,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xx0101xx01xx1x100100 sqdecp. */ - return 1708; + return 1726; } else { @@ -10280,7 +10478,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xx0101xx01xx1x100100 sqdecp. */ - return 1710; + return 1728; } } else @@ -10289,7 +10487,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxx0101xx01xx1x100100 sqdecp. */ - return 1709; + return 1727; } } } @@ -10307,7 +10505,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xx01100x01xx1x100100 uqincp. */ - return 1864; + return 1882; } else { @@ -10315,7 +10513,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xx01101x01xx1x100100 decp. */ - return 1277; + return 1295; } } else @@ -10324,7 +10522,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xx0111xx01xx1x100100 uqdecp. */ - return 1850; + return 1868; } } else @@ -10337,7 +10535,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xx01100x01xx1x100100 uqincp. */ - return 1865; + return 1883; } else { @@ -10345,7 +10543,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xx01101x01xx1x100100 decp. */ - return 1278; + return 1296; } } else @@ -10354,7 +10552,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xx0111xx01xx1x100100 uqdecp. */ - return 1851; + return 1869; } } } @@ -10366,7 +10564,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxx0110xx01xx1x100100 uqincp. */ - return 1866; + return 1884; } else { @@ -10374,7 +10572,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxx0111xx01xx1x100100 uqdecp. */ - return 1852; + return 1870; } } } @@ -10389,7 +10587,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx01001x100101 ld1sh. */ - return 1468; + return 1486; } else { @@ -10397,7 +10595,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx01011x100101 ld1sb. */ - return 1455; + return 1473; } } else @@ -10408,7 +10606,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx01101x100101 ld1w. */ - return 1487; + return 1505; } else { @@ -10416,7 +10614,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx01111x100101 ld1d. */ - return 1415; + return 1433; } } } @@ -10431,7 +10629,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx11001x10010x ldnf1sh. */ - return 1585; + return 1603; } else { @@ -10439,7 +10637,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx11011x10010x ldnf1sb. */ - return 1582; + return 1600; } } else @@ -10450,7 +10648,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx11101x10010x ldnf1w. */ - return 1588; + return 1606; } else { @@ -10458,7 +10656,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx01xxxx11111x10010x ldnf1d. */ - return 1577; + return 1595; } } } @@ -10481,7 +10679,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11000001xx1x100100 add. */ - return 1191; + return 1209; } else { @@ -10489,7 +10687,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11000011xx1x100100 mul. */ - return 1617; + return 1635; } } else @@ -10500,7 +10698,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11000101xx1x100100 smax. */ - return 1690; + return 1708; } else { @@ -10508,7 +10706,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11000111xx1x100100 dup. */ - return 1283; + return 1301; } } } @@ -10518,7 +10716,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11001xx1xx1x100100 sqadd. */ - return 1699; + return 1717; } } else @@ -10529,7 +10727,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11010xx1xx1x100100 smin. */ - return 1693; + return 1711; } else { @@ -10537,7 +10735,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11011xx1xx1x100100 sqsub. */ - return 1729; + return 1747; } } } @@ -10553,7 +10751,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx111000x1xx1x100100 sub. */ - return 1811; + return 1829; } else { @@ -10563,7 +10761,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11100101xx1x100100 umax. */ - return 1833; + return 1851; } else { @@ -10571,7 +10769,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11100111xx1x100100 fdup. */ - return 1329; + return 1347; } } } @@ -10581,7 +10779,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11101xx1xx1x100100 uqadd. */ - return 1841; + return 1859; } } else @@ -10594,7 +10792,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx111100x1xx1x100100 subr. */ - return 1813; + return 1831; } else { @@ -10602,7 +10800,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx111101x1xx1x100100 umin. */ - return 1836; + return 1854; } } else @@ -10611,7 +10809,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxx11111xx1xx1x100100 uqsub. */ - return 1871; + return 1889; } } } @@ -10628,7 +10826,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1001x100101 ld2w. */ - return 1495; + return 1513; } else { @@ -10636,7 +10834,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1011x100101 ld2d. */ - return 1491; + return 1509; } } else @@ -10647,7 +10845,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1101x100101 ld4w. */ - return 1511; + return 1529; } else { @@ -10655,7 +10853,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1111x100101 ld4d. */ - return 1507; + return 1525; } } } @@ -10669,7 +10867,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1001x100101 ld2w. */ - return 1496; + return 1514; } else { @@ -10677,7 +10875,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1011x100101 ld2d. */ - return 1492; + return 1510; } } else @@ -10688,7 +10886,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1101x100101 ld4w. */ - return 1512; + return 1530; } else { @@ -10696,7 +10894,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1111x100101 ld4d. */ - return 1508; + return 1526; } } } @@ -10715,7 +10913,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1001x10011x st1w. */ - return 1766; + return 1784; } else { @@ -10723,7 +10921,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1101x10011x st1w. */ - return 1771; + return 1789; } } else @@ -10736,7 +10934,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx001xxxxx1x11x100110 fmad. */ - return 1331; + return 1349; } else { @@ -10744,7 +10942,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx011xxxxx1x11x100110 fnmad. */ - return 1352; + return 1370; } } else @@ -10753,7 +10951,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx0x1xxxxx1x11x100111 st1d. */ - return 1745; + return 1763; } } } @@ -10769,7 +10967,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1001x10011x st1w. */ - return 1767; + return 1785; } else { @@ -10777,7 +10975,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1101x10011x st1w. */ - return 1774; + return 1792; } } else @@ -10788,7 +10986,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1x11x100110 fmsb. */ - return 1346; + return 1364; } else { @@ -10796,7 +10994,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx101xxxxx1x11x100111 st1d. */ - return 1746; + return 1764; } } } @@ -10810,7 +11008,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01x01x10011x st1w. */ - return 1775; + return 1793; } else { @@ -10820,7 +11018,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11001x10011x st2w. */ - return 1783; + return 1801; } else { @@ -10828,7 +11026,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11101x10011x st4w. */ - return 1799; + return 1817; } } } @@ -10840,7 +11038,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxxx1x11x100110 fnmsb. */ - return 1355; + return 1373; } else { @@ -10850,7 +11048,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx01x11x100111 st1d. */ - return 1749; + return 1767; } else { @@ -10860,7 +11058,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11011x100111 st2d. */ - return 1779; + return 1797; } else { @@ -10868,7 +11066,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxx111xxxx11111x100111 st4d. */ - return 1795; + return 1813; } } } @@ -10930,7 +11128,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 00xxxxxxxxxxxxxxxxxxx0xx00101011 hlt. */ - return 708; + return 726; } else { @@ -10938,7 +11136,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 00xxxxxxxxxxxxxxxxxxx1xx00101011 brk. */ - return 707; + return 725; } } else @@ -10949,7 +11147,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 01xxxxxxxxxxxxxxxxxxx0xx00101011 hvc. */ - return 705; + return 723; } else { @@ -10957,7 +11155,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 01xxxxxxxxxxxxxxxxxxx1xx00101011 dcps2. */ - return 710; + return 728; } } } @@ -10971,7 +11169,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 10xxxxxxxxxxxxxxxxxxx0xx00101011 svc. */ - return 704; + return 722; } else { @@ -10979,7 +11177,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 10xxxxxxxxxxxxxxxxxxx1xx00101011 dcps1. */ - return 709; + return 727; } } else @@ -10990,7 +11188,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 11xxxxxxxxxxxxxxxxxxx0xx00101011 smc. */ - return 706; + return 724; } else { @@ -10998,7 +11196,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 11xxxxxxxxxxxxxxxxxxx1xx00101011 dcps3. */ - return 711; + return 729; } } } @@ -11065,7 +11263,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx0xx1x10101x msr. */ - return 1117; + return 1135; } else { @@ -11073,7 +11271,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxx1xx1x10101x sysl. */ - return 1142; + return 1160; } } } @@ -11096,7 +11294,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx011011xx tbz. */ - return 1152; + return 1170; } } else @@ -11115,7 +11313,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx111011xx tbnz. */ - return 1153; + return 1171; } } } @@ -11147,7 +11345,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx00001101xx stnp. */ - return 903; + return 921; } } else @@ -11199,7 +11397,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx00101101xx stp. */ - return 907; + return 925; } } } @@ -11263,7 +11461,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx01x01101xx stp. */ - return 912; + return 930; } } } @@ -11287,7 +11485,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx10001101xx ldnp. */ - return 904; + return 922; } } else @@ -11339,7 +11537,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx10101101xx ldp. */ - return 908; + return 926; } } } @@ -11403,7 +11601,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx11x01101xx ldp. */ - return 913; + return 931; } } } @@ -11418,7 +11616,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxxxx001110xx ldr. */ - return 916; + return 934; } else { @@ -11432,7 +11630,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxxx0x001111xx stur. */ - return 864; + return 882; } else { @@ -11440,7 +11638,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00xxxxxxxxxx1x001111xx ldur. */ - return 865; + return 883; } } else @@ -11451,7 +11649,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxxx0x001111xx str. */ - return 843; + return 861; } else { @@ -11459,7 +11657,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx01xxxxxxxxxx1x001111xx ldr. */ - return 844; + return 862; } } } @@ -11471,7 +11669,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx0x001111xx str. */ - return 820; + return 838; } else { @@ -11479,7 +11677,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx1xxxxxxxxxxx1x001111xx ldr. */ - return 821; + return 839; } } } @@ -11492,7 +11690,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx0x10111xxx str. */ - return 831; + return 849; } else { @@ -11500,7 +11698,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxxxxxxxx1x10111xxx ldr. */ - return 832; + return 850; } } } @@ -11973,7 +12171,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxx00xxx0xx0111100x fcvtzs. */ - return 718; + return 736; } else { @@ -11981,7 +12179,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxx01xxx0xx0111100x scvtf. */ - return 714; + return 732; } } else @@ -11992,7 +12190,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxx10xxx0xx0111100x fcvtzu. */ - return 720; + return 738; } else { @@ -12000,7 +12198,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxxx11xxx0xx0111100x ucvtf. */ - return 716; + return 734; } } } @@ -12281,7 +12479,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000000001xx01111xxx fcvtns. */ - return 722; + return 740; } else { @@ -12289,7 +12487,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000000011xx01111xxx fcvtms. */ - return 742; + return 760; } } else @@ -12300,7 +12498,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000000101xx01111xxx fcvtps. */ - return 738; + return 756; } else { @@ -12308,7 +12506,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000000111xx01111xxx fcvtzs. */ - return 746; + return 764; } } } @@ -12318,7 +12516,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000001xx1xx01111xxx fcvtas. */ - return 730; + return 748; } } else @@ -12329,7 +12527,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000010xx1xx01111xxx scvtf. */ - return 726; + return 744; } else { @@ -12339,7 +12537,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000000110x1xx01111xxx fmov. */ - return 734; + return 752; } else { @@ -12347,7 +12545,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000000111x1xx01111xxx fmov. */ - return 750; + return 768; } } } @@ -12366,7 +12564,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000100001xx01111xxx fcvtnu. */ - return 724; + return 742; } else { @@ -12374,7 +12572,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000100011xx01111xxx fcvtmu. */ - return 744; + return 762; } } else @@ -12385,7 +12583,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000100101xx01111xxx fcvtpu. */ - return 740; + return 758; } else { @@ -12393,7 +12591,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000100111xx01111xxx fcvtzu. */ - return 748; + return 766; } } } @@ -12403,7 +12601,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000101xx1xx01111xxx fcvtau. */ - return 732; + return 750; } } else @@ -12414,7 +12612,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000000110xx1xx01111xxx ucvtf. */ - return 728; + return 746; } else { @@ -12424,7 +12622,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000001110x1xx01111xxx fmov. */ - return 736; + return 754; } else { @@ -12432,7 +12630,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx0000001111x1xx01111xxx fmov. */ - return 751; + return 769; } } } @@ -12582,7 +12780,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010000xx1xx01111xxx fmov. */ - return 764; + return 782; } else { @@ -12590,7 +12788,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010001xx1xx01111xxx frintn. */ - return 773; + return 791; } } else @@ -12601,7 +12799,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010100xx1xx01111xxx fneg. */ - return 768; + return 786; } else { @@ -12609,7 +12807,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010101xx1xx01111xxx frintm. */ - return 777; + return 795; } } } @@ -12623,7 +12821,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000011000xx1xx01111xxx fabs. */ - return 766; + return 784; } else { @@ -12631,7 +12829,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000011001xx1xx01111xxx frintp. */ - return 775; + return 793; } } else @@ -12642,7 +12840,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000011100xx1xx01111xxx fsqrt. */ - return 770; + return 788; } else { @@ -12650,7 +12848,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000011101xx1xx01111xxx frintz. */ - return 779; + return 797; } } } @@ -12663,7 +12861,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx00001xx10xx1xx01111xxx fcvt. */ - return 772; + return 790; } else { @@ -12675,7 +12873,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010011xx1xx01111xxx frinta. */ - return 781; + return 799; } else { @@ -12683,7 +12881,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000010111xx1xx01111xxx frintx. */ - return 783; + return 801; } } else @@ -12692,7 +12890,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx000011x11xx1xx01111xxx frinti. */ - return 785; + return 803; } } } @@ -12756,7 +12954,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxx00xxxxx000100xxxxx1xx01111xxx fcmp. */ - return 756; + return 774; } else { @@ -12764,7 +12962,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxx01xxxxx000100xxxxx1xx01111xxx fcmpe. */ - return 758; + return 776; } } else @@ -12775,7 +12973,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxx10xxxxx000100xxxxx1xx01111xxx fcmp. */ - return 760; + return 778; } else { @@ -12783,7 +12981,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxx11xxxxx000100xxxxx1xx01111xxx fcmpe. */ - return 762; + return 780; } } } @@ -13166,7 +13364,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx001xxxxxxxx1xx01111x0x fmov. */ - return 813; + return 831; } else { @@ -13238,7 +13436,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010000xxxxx1xx01111x0x fmul. */ - return 787; + return 805; } else { @@ -13347,7 +13545,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010001xxxxx1xx0111100x fnmul. */ - return 803; + return 821; } else { @@ -13457,7 +13655,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010010xxxxx1xx0111100x fmax. */ - return 795; + return 813; } else { @@ -13842,7 +14040,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010100xxxxx1xx0111100x fadd. */ - return 791; + return 809; } else { @@ -14189,7 +14387,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx010110xxxxx1xx011110xx fmaxnm. */ - return 799; + return 817; } else { @@ -14269,7 +14467,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011000xxxxx1xx01111x0x fdiv. */ - return 789; + return 807; } else { @@ -14462,7 +14660,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011010xxxxx1xx01111xxx fmin. */ - return 797; + return 815; } } else @@ -14829,7 +15027,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011100xxxxx1xx0111100x fsub. */ - return 793; + return 811; } else { @@ -15156,7 +15354,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx011110xxxxx1xx0111100x fminnm. */ - return 801; + return 819; } else { @@ -15797,7 +15995,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx0xxxxx10xxxxxxxxx1xx0111100x fccmp. */ - return 752; + return 770; } else { @@ -15805,7 +16003,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxx1xxxxx10xxxxxxxxx1xx0111100x fccmpe. */ - return 754; + return 772; } } else @@ -16386,7 +16584,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxx11xxxxxxxxx1xx0111100x fcsel. */ - return 815; + return 833; } else { @@ -16830,7 +17028,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx0xx1111100x fmadd. */ - return 805; + return 823; } else { @@ -16838,7 +17036,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx0xxxxx1xx1111100x fnmadd. */ - return 809; + return 827; } } else @@ -17454,7 +17652,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx0xx1111100x fmsub. */ - return 807; + return 825; } else { @@ -17462,7 +17660,7 @@ aarch64_opcode_lookup_1 (uint32_t word) 10987654321098765432109876543210 xxxxxxxxxxxxxxx1xxxxx1xx1111100x fnmsub. */ - return 811; + return 829; } } else @@ -17694,22 +17892,22 @@ aarch64_find_next_opcode (const aarch64_opcode *opcode) int value; switch (key) { - case 901: value = 905; break; /* stnp --> stp. */ - case 905: return NULL; /* stp --> NULL. */ - case 899: value = 900; break; /* stllrb --> stllrh. */ - case 900: return NULL; /* stllrh --> NULL. */ - case 902: value = 906; break; /* ldnp --> ldp. */ - case 906: return NULL; /* ldp --> NULL. */ - case 1117: value = 1118; break; /* msr --> hint. */ - case 1118: value = 1132; break; /* hint --> clrex. */ - case 1132: value = 1133; break; /* clrex --> dsb. */ - case 1133: value = 1134; break; /* dsb --> dmb. */ - case 1134: value = 1135; break; /* dmb --> isb. */ - case 1135: value = 1136; break; /* isb --> sys. */ - case 1136: value = 1141; break; /* sys --> msr. */ - case 1141: return NULL; /* msr --> NULL. */ - case 1142: value = 1143; break; /* sysl --> mrs. */ - case 1143: return NULL; /* mrs --> NULL. */ + case 919: value = 923; break; /* stnp --> stp. */ + case 923: return NULL; /* stp --> NULL. */ + case 917: value = 918; break; /* stllrb --> stllrh. */ + case 918: return NULL; /* stllrh --> NULL. */ + case 920: value = 924; break; /* ldnp --> ldp. */ + case 924: return NULL; /* ldp --> NULL. */ + case 1135: value = 1136; break; /* msr --> hint. */ + case 1136: value = 1150; break; /* hint --> clrex. */ + case 1150: value = 1151; break; /* clrex --> dsb. */ + case 1151: value = 1152; break; /* dsb --> dmb. */ + case 1152: value = 1153; break; /* dmb --> isb. */ + case 1153: value = 1154; break; /* isb --> sys. */ + case 1154: value = 1159; break; /* sys --> msr. */ + case 1159: return NULL; /* msr --> NULL. */ + case 1160: value = 1161; break; /* sysl --> mrs. */ + case 1161: return NULL; /* mrs --> NULL. */ case 431: value = 432; break; /* st4 --> st1. */ case 432: value = 433; break; /* st1 --> st2. */ case 433: value = 434; break; /* st2 --> st3. */ @@ -17742,98 +17940,98 @@ aarch64_find_next_opcode (const aarch64_opcode *opcode) case 469: return NULL; /* ld2r --> NULL. */ case 468: value = 470; break; /* ld4 --> ld4r. */ case 470: return NULL; /* ld4r --> NULL. */ - case 718: value = 719; break; /* fcvtzs --> fcvtzs. */ - case 719: return NULL; /* fcvtzs --> NULL. */ - case 714: value = 715; break; /* scvtf --> scvtf. */ - case 715: return NULL; /* scvtf --> NULL. */ - case 720: value = 721; break; /* fcvtzu --> fcvtzu. */ - case 721: return NULL; /* fcvtzu --> NULL. */ - case 716: value = 717; break; /* ucvtf --> ucvtf. */ - case 717: return NULL; /* ucvtf --> NULL. */ - case 722: value = 723; break; /* fcvtns --> fcvtns. */ - case 723: return NULL; /* fcvtns --> NULL. */ - case 742: value = 743; break; /* fcvtms --> fcvtms. */ - case 743: return NULL; /* fcvtms --> NULL. */ - case 738: value = 739; break; /* fcvtps --> fcvtps. */ - case 739: return NULL; /* fcvtps --> NULL. */ - case 746: value = 747; break; /* fcvtzs --> fcvtzs. */ - case 747: return NULL; /* fcvtzs --> NULL. */ - case 730: value = 731; break; /* fcvtas --> fcvtas. */ - case 731: return NULL; /* fcvtas --> NULL. */ - case 726: value = 727; break; /* scvtf --> scvtf. */ - case 727: return NULL; /* scvtf --> NULL. */ - case 734: value = 735; break; /* fmov --> fmov. */ - case 735: return NULL; /* fmov --> NULL. */ - case 724: value = 725; break; /* fcvtnu --> fcvtnu. */ - case 725: return NULL; /* fcvtnu --> NULL. */ - case 744: value = 745; break; /* fcvtmu --> fcvtmu. */ - case 745: return NULL; /* fcvtmu --> NULL. */ - case 740: value = 741; break; /* fcvtpu --> fcvtpu. */ - case 741: return NULL; /* fcvtpu --> NULL. */ - case 748: value = 749; break; /* fcvtzu --> fcvtzu. */ - case 749: return NULL; /* fcvtzu --> NULL. */ - case 732: value = 733; break; /* fcvtau --> fcvtau. */ - case 733: return NULL; /* fcvtau --> NULL. */ - case 728: value = 729; break; /* ucvtf --> ucvtf. */ - case 729: return NULL; /* ucvtf --> NULL. */ - case 736: value = 737; break; /* fmov --> fmov. */ - case 737: return NULL; /* fmov --> NULL. */ - case 764: value = 765; break; /* fmov --> fmov. */ - case 765: return NULL; /* fmov --> NULL. */ - case 773: value = 774; break; /* frintn --> frintn. */ - case 774: return NULL; /* frintn --> NULL. */ - case 768: value = 769; break; /* fneg --> fneg. */ - case 769: return NULL; /* fneg --> NULL. */ - case 777: value = 778; break; /* frintm --> frintm. */ - case 778: return NULL; /* frintm --> NULL. */ - case 766: value = 767; break; /* fabs --> fabs. */ - case 767: return NULL; /* fabs --> NULL. */ - case 775: value = 776; break; /* frintp --> frintp. */ - case 776: return NULL; /* frintp --> NULL. */ - case 770: value = 771; break; /* fsqrt --> fsqrt. */ - case 771: return NULL; /* fsqrt --> NULL. */ - case 779: value = 780; break; /* frintz --> frintz. */ - case 780: return NULL; /* frintz --> NULL. */ - case 781: value = 782; break; /* frinta --> frinta. */ - case 782: return NULL; /* frinta --> NULL. */ - case 783: value = 784; break; /* frintx --> frintx. */ - case 784: return NULL; /* frintx --> NULL. */ - case 785: value = 786; break; /* frinti --> frinti. */ - case 786: return NULL; /* frinti --> NULL. */ - case 756: value = 757; break; /* fcmp --> fcmp. */ - case 757: return NULL; /* fcmp --> NULL. */ - case 758: value = 759; break; /* fcmpe --> fcmpe. */ - case 759: return NULL; /* fcmpe --> NULL. */ - case 760: value = 761; break; /* fcmp --> fcmp. */ - case 761: return NULL; /* fcmp --> NULL. */ - case 762: value = 763; break; /* fcmpe --> fcmpe. */ - case 763: return NULL; /* fcmpe --> NULL. */ - case 813: value = 814; break; /* fmov --> fmov. */ - case 814: return NULL; /* fmov --> NULL. */ - case 787: value = 788; break; /* fmul --> fmul. */ - case 788: return NULL; /* fmul --> NULL. */ - case 803: value = 804; break; /* fnmul --> fnmul. */ - case 804: return NULL; /* fnmul --> NULL. */ - case 795: value = 796; break; /* fmax --> fmax. */ - case 796: return NULL; /* fmax --> NULL. */ - case 791: value = 792; break; /* fadd --> fadd. */ - case 792: return NULL; /* fadd --> NULL. */ - case 799: value = 800; break; /* fmaxnm --> fmaxnm. */ - case 800: return NULL; /* fmaxnm --> NULL. */ - case 789: value = 790; break; /* fdiv --> fdiv. */ - case 790: return NULL; /* fdiv --> NULL. */ - case 797: value = 798; break; /* fmin --> fmin. */ - case 798: return NULL; /* fmin --> NULL. */ - case 793: value = 794; break; /* fsub --> fsub. */ - case 794: return NULL; /* fsub --> NULL. */ - case 801: value = 802; break; /* fminnm --> fminnm. */ - case 802: return NULL; /* fminnm --> NULL. */ - case 752: value = 753; break; /* fccmp --> fccmp. */ - case 753: return NULL; /* fccmp --> NULL. */ - case 754: value = 755; break; /* fccmpe --> fccmpe. */ - case 755: return NULL; /* fccmpe --> NULL. */ - case 815: value = 816; break; /* fcsel --> fcsel. */ - case 816: return NULL; /* fcsel --> NULL. */ + case 736: value = 737; break; /* fcvtzs --> fcvtzs. */ + case 737: return NULL; /* fcvtzs --> NULL. */ + case 732: value = 733; break; /* scvtf --> scvtf. */ + case 733: return NULL; /* scvtf --> NULL. */ + case 738: value = 739; break; /* fcvtzu --> fcvtzu. */ + case 739: return NULL; /* fcvtzu --> NULL. */ + case 734: value = 735; break; /* ucvtf --> ucvtf. */ + case 735: return NULL; /* ucvtf --> NULL. */ + case 740: value = 741; break; /* fcvtns --> fcvtns. */ + case 741: return NULL; /* fcvtns --> NULL. */ + case 760: value = 761; break; /* fcvtms --> fcvtms. */ + case 761: return NULL; /* fcvtms --> NULL. */ + case 756: value = 757; break; /* fcvtps --> fcvtps. */ + case 757: return NULL; /* fcvtps --> NULL. */ + case 764: value = 765; break; /* fcvtzs --> fcvtzs. */ + case 765: return NULL; /* fcvtzs --> NULL. */ + case 748: value = 749; break; /* fcvtas --> fcvtas. */ + case 749: return NULL; /* fcvtas --> NULL. */ + case 744: value = 745; break; /* scvtf --> scvtf. */ + case 745: return NULL; /* scvtf --> NULL. */ + case 752: value = 753; break; /* fmov --> fmov. */ + case 753: return NULL; /* fmov --> NULL. */ + case 742: value = 743; break; /* fcvtnu --> fcvtnu. */ + case 743: return NULL; /* fcvtnu --> NULL. */ + case 762: value = 763; break; /* fcvtmu --> fcvtmu. */ + case 763: return NULL; /* fcvtmu --> NULL. */ + case 758: value = 759; break; /* fcvtpu --> fcvtpu. */ + case 759: return NULL; /* fcvtpu --> NULL. */ + case 766: value = 767; break; /* fcvtzu --> fcvtzu. */ + case 767: return NULL; /* fcvtzu --> NULL. */ + case 750: value = 751; break; /* fcvtau --> fcvtau. */ + case 751: return NULL; /* fcvtau --> NULL. */ + case 746: value = 747; break; /* ucvtf --> ucvtf. */ + case 747: return NULL; /* ucvtf --> NULL. */ + case 754: value = 755; break; /* fmov --> fmov. */ + case 755: return NULL; /* fmov --> NULL. */ + case 782: value = 783; break; /* fmov --> fmov. */ + case 783: return NULL; /* fmov --> NULL. */ + case 791: value = 792; break; /* frintn --> frintn. */ + case 792: return NULL; /* frintn --> NULL. */ + case 786: value = 787; break; /* fneg --> fneg. */ + case 787: return NULL; /* fneg --> NULL. */ + case 795: value = 796; break; /* frintm --> frintm. */ + case 796: return NULL; /* frintm --> NULL. */ + case 784: value = 785; break; /* fabs --> fabs. */ + case 785: return NULL; /* fabs --> NULL. */ + case 793: value = 794; break; /* frintp --> frintp. */ + case 794: return NULL; /* frintp --> NULL. */ + case 788: value = 789; break; /* fsqrt --> fsqrt. */ + case 789: return NULL; /* fsqrt --> NULL. */ + case 797: value = 798; break; /* frintz --> frintz. */ + case 798: return NULL; /* frintz --> NULL. */ + case 799: value = 800; break; /* frinta --> frinta. */ + case 800: return NULL; /* frinta --> NULL. */ + case 801: value = 802; break; /* frintx --> frintx. */ + case 802: return NULL; /* frintx --> NULL. */ + case 803: value = 804; break; /* frinti --> frinti. */ + case 804: return NULL; /* frinti --> NULL. */ + case 774: value = 775; break; /* fcmp --> fcmp. */ + case 775: return NULL; /* fcmp --> NULL. */ + case 776: value = 777; break; /* fcmpe --> fcmpe. */ + case 777: return NULL; /* fcmpe --> NULL. */ + case 778: value = 779; break; /* fcmp --> fcmp. */ + case 779: return NULL; /* fcmp --> NULL. */ + case 780: value = 781; break; /* fcmpe --> fcmpe. */ + case 781: return NULL; /* fcmpe --> NULL. */ + case 831: value = 832; break; /* fmov --> fmov. */ + case 832: return NULL; /* fmov --> NULL. */ + case 805: value = 806; break; /* fmul --> fmul. */ + case 806: return NULL; /* fmul --> NULL. */ + case 821: value = 822; break; /* fnmul --> fnmul. */ + case 822: return NULL; /* fnmul --> NULL. */ + case 813: value = 814; break; /* fmax --> fmax. */ + case 814: return NULL; /* fmax --> NULL. */ + case 809: value = 810; break; /* fadd --> fadd. */ + case 810: return NULL; /* fadd --> NULL. */ + case 817: value = 818; break; /* fmaxnm --> fmaxnm. */ + case 818: return NULL; /* fmaxnm --> NULL. */ + case 807: value = 808; break; /* fdiv --> fdiv. */ + case 808: return NULL; /* fdiv --> NULL. */ + case 815: value = 816; break; /* fmin --> fmin. */ + case 816: return NULL; /* fmin --> NULL. */ + case 811: value = 812; break; /* fsub --> fsub. */ + case 812: return NULL; /* fsub --> NULL. */ + case 819: value = 820; break; /* fminnm --> fminnm. */ + case 820: return NULL; /* fminnm --> NULL. */ + case 770: value = 771; break; /* fccmp --> fccmp. */ + case 771: return NULL; /* fccmp --> NULL. */ + case 772: value = 773; break; /* fccmpe --> fccmpe. */ + case 773: return NULL; /* fccmpe --> NULL. */ + case 833: value = 834; break; /* fcsel --> fcsel. */ + case 834: return NULL; /* fcsel --> NULL. */ case 130: value = 365; break; /* movi --> sshr. */ case 365: value = 367; break; /* sshr --> srshr. */ case 367: return NULL; /* srshr --> NULL. */ @@ -17852,10 +18050,10 @@ aarch64_find_next_opcode (const aarch64_opcode *opcode) case 390: value = 392; break; /* ursra --> sli. */ case 392: value = 394; break; /* sli --> uqshl. */ case 394: return NULL; /* uqshl --> NULL. */ - case 805: value = 806; break; /* fmadd --> fmadd. */ - case 806: return NULL; /* fmadd --> NULL. */ - case 809: value = 810; break; /* fnmadd --> fnmadd. */ - case 810: return NULL; /* fnmadd --> NULL. */ + case 823: value = 824; break; /* fmadd --> fmadd. */ + case 824: return NULL; /* fmadd --> NULL. */ + case 827: value = 828; break; /* fnmadd --> fnmadd. */ + case 828: return NULL; /* fnmadd --> NULL. */ case 132: value = 371; break; /* movi --> shrn. */ case 371: value = 372; break; /* shrn --> shrn2. */ case 372: value = 379; break; /* shrn2 --> sshll. */ @@ -17884,10 +18082,10 @@ aarch64_find_next_opcode (const aarch64_opcode *opcode) case 386: return NULL; /* fcvtzs --> NULL. */ case 409: value = 410; break; /* fcvtzu --> fcvtzu. */ case 410: return NULL; /* fcvtzu --> NULL. */ - case 807: value = 808; break; /* fmsub --> fmsub. */ - case 808: return NULL; /* fmsub --> NULL. */ - case 811: value = 812; break; /* fnmsub --> fnmsub. */ - case 812: return NULL; /* fnmsub --> NULL. */ + case 825: value = 826; break; /* fmsub --> fmsub. */ + case 826: return NULL; /* fmsub --> NULL. */ + case 829: value = 830; break; /* fnmsub --> fnmsub. */ + case 830: return NULL; /* fnmsub --> NULL. */ case 589: value = 590; break; /* scvtf --> scvtf. */ case 590: return NULL; /* scvtf --> NULL. */ case 591: value = 592; break; /* fcvtzs --> fcvtzs. */ @@ -17937,104 +18135,104 @@ aarch64_find_alias_opcode (const aarch64_opcode *opcode) case 645: value = 647; break; /* csinv --> csetm. */ case 648: value = 649; break; /* csneg --> cneg. */ case 667: value = 667; break; /* rev --> rev. */ - case 674: value = 675; break; /* lslv --> lsl. */ - case 676: value = 677; break; /* lsrv --> lsr. */ - case 678: value = 679; break; /* asrv --> asr. */ - case 680: value = 681; break; /* rorv --> ror. */ - case 690: value = 691; break; /* madd --> mul. */ - case 692: value = 693; break; /* msub --> mneg. */ - case 694: value = 695; break; /* smaddl --> smull. */ - case 696: value = 697; break; /* smsubl --> smnegl. */ - case 699: value = 700; break; /* umaddl --> umull. */ - case 701: value = 702; break; /* umsubl --> umnegl. */ - case 712: value = 713; break; /* extr --> ror. */ - case 919: value = 920; break; /* and --> bic. */ - case 921: value = 922; break; /* orr --> mov. */ - case 924: value = 925; break; /* ands --> tst. */ - case 928: value = 930; break; /* orr --> uxtw. */ - case 931: value = 932; break; /* orn --> mvn. */ - case 935: value = 936; break; /* ands --> tst. */ - case 966: value = 1062; break; /* ldaddb --> staddb. */ - case 967: value = 1063; break; /* ldaddh --> staddh. */ - case 968: value = 1064; break; /* ldadd --> stadd. */ - case 970: value = 1065; break; /* ldaddlb --> staddlb. */ - case 973: value = 1066; break; /* ldaddlh --> staddlh. */ - case 976: value = 1067; break; /* ldaddl --> staddl. */ - case 978: value = 1068; break; /* ldclrb --> stclrb. */ - case 979: value = 1069; break; /* ldclrh --> stclrh. */ - case 980: value = 1070; break; /* ldclr --> stclr. */ - case 982: value = 1071; break; /* ldclrlb --> stclrlb. */ - case 985: value = 1072; break; /* ldclrlh --> stclrlh. */ - case 988: value = 1073; break; /* ldclrl --> stclrl. */ - case 990: value = 1074; break; /* ldeorb --> steorb. */ - case 991: value = 1075; break; /* ldeorh --> steorh. */ - case 992: value = 1076; break; /* ldeor --> steor. */ - case 994: value = 1077; break; /* ldeorlb --> steorlb. */ - case 997: value = 1078; break; /* ldeorlh --> steorlh. */ - case 1000: value = 1079; break; /* ldeorl --> steorl. */ - case 1002: value = 1080; break; /* ldsetb --> stsetb. */ - case 1003: value = 1081; break; /* ldseth --> stseth. */ - case 1004: value = 1082; break; /* ldset --> stset. */ - case 1006: value = 1083; break; /* ldsetlb --> stsetlb. */ - case 1009: value = 1084; break; /* ldsetlh --> stsetlh. */ - case 1012: value = 1085; break; /* ldsetl --> stsetl. */ - case 1014: value = 1086; break; /* ldsmaxb --> stsmaxb. */ - case 1015: value = 1087; break; /* ldsmaxh --> stsmaxh. */ - case 1016: value = 1088; break; /* ldsmax --> stsmax. */ - case 1018: value = 1089; break; /* ldsmaxlb --> stsmaxlb. */ - case 1021: value = 1090; break; /* ldsmaxlh --> stsmaxlh. */ - case 1024: value = 1091; break; /* ldsmaxl --> stsmaxl. */ - case 1026: value = 1092; break; /* ldsminb --> stsminb. */ - case 1027: value = 1093; break; /* ldsminh --> stsminh. */ - case 1028: value = 1094; break; /* ldsmin --> stsmin. */ - case 1030: value = 1095; break; /* ldsminlb --> stsminlb. */ - case 1033: value = 1096; break; /* ldsminlh --> stsminlh. */ - case 1036: value = 1097; break; /* ldsminl --> stsminl. */ - case 1038: value = 1098; break; /* ldumaxb --> stumaxb. */ - case 1039: value = 1099; break; /* ldumaxh --> stumaxh. */ - case 1040: value = 1100; break; /* ldumax --> stumax. */ - case 1042: value = 1101; break; /* ldumaxlb --> stumaxlb. */ - case 1045: value = 1102; break; /* ldumaxlh --> stumaxlh. */ - case 1048: value = 1103; break; /* ldumaxl --> stumaxl. */ - case 1050: value = 1104; break; /* lduminb --> stuminb. */ - case 1051: value = 1105; break; /* lduminh --> stuminh. */ - case 1052: value = 1106; break; /* ldumin --> stumin. */ - case 1054: value = 1107; break; /* lduminlb --> stuminlb. */ - case 1057: value = 1108; break; /* lduminlh --> stuminlh. */ - case 1060: value = 1109; break; /* lduminl --> stuminl. */ - case 1110: value = 1111; break; /* movn --> mov. */ - case 1112: value = 1113; break; /* movz --> mov. */ - case 1118: value = 1151; break; /* hint --> autibsp. */ - case 1136: value = 1140; break; /* sys --> tlbi. */ - case 1199: value = 1894; break; /* and --> bic. */ - case 1201: value = 1182; break; /* and --> mov. */ - case 1202: value = 1186; break; /* ands --> movs. */ - case 1237: value = 1895; break; /* cmpge --> cmple. */ - case 1240: value = 1898; break; /* cmpgt --> cmplt. */ - case 1242: value = 1896; break; /* cmphi --> cmplo. */ - case 1245: value = 1897; break; /* cmphs --> cmpls. */ - case 1267: value = 1179; break; /* cpy --> mov. */ - case 1268: value = 1181; break; /* cpy --> mov. */ - case 1269: value = 1905; break; /* cpy --> fmov. */ - case 1281: value = 1174; break; /* dup --> mov. */ - case 1282: value = 1176; break; /* dup --> mov. */ - case 1283: value = 1904; break; /* dup --> fmov. */ - case 1284: value = 1177; break; /* dupm --> mov. */ - case 1286: value = 1899; break; /* eor --> eon. */ - case 1288: value = 1187; break; /* eor --> not. */ - case 1289: value = 1188; break; /* eors --> nots. */ - case 1294: value = 1900; break; /* facge --> facle. */ - case 1295: value = 1901; break; /* facgt --> faclt. */ - case 1304: value = 1902; break; /* fcmge --> fcmle. */ - case 1306: value = 1903; break; /* fcmgt --> fcmlt. */ - case 1312: value = 1171; break; /* fcpy --> fmov. */ - case 1329: value = 1170; break; /* fdup --> fmov. */ - case 1627: value = 1172; break; /* orr --> mov. */ - case 1628: value = 1906; break; /* orr --> orn. */ - case 1630: value = 1175; break; /* orr --> mov. */ - case 1631: value = 1185; break; /* orrs --> movs. */ - case 1687: value = 1180; break; /* sel --> mov. */ - case 1688: value = 1183; break; /* sel --> mov. */ + case 692: value = 693; break; /* lslv --> lsl. */ + case 694: value = 695; break; /* lsrv --> lsr. */ + case 696: value = 697; break; /* asrv --> asr. */ + case 698: value = 699; break; /* rorv --> ror. */ + case 708: value = 709; break; /* madd --> mul. */ + case 710: value = 711; break; /* msub --> mneg. */ + case 712: value = 713; break; /* smaddl --> smull. */ + case 714: value = 715; break; /* smsubl --> smnegl. */ + case 717: value = 718; break; /* umaddl --> umull. */ + case 719: value = 720; break; /* umsubl --> umnegl. */ + case 730: value = 731; break; /* extr --> ror. */ + case 937: value = 938; break; /* and --> bic. */ + case 939: value = 940; break; /* orr --> mov. */ + case 942: value = 943; break; /* ands --> tst. */ + case 946: value = 948; break; /* orr --> uxtw. */ + case 949: value = 950; break; /* orn --> mvn. */ + case 953: value = 954; break; /* ands --> tst. */ + case 984: value = 1080; break; /* ldaddb --> staddb. */ + case 985: value = 1081; break; /* ldaddh --> staddh. */ + case 986: value = 1082; break; /* ldadd --> stadd. */ + case 988: value = 1083; break; /* ldaddlb --> staddlb. */ + case 991: value = 1084; break; /* ldaddlh --> staddlh. */ + case 994: value = 1085; break; /* ldaddl --> staddl. */ + case 996: value = 1086; break; /* ldclrb --> stclrb. */ + case 997: value = 1087; break; /* ldclrh --> stclrh. */ + case 998: value = 1088; break; /* ldclr --> stclr. */ + case 1000: value = 1089; break; /* ldclrlb --> stclrlb. */ + case 1003: value = 1090; break; /* ldclrlh --> stclrlh. */ + case 1006: value = 1091; break; /* ldclrl --> stclrl. */ + case 1008: value = 1092; break; /* ldeorb --> steorb. */ + case 1009: value = 1093; break; /* ldeorh --> steorh. */ + case 1010: value = 1094; break; /* ldeor --> steor. */ + case 1012: value = 1095; break; /* ldeorlb --> steorlb. */ + case 1015: value = 1096; break; /* ldeorlh --> steorlh. */ + case 1018: value = 1097; break; /* ldeorl --> steorl. */ + case 1020: value = 1098; break; /* ldsetb --> stsetb. */ + case 1021: value = 1099; break; /* ldseth --> stseth. */ + case 1022: value = 1100; break; /* ldset --> stset. */ + case 1024: value = 1101; break; /* ldsetlb --> stsetlb. */ + case 1027: value = 1102; break; /* ldsetlh --> stsetlh. */ + case 1030: value = 1103; break; /* ldsetl --> stsetl. */ + case 1032: value = 1104; break; /* ldsmaxb --> stsmaxb. */ + case 1033: value = 1105; break; /* ldsmaxh --> stsmaxh. */ + case 1034: value = 1106; break; /* ldsmax --> stsmax. */ + case 1036: value = 1107; break; /* ldsmaxlb --> stsmaxlb. */ + case 1039: value = 1108; break; /* ldsmaxlh --> stsmaxlh. */ + case 1042: value = 1109; break; /* ldsmaxl --> stsmaxl. */ + case 1044: value = 1110; break; /* ldsminb --> stsminb. */ + case 1045: value = 1111; break; /* ldsminh --> stsminh. */ + case 1046: value = 1112; break; /* ldsmin --> stsmin. */ + case 1048: value = 1113; break; /* ldsminlb --> stsminlb. */ + case 1051: value = 1114; break; /* ldsminlh --> stsminlh. */ + case 1054: value = 1115; break; /* ldsminl --> stsminl. */ + case 1056: value = 1116; break; /* ldumaxb --> stumaxb. */ + case 1057: value = 1117; break; /* ldumaxh --> stumaxh. */ + case 1058: value = 1118; break; /* ldumax --> stumax. */ + case 1060: value = 1119; break; /* ldumaxlb --> stumaxlb. */ + case 1063: value = 1120; break; /* ldumaxlh --> stumaxlh. */ + case 1066: value = 1121; break; /* ldumaxl --> stumaxl. */ + case 1068: value = 1122; break; /* lduminb --> stuminb. */ + case 1069: value = 1123; break; /* lduminh --> stuminh. */ + case 1070: value = 1124; break; /* ldumin --> stumin. */ + case 1072: value = 1125; break; /* lduminlb --> stuminlb. */ + case 1075: value = 1126; break; /* lduminlh --> stuminlh. */ + case 1078: value = 1127; break; /* lduminl --> stuminl. */ + case 1128: value = 1129; break; /* movn --> mov. */ + case 1130: value = 1131; break; /* movz --> mov. */ + case 1136: value = 1169; break; /* hint --> autibsp. */ + case 1154: value = 1158; break; /* sys --> tlbi. */ + case 1217: value = 1912; break; /* and --> bic. */ + case 1219: value = 1200; break; /* and --> mov. */ + case 1220: value = 1204; break; /* ands --> movs. */ + case 1255: value = 1913; break; /* cmpge --> cmple. */ + case 1258: value = 1916; break; /* cmpgt --> cmplt. */ + case 1260: value = 1914; break; /* cmphi --> cmplo. */ + case 1263: value = 1915; break; /* cmphs --> cmpls. */ + case 1285: value = 1197; break; /* cpy --> mov. */ + case 1286: value = 1199; break; /* cpy --> mov. */ + case 1287: value = 1923; break; /* cpy --> fmov. */ + case 1299: value = 1192; break; /* dup --> mov. */ + case 1300: value = 1194; break; /* dup --> mov. */ + case 1301: value = 1922; break; /* dup --> fmov. */ + case 1302: value = 1195; break; /* dupm --> mov. */ + case 1304: value = 1917; break; /* eor --> eon. */ + case 1306: value = 1205; break; /* eor --> not. */ + case 1307: value = 1206; break; /* eors --> nots. */ + case 1312: value = 1918; break; /* facge --> facle. */ + case 1313: value = 1919; break; /* facgt --> faclt. */ + case 1322: value = 1920; break; /* fcmge --> fcmle. */ + case 1324: value = 1921; break; /* fcmgt --> fcmlt. */ + case 1330: value = 1189; break; /* fcpy --> fmov. */ + case 1347: value = 1188; break; /* fdup --> fmov. */ + case 1645: value = 1190; break; /* orr --> mov. */ + case 1646: value = 1924; break; /* orr --> orn. */ + case 1648: value = 1193; break; /* orr --> mov. */ + case 1649: value = 1203; break; /* orrs --> movs. */ + case 1705: value = 1198; break; /* sel --> mov. */ + case 1706: value = 1201; break; /* sel --> mov. */ default: return NULL; } @@ -18091,131 +18289,131 @@ aarch64_find_next_alias_opcode (const aarch64_opcode *opcode) case 646: value = 645; break; /* cinv --> csinv. */ case 649: value = 648; break; /* cneg --> csneg. */ case 667: value = 668; break; /* rev --> rev64. */ - case 675: value = 674; break; /* lsl --> lslv. */ - case 677: value = 676; break; /* lsr --> lsrv. */ - case 679: value = 678; break; /* asr --> asrv. */ - case 681: value = 680; break; /* ror --> rorv. */ - case 691: value = 690; break; /* mul --> madd. */ - case 693: value = 692; break; /* mneg --> msub. */ - case 695: value = 694; break; /* smull --> smaddl. */ - case 697: value = 696; break; /* smnegl --> smsubl. */ - case 700: value = 699; break; /* umull --> umaddl. */ - case 702: value = 701; break; /* umnegl --> umsubl. */ - case 713: value = 712; break; /* ror --> extr. */ - case 920: value = 919; break; /* bic --> and. */ - case 922: value = 921; break; /* mov --> orr. */ - case 925: value = 924; break; /* tst --> ands. */ - case 930: value = 929; break; /* uxtw --> mov. */ - case 929: value = 928; break; /* mov --> orr. */ - case 932: value = 931; break; /* mvn --> orn. */ - case 936: value = 935; break; /* tst --> ands. */ - case 1062: value = 966; break; /* staddb --> ldaddb. */ - case 1063: value = 967; break; /* staddh --> ldaddh. */ - case 1064: value = 968; break; /* stadd --> ldadd. */ - case 1065: value = 970; break; /* staddlb --> ldaddlb. */ - case 1066: value = 973; break; /* staddlh --> ldaddlh. */ - case 1067: value = 976; break; /* staddl --> ldaddl. */ - case 1068: value = 978; break; /* stclrb --> ldclrb. */ - case 1069: value = 979; break; /* stclrh --> ldclrh. */ - case 1070: value = 980; break; /* stclr --> ldclr. */ - case 1071: value = 982; break; /* stclrlb --> ldclrlb. */ - case 1072: value = 985; break; /* stclrlh --> ldclrlh. */ - case 1073: value = 988; break; /* stclrl --> ldclrl. */ - case 1074: value = 990; break; /* steorb --> ldeorb. */ - case 1075: value = 991; break; /* steorh --> ldeorh. */ - case 1076: value = 992; break; /* steor --> ldeor. */ - case 1077: value = 994; break; /* steorlb --> ldeorlb. */ - case 1078: value = 997; break; /* steorlh --> ldeorlh. */ - case 1079: value = 1000; break; /* steorl --> ldeorl. */ - case 1080: value = 1002; break; /* stsetb --> ldsetb. */ - case 1081: value = 1003; break; /* stseth --> ldseth. */ - case 1082: value = 1004; break; /* stset --> ldset. */ - case 1083: value = 1006; break; /* stsetlb --> ldsetlb. */ - case 1084: value = 1009; break; /* stsetlh --> ldsetlh. */ - case 1085: value = 1012; break; /* stsetl --> ldsetl. */ - case 1086: value = 1014; break; /* stsmaxb --> ldsmaxb. */ - case 1087: value = 1015; break; /* stsmaxh --> ldsmaxh. */ - case 1088: value = 1016; break; /* stsmax --> ldsmax. */ - case 1089: value = 1018; break; /* stsmaxlb --> ldsmaxlb. */ - case 1090: value = 1021; break; /* stsmaxlh --> ldsmaxlh. */ - case 1091: value = 1024; break; /* stsmaxl --> ldsmaxl. */ - case 1092: value = 1026; break; /* stsminb --> ldsminb. */ - case 1093: value = 1027; break; /* stsminh --> ldsminh. */ - case 1094: value = 1028; break; /* stsmin --> ldsmin. */ - case 1095: value = 1030; break; /* stsminlb --> ldsminlb. */ - case 1096: value = 1033; break; /* stsminlh --> ldsminlh. */ - case 1097: value = 1036; break; /* stsminl --> ldsminl. */ - case 1098: value = 1038; break; /* stumaxb --> ldumaxb. */ - case 1099: value = 1039; break; /* stumaxh --> ldumaxh. */ - case 1100: value = 1040; break; /* stumax --> ldumax. */ - case 1101: value = 1042; break; /* stumaxlb --> ldumaxlb. */ - case 1102: value = 1045; break; /* stumaxlh --> ldumaxlh. */ - case 1103: value = 1048; break; /* stumaxl --> ldumaxl. */ - case 1104: value = 1050; break; /* stuminb --> lduminb. */ - case 1105: value = 1051; break; /* stuminh --> lduminh. */ - case 1106: value = 1052; break; /* stumin --> ldumin. */ - case 1107: value = 1054; break; /* stuminlb --> lduminlb. */ - case 1108: value = 1057; break; /* stuminlh --> lduminlh. */ - case 1109: value = 1060; break; /* stuminl --> lduminl. */ - case 1111: value = 1110; break; /* mov --> movn. */ - case 1113: value = 1112; break; /* mov --> movz. */ - case 1151: value = 1150; break; /* autibsp --> autibz. */ - case 1150: value = 1149; break; /* autibz --> autiasp. */ - case 1149: value = 1148; break; /* autiasp --> autiaz. */ - case 1148: value = 1147; break; /* autiaz --> pacibsp. */ - case 1147: value = 1146; break; /* pacibsp --> pacibz. */ - case 1146: value = 1145; break; /* pacibz --> paciasp. */ - case 1145: value = 1144; break; /* paciasp --> paciaz. */ - case 1144: value = 1131; break; /* paciaz --> psb. */ - case 1131: value = 1130; break; /* psb --> esb. */ - case 1130: value = 1129; break; /* esb --> autib1716. */ - case 1129: value = 1128; break; /* autib1716 --> autia1716. */ - case 1128: value = 1127; break; /* autia1716 --> pacib1716. */ - case 1127: value = 1126; break; /* pacib1716 --> pacia1716. */ - case 1126: value = 1125; break; /* pacia1716 --> xpaclri. */ - case 1125: value = 1124; break; /* xpaclri --> sevl. */ - case 1124: value = 1123; break; /* sevl --> sev. */ - case 1123: value = 1122; break; /* sev --> wfi. */ - case 1122: value = 1121; break; /* wfi --> wfe. */ - case 1121: value = 1120; break; /* wfe --> yield. */ - case 1120: value = 1119; break; /* yield --> nop. */ - case 1119: value = 1118; break; /* nop --> hint. */ - case 1140: value = 1139; break; /* tlbi --> ic. */ - case 1139: value = 1138; break; /* ic --> dc. */ - case 1138: value = 1137; break; /* dc --> at. */ - case 1137: value = 1136; break; /* at --> sys. */ - case 1894: value = 1199; break; /* bic --> and. */ - case 1182: value = 1201; break; /* mov --> and. */ - case 1186: value = 1202; break; /* movs --> ands. */ - case 1895: value = 1237; break; /* cmple --> cmpge. */ - case 1898: value = 1240; break; /* cmplt --> cmpgt. */ - case 1896: value = 1242; break; /* cmplo --> cmphi. */ - case 1897: value = 1245; break; /* cmpls --> cmphs. */ - case 1179: value = 1267; break; /* mov --> cpy. */ - case 1181: value = 1268; break; /* mov --> cpy. */ - case 1905: value = 1184; break; /* fmov --> mov. */ - case 1184: value = 1269; break; /* mov --> cpy. */ - case 1174: value = 1281; break; /* mov --> dup. */ - case 1176: value = 1173; break; /* mov --> mov. */ - case 1173: value = 1282; break; /* mov --> dup. */ - case 1904: value = 1178; break; /* fmov --> mov. */ - case 1178: value = 1283; break; /* mov --> dup. */ - case 1177: value = 1284; break; /* mov --> dupm. */ - case 1899: value = 1286; break; /* eon --> eor. */ - case 1187: value = 1288; break; /* not --> eor. */ - case 1188: value = 1289; break; /* nots --> eors. */ - case 1900: value = 1294; break; /* facle --> facge. */ - case 1901: value = 1295; break; /* faclt --> facgt. */ - case 1902: value = 1304; break; /* fcmle --> fcmge. */ - case 1903: value = 1306; break; /* fcmlt --> fcmgt. */ - case 1171: value = 1312; break; /* fmov --> fcpy. */ - case 1170: value = 1329; break; /* fmov --> fdup. */ - case 1172: value = 1627; break; /* mov --> orr. */ - case 1906: value = 1628; break; /* orn --> orr. */ - case 1175: value = 1630; break; /* mov --> orr. */ - case 1185: value = 1631; break; /* movs --> orrs. */ - case 1180: value = 1687; break; /* mov --> sel. */ - case 1183: value = 1688; break; /* mov --> sel. */ + case 693: value = 692; break; /* lsl --> lslv. */ + case 695: value = 694; break; /* lsr --> lsrv. */ + case 697: value = 696; break; /* asr --> asrv. */ + case 699: value = 698; break; /* ror --> rorv. */ + case 709: value = 708; break; /* mul --> madd. */ + case 711: value = 710; break; /* mneg --> msub. */ + case 713: value = 712; break; /* smull --> smaddl. */ + case 715: value = 714; break; /* smnegl --> smsubl. */ + case 718: value = 717; break; /* umull --> umaddl. */ + case 720: value = 719; break; /* umnegl --> umsubl. */ + case 731: value = 730; break; /* ror --> extr. */ + case 938: value = 937; break; /* bic --> and. */ + case 940: value = 939; break; /* mov --> orr. */ + case 943: value = 942; break; /* tst --> ands. */ + case 948: value = 947; break; /* uxtw --> mov. */ + case 947: value = 946; break; /* mov --> orr. */ + case 950: value = 949; break; /* mvn --> orn. */ + case 954: value = 953; break; /* tst --> ands. */ + case 1080: value = 984; break; /* staddb --> ldaddb. */ + case 1081: value = 985; break; /* staddh --> ldaddh. */ + case 1082: value = 986; break; /* stadd --> ldadd. */ + case 1083: value = 988; break; /* staddlb --> ldaddlb. */ + case 1084: value = 991; break; /* staddlh --> ldaddlh. */ + case 1085: value = 994; break; /* staddl --> ldaddl. */ + case 1086: value = 996; break; /* stclrb --> ldclrb. */ + case 1087: value = 997; break; /* stclrh --> ldclrh. */ + case 1088: value = 998; break; /* stclr --> ldclr. */ + case 1089: value = 1000; break; /* stclrlb --> ldclrlb. */ + case 1090: value = 1003; break; /* stclrlh --> ldclrlh. */ + case 1091: value = 1006; break; /* stclrl --> ldclrl. */ + case 1092: value = 1008; break; /* steorb --> ldeorb. */ + case 1093: value = 1009; break; /* steorh --> ldeorh. */ + case 1094: value = 1010; break; /* steor --> ldeor. */ + case 1095: value = 1012; break; /* steorlb --> ldeorlb. */ + case 1096: value = 1015; break; /* steorlh --> ldeorlh. */ + case 1097: value = 1018; break; /* steorl --> ldeorl. */ + case 1098: value = 1020; break; /* stsetb --> ldsetb. */ + case 1099: value = 1021; break; /* stseth --> ldseth. */ + case 1100: value = 1022; break; /* stset --> ldset. */ + case 1101: value = 1024; break; /* stsetlb --> ldsetlb. */ + case 1102: value = 1027; break; /* stsetlh --> ldsetlh. */ + case 1103: value = 1030; break; /* stsetl --> ldsetl. */ + case 1104: value = 1032; break; /* stsmaxb --> ldsmaxb. */ + case 1105: value = 1033; break; /* stsmaxh --> ldsmaxh. */ + case 1106: value = 1034; break; /* stsmax --> ldsmax. */ + case 1107: value = 1036; break; /* stsmaxlb --> ldsmaxlb. */ + case 1108: value = 1039; break; /* stsmaxlh --> ldsmaxlh. */ + case 1109: value = 1042; break; /* stsmaxl --> ldsmaxl. */ + case 1110: value = 1044; break; /* stsminb --> ldsminb. */ + case 1111: value = 1045; break; /* stsminh --> ldsminh. */ + case 1112: value = 1046; break; /* stsmin --> ldsmin. */ + case 1113: value = 1048; break; /* stsminlb --> ldsminlb. */ + case 1114: value = 1051; break; /* stsminlh --> ldsminlh. */ + case 1115: value = 1054; break; /* stsminl --> ldsminl. */ + case 1116: value = 1056; break; /* stumaxb --> ldumaxb. */ + case 1117: value = 1057; break; /* stumaxh --> ldumaxh. */ + case 1118: value = 1058; break; /* stumax --> ldumax. */ + case 1119: value = 1060; break; /* stumaxlb --> ldumaxlb. */ + case 1120: value = 1063; break; /* stumaxlh --> ldumaxlh. */ + case 1121: value = 1066; break; /* stumaxl --> ldumaxl. */ + case 1122: value = 1068; break; /* stuminb --> lduminb. */ + case 1123: value = 1069; break; /* stuminh --> lduminh. */ + case 1124: value = 1070; break; /* stumin --> ldumin. */ + case 1125: value = 1072; break; /* stuminlb --> lduminlb. */ + case 1126: value = 1075; break; /* stuminlh --> lduminlh. */ + case 1127: value = 1078; break; /* stuminl --> lduminl. */ + case 1129: value = 1128; break; /* mov --> movn. */ + case 1131: value = 1130; break; /* mov --> movz. */ + case 1169: value = 1168; break; /* autibsp --> autibz. */ + case 1168: value = 1167; break; /* autibz --> autiasp. */ + case 1167: value = 1166; break; /* autiasp --> autiaz. */ + case 1166: value = 1165; break; /* autiaz --> pacibsp. */ + case 1165: value = 1164; break; /* pacibsp --> pacibz. */ + case 1164: value = 1163; break; /* pacibz --> paciasp. */ + case 1163: value = 1162; break; /* paciasp --> paciaz. */ + case 1162: value = 1149; break; /* paciaz --> psb. */ + case 1149: value = 1148; break; /* psb --> esb. */ + case 1148: value = 1147; break; /* esb --> autib1716. */ + case 1147: value = 1146; break; /* autib1716 --> autia1716. */ + case 1146: value = 1145; break; /* autia1716 --> pacib1716. */ + case 1145: value = 1144; break; /* pacib1716 --> pacia1716. */ + case 1144: value = 1143; break; /* pacia1716 --> xpaclri. */ + case 1143: value = 1142; break; /* xpaclri --> sevl. */ + case 1142: value = 1141; break; /* sevl --> sev. */ + case 1141: value = 1140; break; /* sev --> wfi. */ + case 1140: value = 1139; break; /* wfi --> wfe. */ + case 1139: value = 1138; break; /* wfe --> yield. */ + case 1138: value = 1137; break; /* yield --> nop. */ + case 1137: value = 1136; break; /* nop --> hint. */ + case 1158: value = 1157; break; /* tlbi --> ic. */ + case 1157: value = 1156; break; /* ic --> dc. */ + case 1156: value = 1155; break; /* dc --> at. */ + case 1155: value = 1154; break; /* at --> sys. */ + case 1912: value = 1217; break; /* bic --> and. */ + case 1200: value = 1219; break; /* mov --> and. */ + case 1204: value = 1220; break; /* movs --> ands. */ + case 1913: value = 1255; break; /* cmple --> cmpge. */ + case 1916: value = 1258; break; /* cmplt --> cmpgt. */ + case 1914: value = 1260; break; /* cmplo --> cmphi. */ + case 1915: value = 1263; break; /* cmpls --> cmphs. */ + case 1197: value = 1285; break; /* mov --> cpy. */ + case 1199: value = 1286; break; /* mov --> cpy. */ + case 1923: value = 1202; break; /* fmov --> mov. */ + case 1202: value = 1287; break; /* mov --> cpy. */ + case 1192: value = 1299; break; /* mov --> dup. */ + case 1194: value = 1191; break; /* mov --> mov. */ + case 1191: value = 1300; break; /* mov --> dup. */ + case 1922: value = 1196; break; /* fmov --> mov. */ + case 1196: value = 1301; break; /* mov --> dup. */ + case 1195: value = 1302; break; /* mov --> dupm. */ + case 1917: value = 1304; break; /* eon --> eor. */ + case 1205: value = 1306; break; /* not --> eor. */ + case 1206: value = 1307; break; /* nots --> eors. */ + case 1918: value = 1312; break; /* facle --> facge. */ + case 1919: value = 1313; break; /* faclt --> facgt. */ + case 1920: value = 1322; break; /* fcmle --> fcmge. */ + case 1921: value = 1324; break; /* fcmlt --> fcmgt. */ + case 1189: value = 1330; break; /* fmov --> fcpy. */ + case 1188: value = 1347; break; /* fmov --> fdup. */ + case 1190: value = 1645; break; /* mov --> orr. */ + case 1924: value = 1646; break; /* orn --> orr. */ + case 1193: value = 1648; break; /* mov --> orr. */ + case 1203: value = 1649; break; /* movs --> orrs. */ + case 1198: value = 1705; break; /* mov --> sel. */ + case 1201: value = 1706; break; /* mov --> sel. */ default: return NULL; } diff --git a/opcodes/aarch64-opc-2.c b/opcodes/aarch64-opc-2.c index 5c6ac65828..ea44cfeb15 100644 --- a/opcodes/aarch64-opc-2.c +++ b/opcodes/aarch64-opc-2.c @@ -208,48 +208,48 @@ const struct aarch64_operand aarch64_operands[] = static const unsigned op_enum_table [] = { 0, - 828, - 829, - 830, - 833, - 834, - 835, - 836, - 837, - 831, - 832, - 838, - 839, - 861, - 862, - 863, - 866, - 867, - 868, - 869, - 870, - 864, - 865, - 871, - 872, - 915, - 916, - 917, - 918, + 846, + 847, + 848, + 851, + 852, + 853, + 854, + 855, + 849, + 850, + 856, + 857, + 879, + 880, + 881, + 884, + 885, + 886, + 887, + 888, + 882, + 883, + 889, + 890, + 933, + 934, + 935, + 936, 12, 627, 628, - 1110, - 1112, - 1114, - 922, - 1113, - 1111, + 1128, + 1130, + 1132, + 940, + 1131, + 1129, 311, 615, 626, 625, - 920, + 938, 622, 619, 611, @@ -259,34 +259,34 @@ static const unsigned op_enum_table [] = 621, 623, 624, - 930, + 948, 643, 646, 649, 644, 647, - 772, + 790, 171, 172, 173, 174, 507, - 713, + 731, 380, 382, 404, 406, - 1175, - 1180, - 1173, - 1172, - 1176, - 1183, - 1185, - 1186, - 1182, - 1188, - 1187, + 1193, + 1198, + 1191, + 1190, + 1194, + 1201, + 1203, + 1204, + 1200, + 1206, + 1205, }; /* Given the opcode enumerator OP, return the pointer to the corresponding diff --git a/opcodes/aarch64-tbl.h b/opcodes/aarch64-tbl.h index 02b0a1a570..97522d64da 100644 --- a/opcodes/aarch64-tbl.h +++ b/opcodes/aarch64-tbl.h @@ -2665,6 +2665,24 @@ struct aarch64_opcode aarch64_opcode_table[] = CORE_INSN ("clz", 0x5ac01000, 0x7ffffc00, dp_1src, 0, OP2 (Rd, Rn), QL_I2SAME, F_SF), CORE_INSN ("cls", 0x5ac01400, 0x7ffffc00, dp_1src, 0, OP2 (Rd, Rn), QL_I2SAME, F_SF), CORE_INSN ("rev32", 0xdac00800, 0xfffffc00, dp_1src, 0, OP2 (Rd, Rn), QL_I2SAMEX, 0), + V8_3_INSN ("pacia", 0xdac10000, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("pacib", 0xdac10400, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("pacda", 0xdac10800, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("pacdb", 0xdac10c00, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("autia", 0xdac11000, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("autib", 0xdac11400, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("autda", 0xdac11800, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("autdb", 0xdac11c00, 0xfffffc00, dp_1src, OP2 (Rd, Rn_SP), QL_I2SAMEX, 0), + V8_3_INSN ("paciza", 0xdac123e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("pacizb", 0xdac127e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("pacdza", 0xdac12be0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("pacdzb", 0xdac12fe0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("autiza", 0xdac133e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("autizb", 0xdac137e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("autdza", 0xdac13be0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("autdzb", 0xdac13fe0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("xpaci", 0xdac143e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), + V8_3_INSN ("xpacd", 0xdac147e0, 0xffffffe0, dp_1src, OP1 (Rd), QL_I1X, 0), /* Data-processing (2 source). */ CORE_INSN ("udiv", 0x1ac00800, 0x7fe0fc00, dp_2src, 0, OP3 (Rd, Rn, Rm), QL_I3SAMER, F_SF), CORE_INSN ("sdiv", 0x1ac00c00, 0x7fe0fc00, dp_2src, 0, OP3 (Rd, Rn, Rm), QL_I3SAMER, F_SF),