mv643xx_eth: shorten shared platform driver name
[deliverable/linux.git] / drivers / net / mv643xx_eth.c
CommitLineData
1da177e4 1/*
9c1bbdfe 2 * Driver for Marvell Discovery (MV643XX) and Marvell Orion ethernet ports
1da177e4
LT
3 * Copyright (C) 2002 Matthew Dharm <mdharm@momenco.com>
4 *
5 * Based on the 64360 driver from:
4547fa61
LB
6 * Copyright (C) 2002 Rabeeh Khoury <rabeeh@galileo.co.il>
7 * Rabeeh Khoury <rabeeh@marvell.com>
1da177e4
LT
8 *
9 * Copyright (C) 2003 PMC-Sierra, Inc.,
3bb8a18a 10 * written by Manish Lachwani
1da177e4
LT
11 *
12 * Copyright (C) 2003 Ralf Baechle <ralf@linux-mips.org>
13 *
c8aaea25 14 * Copyright (C) 2004-2006 MontaVista Software, Inc.
1da177e4
LT
15 * Dale Farnsworth <dale@farnsworth.org>
16 *
17 * Copyright (C) 2004 Steven J. Hill <sjhill1@rockwellcollins.com>
18 * <sjhill@realitydiluted.com>
19 *
4547fa61
LB
20 * Copyright (C) 2007-2008 Marvell Semiconductor
21 * Lennert Buytenhek <buytenh@marvell.com>
22 *
1da177e4
LT
23 * This program is free software; you can redistribute it and/or
24 * modify it under the terms of the GNU General Public License
25 * as published by the Free Software Foundation; either version 2
26 * of the License, or (at your option) any later version.
27 *
28 * This program is distributed in the hope that it will be useful,
29 * but WITHOUT ANY WARRANTY; without even the implied warranty of
30 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
31 * GNU General Public License for more details.
32 *
33 * You should have received a copy of the GNU General Public License
34 * along with this program; if not, write to the Free Software
35 * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
36 */
37#include <linux/init.h>
38#include <linux/dma-mapping.h>
b6298c22
AV
39#include <linux/in.h>
40#include <linux/ip.h>
1da177e4
LT
41#include <linux/tcp.h>
42#include <linux/udp.h>
43#include <linux/etherdevice.h>
44
45#include <linux/bitops.h>
46#include <linux/delay.h>
47#include <linux/ethtool.h>
d052d1be
RK
48#include <linux/platform_device.h>
49
fbd6a754
LB
50#include <linux/module.h>
51#include <linux/kernel.h>
52#include <linux/spinlock.h>
53#include <linux/workqueue.h>
54#include <linux/mii.h>
55
56#include <linux/mv643xx_eth.h>
57
1da177e4
LT
58#include <asm/io.h>
59#include <asm/types.h>
60#include <asm/pgtable.h>
61#include <asm/system.h>
62#include <asm/delay.h>
fbd6a754
LB
63#include <asm/dma-mapping.h>
64
fbd6a754
LB
65#define MV643XX_CHECKSUM_OFFLOAD_TX
66#define MV643XX_NAPI
67#define MV643XX_TX_FAST_REFILL
68#undef MV643XX_COAL
69
fbd6a754
LB
70#define MV643XX_TX_COAL 100
71#ifdef MV643XX_COAL
72#define MV643XX_RX_COAL 100
73#endif
74
75#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
76#define MAX_DESCS_PER_SKB (MAX_SKB_FRAGS + 1)
77#else
78#define MAX_DESCS_PER_SKB 1
79#endif
80
81#define ETH_VLAN_HLEN 4
82#define ETH_FCS_LEN 4
83#define ETH_HW_IP_ALIGN 2 /* hw aligns IP header */
84#define ETH_WRAPPER_LEN (ETH_HW_IP_ALIGN + ETH_HLEN + \
85 ETH_VLAN_HLEN + ETH_FCS_LEN)
86#define ETH_RX_SKB_SIZE (dev->mtu + ETH_WRAPPER_LEN + \
87 dma_get_cache_alignment())
88
89/*
90 * Registers shared between all ports.
91 */
92#define PHY_ADDR_REG 0x0000
93#define SMI_REG 0x0004
f2ce825d
LB
94#define WINDOW_BASE(i) (0x0200 + ((i) << 3))
95#define WINDOW_SIZE(i) (0x0204 + ((i) << 3))
96#define WINDOW_REMAP_HIGH(i) (0x0280 + ((i) << 2))
97#define WINDOW_BAR_ENABLE 0x0290
98#define WINDOW_PROTECT(i) (0x0294 + ((i) << 4))
fbd6a754
LB
99
100/*
101 * Per-port registers.
102 */
103#define PORT_CONFIG_REG(p) (0x0400 + ((p) << 10))
104#define PORT_CONFIG_EXTEND_REG(p) (0x0404 + ((p) << 10))
105#define MAC_ADDR_LOW(p) (0x0414 + ((p) << 10))
106#define MAC_ADDR_HIGH(p) (0x0418 + ((p) << 10))
107#define SDMA_CONFIG_REG(p) (0x041c + ((p) << 10))
108#define PORT_SERIAL_CONTROL_REG(p) (0x043c + ((p) << 10))
109#define PORT_STATUS_REG(p) (0x0444 + ((p) << 10))
110#define TRANSMIT_QUEUE_COMMAND_REG(p) (0x0448 + ((p) << 10))
111#define MAXIMUM_TRANSMIT_UNIT(p) (0x0458 + ((p) << 10))
112#define INTERRUPT_CAUSE_REG(p) (0x0460 + ((p) << 10))
113#define INTERRUPT_CAUSE_EXTEND_REG(p) (0x0464 + ((p) << 10))
114#define INTERRUPT_MASK_REG(p) (0x0468 + ((p) << 10))
115#define INTERRUPT_EXTEND_MASK_REG(p) (0x046c + ((p) << 10))
116#define TX_FIFO_URGENT_THRESHOLD_REG(p) (0x0474 + ((p) << 10))
117#define RX_CURRENT_QUEUE_DESC_PTR_0(p) (0x060c + ((p) << 10))
118#define RECEIVE_QUEUE_COMMAND_REG(p) (0x0680 + ((p) << 10))
119#define TX_CURRENT_QUEUE_DESC_PTR_0(p) (0x06c0 + ((p) << 10))
120#define MIB_COUNTERS_BASE(p) (0x1000 + ((p) << 7))
121#define DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(p) (0x1400 + ((p) << 10))
122#define DA_FILTER_OTHER_MULTICAST_TABLE_BASE(p) (0x1500 + ((p) << 10))
123#define DA_FILTER_UNICAST_TABLE_BASE(p) (0x1600 + ((p) << 10))
124
125/* These macros describe Ethernet Port configuration reg (Px_cR) bits */
126#define UNICAST_NORMAL_MODE (0 << 0)
127#define UNICAST_PROMISCUOUS_MODE (1 << 0)
128#define DEFAULT_RX_QUEUE(queue) ((queue) << 1)
129#define DEFAULT_RX_ARP_QUEUE(queue) ((queue) << 4)
130#define RECEIVE_BC_IF_NOT_IP_OR_ARP (0 << 7)
131#define REJECT_BC_IF_NOT_IP_OR_ARP (1 << 7)
132#define RECEIVE_BC_IF_IP (0 << 8)
133#define REJECT_BC_IF_IP (1 << 8)
134#define RECEIVE_BC_IF_ARP (0 << 9)
135#define REJECT_BC_IF_ARP (1 << 9)
136#define TX_AM_NO_UPDATE_ERROR_SUMMARY (1 << 12)
137#define CAPTURE_TCP_FRAMES_DIS (0 << 14)
138#define CAPTURE_TCP_FRAMES_EN (1 << 14)
139#define CAPTURE_UDP_FRAMES_DIS (0 << 15)
140#define CAPTURE_UDP_FRAMES_EN (1 << 15)
141#define DEFAULT_RX_TCP_QUEUE(queue) ((queue) << 16)
142#define DEFAULT_RX_UDP_QUEUE(queue) ((queue) << 19)
143#define DEFAULT_RX_BPDU_QUEUE(queue) ((queue) << 22)
144
145#define PORT_CONFIG_DEFAULT_VALUE \
146 UNICAST_NORMAL_MODE | \
147 DEFAULT_RX_QUEUE(0) | \
148 DEFAULT_RX_ARP_QUEUE(0) | \
149 RECEIVE_BC_IF_NOT_IP_OR_ARP | \
150 RECEIVE_BC_IF_IP | \
151 RECEIVE_BC_IF_ARP | \
152 CAPTURE_TCP_FRAMES_DIS | \
153 CAPTURE_UDP_FRAMES_DIS | \
154 DEFAULT_RX_TCP_QUEUE(0) | \
155 DEFAULT_RX_UDP_QUEUE(0) | \
156 DEFAULT_RX_BPDU_QUEUE(0)
157
158/* These macros describe Ethernet Port configuration extend reg (Px_cXR) bits*/
159#define CLASSIFY_EN (1 << 0)
160#define SPAN_BPDU_PACKETS_AS_NORMAL (0 << 1)
161#define SPAN_BPDU_PACKETS_TO_RX_QUEUE_7 (1 << 1)
162#define PARTITION_DISABLE (0 << 2)
163#define PARTITION_ENABLE (1 << 2)
164
165#define PORT_CONFIG_EXTEND_DEFAULT_VALUE \
166 SPAN_BPDU_PACKETS_AS_NORMAL | \
167 PARTITION_DISABLE
168
169/* These macros describe Ethernet Port Sdma configuration reg (SDCR) bits */
170#define RIFB (1 << 0)
171#define RX_BURST_SIZE_1_64BIT (0 << 1)
172#define RX_BURST_SIZE_2_64BIT (1 << 1)
173#define RX_BURST_SIZE_4_64BIT (2 << 1)
174#define RX_BURST_SIZE_8_64BIT (3 << 1)
175#define RX_BURST_SIZE_16_64BIT (4 << 1)
176#define BLM_RX_NO_SWAP (1 << 4)
177#define BLM_RX_BYTE_SWAP (0 << 4)
178#define BLM_TX_NO_SWAP (1 << 5)
179#define BLM_TX_BYTE_SWAP (0 << 5)
180#define DESCRIPTORS_BYTE_SWAP (1 << 6)
181#define DESCRIPTORS_NO_SWAP (0 << 6)
182#define IPG_INT_RX(value) (((value) & 0x3fff) << 8)
183#define TX_BURST_SIZE_1_64BIT (0 << 22)
184#define TX_BURST_SIZE_2_64BIT (1 << 22)
185#define TX_BURST_SIZE_4_64BIT (2 << 22)
186#define TX_BURST_SIZE_8_64BIT (3 << 22)
187#define TX_BURST_SIZE_16_64BIT (4 << 22)
188
189#if defined(__BIG_ENDIAN)
190#define PORT_SDMA_CONFIG_DEFAULT_VALUE \
191 RX_BURST_SIZE_4_64BIT | \
192 IPG_INT_RX(0) | \
193 TX_BURST_SIZE_4_64BIT
194#elif defined(__LITTLE_ENDIAN)
195#define PORT_SDMA_CONFIG_DEFAULT_VALUE \
196 RX_BURST_SIZE_4_64BIT | \
197 BLM_RX_NO_SWAP | \
198 BLM_TX_NO_SWAP | \
199 IPG_INT_RX(0) | \
200 TX_BURST_SIZE_4_64BIT
201#else
202#error One of __BIG_ENDIAN or __LITTLE_ENDIAN must be defined
203#endif
204
205/* These macros describe Ethernet Port serial control reg (PSCR) bits */
206#define SERIAL_PORT_DISABLE (0 << 0)
207#define SERIAL_PORT_ENABLE (1 << 0)
208#define DO_NOT_FORCE_LINK_PASS (0 << 1)
209#define FORCE_LINK_PASS (1 << 1)
210#define ENABLE_AUTO_NEG_FOR_DUPLX (0 << 2)
211#define DISABLE_AUTO_NEG_FOR_DUPLX (1 << 2)
212#define ENABLE_AUTO_NEG_FOR_FLOW_CTRL (0 << 3)
213#define DISABLE_AUTO_NEG_FOR_FLOW_CTRL (1 << 3)
214#define ADV_NO_FLOW_CTRL (0 << 4)
215#define ADV_SYMMETRIC_FLOW_CTRL (1 << 4)
216#define FORCE_FC_MODE_NO_PAUSE_DIS_TX (0 << 5)
217#define FORCE_FC_MODE_TX_PAUSE_DIS (1 << 5)
218#define FORCE_BP_MODE_NO_JAM (0 << 7)
219#define FORCE_BP_MODE_JAM_TX (1 << 7)
220#define FORCE_BP_MODE_JAM_TX_ON_RX_ERR (2 << 7)
221#define SERIAL_PORT_CONTROL_RESERVED (1 << 9)
222#define FORCE_LINK_FAIL (0 << 10)
223#define DO_NOT_FORCE_LINK_FAIL (1 << 10)
224#define RETRANSMIT_16_ATTEMPTS (0 << 11)
225#define RETRANSMIT_FOREVER (1 << 11)
226#define ENABLE_AUTO_NEG_SPEED_GMII (0 << 13)
227#define DISABLE_AUTO_NEG_SPEED_GMII (1 << 13)
228#define DTE_ADV_0 (0 << 14)
229#define DTE_ADV_1 (1 << 14)
230#define DISABLE_AUTO_NEG_BYPASS (0 << 15)
231#define ENABLE_AUTO_NEG_BYPASS (1 << 15)
232#define AUTO_NEG_NO_CHANGE (0 << 16)
233#define RESTART_AUTO_NEG (1 << 16)
234#define MAX_RX_PACKET_1518BYTE (0 << 17)
235#define MAX_RX_PACKET_1522BYTE (1 << 17)
236#define MAX_RX_PACKET_1552BYTE (2 << 17)
237#define MAX_RX_PACKET_9022BYTE (3 << 17)
238#define MAX_RX_PACKET_9192BYTE (4 << 17)
239#define MAX_RX_PACKET_9700BYTE (5 << 17)
240#define MAX_RX_PACKET_MASK (7 << 17)
241#define CLR_EXT_LOOPBACK (0 << 20)
242#define SET_EXT_LOOPBACK (1 << 20)
243#define SET_HALF_DUPLEX_MODE (0 << 21)
244#define SET_FULL_DUPLEX_MODE (1 << 21)
245#define DISABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX (0 << 22)
246#define ENABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX (1 << 22)
247#define SET_GMII_SPEED_TO_10_100 (0 << 23)
248#define SET_GMII_SPEED_TO_1000 (1 << 23)
249#define SET_MII_SPEED_TO_10 (0 << 24)
250#define SET_MII_SPEED_TO_100 (1 << 24)
251
252#define PORT_SERIAL_CONTROL_DEFAULT_VALUE \
253 DO_NOT_FORCE_LINK_PASS | \
254 ENABLE_AUTO_NEG_FOR_DUPLX | \
255 DISABLE_AUTO_NEG_FOR_FLOW_CTRL | \
256 ADV_SYMMETRIC_FLOW_CTRL | \
257 FORCE_FC_MODE_NO_PAUSE_DIS_TX | \
258 FORCE_BP_MODE_NO_JAM | \
259 (1 << 9) /* reserved */ | \
260 DO_NOT_FORCE_LINK_FAIL | \
261 RETRANSMIT_16_ATTEMPTS | \
262 ENABLE_AUTO_NEG_SPEED_GMII | \
263 DTE_ADV_0 | \
264 DISABLE_AUTO_NEG_BYPASS | \
265 AUTO_NEG_NO_CHANGE | \
266 MAX_RX_PACKET_9700BYTE | \
267 CLR_EXT_LOOPBACK | \
268 SET_FULL_DUPLEX_MODE | \
269 ENABLE_FLOW_CTRL_TX_RX_IN_FULL_DUPLEX
270
271/* These macros describe Ethernet Serial Status reg (PSR) bits */
272#define PORT_STATUS_MODE_10_BIT (1 << 0)
273#define PORT_STATUS_LINK_UP (1 << 1)
274#define PORT_STATUS_FULL_DUPLEX (1 << 2)
275#define PORT_STATUS_FLOW_CONTROL (1 << 3)
276#define PORT_STATUS_GMII_1000 (1 << 4)
277#define PORT_STATUS_MII_100 (1 << 5)
278/* PSR bit 6 is undocumented */
279#define PORT_STATUS_TX_IN_PROGRESS (1 << 7)
280#define PORT_STATUS_AUTONEG_BYPASSED (1 << 8)
281#define PORT_STATUS_PARTITION (1 << 9)
282#define PORT_STATUS_TX_FIFO_EMPTY (1 << 10)
283/* PSR bits 11-31 are reserved */
284
285#define PORT_DEFAULT_TRANSMIT_QUEUE_SIZE 800
286#define PORT_DEFAULT_RECEIVE_QUEUE_SIZE 400
287
288#define DESC_SIZE 64
289
290#define ETH_RX_QUEUES_ENABLED (1 << 0) /* use only Q0 for receive */
291#define ETH_TX_QUEUES_ENABLED (1 << 0) /* use only Q0 for transmit */
292
293#define ETH_INT_CAUSE_RX_DONE (ETH_RX_QUEUES_ENABLED << 2)
294#define ETH_INT_CAUSE_RX_ERROR (ETH_RX_QUEUES_ENABLED << 9)
295#define ETH_INT_CAUSE_RX (ETH_INT_CAUSE_RX_DONE | ETH_INT_CAUSE_RX_ERROR)
296#define ETH_INT_CAUSE_EXT 0x00000002
297#define ETH_INT_UNMASK_ALL (ETH_INT_CAUSE_RX | ETH_INT_CAUSE_EXT)
298
299#define ETH_INT_CAUSE_TX_DONE (ETH_TX_QUEUES_ENABLED << 0)
300#define ETH_INT_CAUSE_TX_ERROR (ETH_TX_QUEUES_ENABLED << 8)
301#define ETH_INT_CAUSE_TX (ETH_INT_CAUSE_TX_DONE | ETH_INT_CAUSE_TX_ERROR)
302#define ETH_INT_CAUSE_PHY 0x00010000
303#define ETH_INT_CAUSE_STATE 0x00100000
304#define ETH_INT_UNMASK_ALL_EXT (ETH_INT_CAUSE_TX | ETH_INT_CAUSE_PHY | \
305 ETH_INT_CAUSE_STATE)
306
307#define ETH_INT_MASK_ALL 0x00000000
308#define ETH_INT_MASK_ALL_EXT 0x00000000
309
310#define PHY_WAIT_ITERATIONS 1000 /* 1000 iterations * 10uS = 10mS max */
311#define PHY_WAIT_MICRO_SECONDS 10
312
313/* Buffer offset from buffer pointer */
314#define RX_BUF_OFFSET 0x2
315
316/* Gigabit Ethernet Unit Global Registers */
317
318/* MIB Counters register definitions */
319#define ETH_MIB_GOOD_OCTETS_RECEIVED_LOW 0x0
320#define ETH_MIB_GOOD_OCTETS_RECEIVED_HIGH 0x4
321#define ETH_MIB_BAD_OCTETS_RECEIVED 0x8
322#define ETH_MIB_INTERNAL_MAC_TRANSMIT_ERR 0xc
323#define ETH_MIB_GOOD_FRAMES_RECEIVED 0x10
324#define ETH_MIB_BAD_FRAMES_RECEIVED 0x14
325#define ETH_MIB_BROADCAST_FRAMES_RECEIVED 0x18
326#define ETH_MIB_MULTICAST_FRAMES_RECEIVED 0x1c
327#define ETH_MIB_FRAMES_64_OCTETS 0x20
328#define ETH_MIB_FRAMES_65_TO_127_OCTETS 0x24
329#define ETH_MIB_FRAMES_128_TO_255_OCTETS 0x28
330#define ETH_MIB_FRAMES_256_TO_511_OCTETS 0x2c
331#define ETH_MIB_FRAMES_512_TO_1023_OCTETS 0x30
332#define ETH_MIB_FRAMES_1024_TO_MAX_OCTETS 0x34
333#define ETH_MIB_GOOD_OCTETS_SENT_LOW 0x38
334#define ETH_MIB_GOOD_OCTETS_SENT_HIGH 0x3c
335#define ETH_MIB_GOOD_FRAMES_SENT 0x40
336#define ETH_MIB_EXCESSIVE_COLLISION 0x44
337#define ETH_MIB_MULTICAST_FRAMES_SENT 0x48
338#define ETH_MIB_BROADCAST_FRAMES_SENT 0x4c
339#define ETH_MIB_UNREC_MAC_CONTROL_RECEIVED 0x50
340#define ETH_MIB_FC_SENT 0x54
341#define ETH_MIB_GOOD_FC_RECEIVED 0x58
342#define ETH_MIB_BAD_FC_RECEIVED 0x5c
343#define ETH_MIB_UNDERSIZE_RECEIVED 0x60
344#define ETH_MIB_FRAGMENTS_RECEIVED 0x64
345#define ETH_MIB_OVERSIZE_RECEIVED 0x68
346#define ETH_MIB_JABBER_RECEIVED 0x6c
347#define ETH_MIB_MAC_RECEIVE_ERROR 0x70
348#define ETH_MIB_BAD_CRC_EVENT 0x74
349#define ETH_MIB_COLLISION 0x78
350#define ETH_MIB_LATE_COLLISION 0x7c
351
352/* Port serial status reg (PSR) */
353#define ETH_INTERFACE_PCM 0x00000001
354#define ETH_LINK_IS_UP 0x00000002
355#define ETH_PORT_AT_FULL_DUPLEX 0x00000004
356#define ETH_RX_FLOW_CTRL_ENABLED 0x00000008
357#define ETH_GMII_SPEED_1000 0x00000010
358#define ETH_MII_SPEED_100 0x00000020
359#define ETH_TX_IN_PROGRESS 0x00000080
360#define ETH_BYPASS_ACTIVE 0x00000100
361#define ETH_PORT_AT_PARTITION_STATE 0x00000200
362#define ETH_PORT_TX_FIFO_EMPTY 0x00000400
363
364/* SMI reg */
365#define ETH_SMI_BUSY 0x10000000 /* 0 - Write, 1 - Read */
366#define ETH_SMI_READ_VALID 0x08000000 /* 0 - Write, 1 - Read */
367#define ETH_SMI_OPCODE_WRITE 0 /* Completion of Read */
368#define ETH_SMI_OPCODE_READ 0x04000000 /* Operation is in progress */
369
370/* Interrupt Cause Register Bit Definitions */
371
372/* SDMA command status fields macros */
373
374/* Tx & Rx descriptors status */
375#define ETH_ERROR_SUMMARY 0x00000001
376
377/* Tx & Rx descriptors command */
378#define ETH_BUFFER_OWNED_BY_DMA 0x80000000
379
380/* Tx descriptors status */
381#define ETH_LC_ERROR 0
382#define ETH_UR_ERROR 0x00000002
383#define ETH_RL_ERROR 0x00000004
384#define ETH_LLC_SNAP_FORMAT 0x00000200
385
386/* Rx descriptors status */
387#define ETH_OVERRUN_ERROR 0x00000002
388#define ETH_MAX_FRAME_LENGTH_ERROR 0x00000004
389#define ETH_RESOURCE_ERROR 0x00000006
390#define ETH_VLAN_TAGGED 0x00080000
391#define ETH_BPDU_FRAME 0x00100000
392#define ETH_UDP_FRAME_OVER_IP_V_4 0x00200000
393#define ETH_OTHER_FRAME_TYPE 0x00400000
394#define ETH_LAYER_2_IS_ETH_V_2 0x00800000
395#define ETH_FRAME_TYPE_IP_V_4 0x01000000
396#define ETH_FRAME_HEADER_OK 0x02000000
397#define ETH_RX_LAST_DESC 0x04000000
398#define ETH_RX_FIRST_DESC 0x08000000
399#define ETH_UNKNOWN_DESTINATION_ADDR 0x10000000
400#define ETH_RX_ENABLE_INTERRUPT 0x20000000
401#define ETH_LAYER_4_CHECKSUM_OK 0x40000000
402
403/* Rx descriptors byte count */
404#define ETH_FRAME_FRAGMENTED 0x00000004
405
406/* Tx descriptors command */
407#define ETH_LAYER_4_CHECKSUM_FIRST_DESC 0x00000400
408#define ETH_FRAME_SET_TO_VLAN 0x00008000
409#define ETH_UDP_FRAME 0x00010000
410#define ETH_GEN_TCP_UDP_CHECKSUM 0x00020000
411#define ETH_GEN_IP_V_4_CHECKSUM 0x00040000
412#define ETH_ZERO_PADDING 0x00080000
413#define ETH_TX_LAST_DESC 0x00100000
414#define ETH_TX_FIRST_DESC 0x00200000
415#define ETH_GEN_CRC 0x00400000
416#define ETH_TX_ENABLE_INTERRUPT 0x00800000
417#define ETH_AUTO_MODE 0x40000000
418
419#define ETH_TX_IHL_SHIFT 11
420
421/* typedefs */
422
423typedef enum _eth_func_ret_status {
424 ETH_OK, /* Returned as expected. */
425 ETH_ERROR, /* Fundamental error. */
426 ETH_RETRY, /* Could not process request. Try later.*/
427 ETH_END_OF_JOB, /* Ring has nothing to process. */
428 ETH_QUEUE_FULL, /* Ring resource error. */
429 ETH_QUEUE_LAST_RESOURCE /* Ring resources about to exhaust. */
430} ETH_FUNC_RET_STATUS;
431
fbd6a754
LB
432/* These are for big-endian machines. Little endian needs different
433 * definitions.
434 */
435#if defined(__BIG_ENDIAN)
436struct eth_rx_desc {
437 u16 byte_cnt; /* Descriptor buffer byte count */
438 u16 buf_size; /* Buffer size */
439 u32 cmd_sts; /* Descriptor command status */
440 u32 next_desc_ptr; /* Next descriptor pointer */
441 u32 buf_ptr; /* Descriptor buffer pointer */
442};
443
444struct eth_tx_desc {
445 u16 byte_cnt; /* buffer byte count */
446 u16 l4i_chk; /* CPU provided TCP checksum */
447 u32 cmd_sts; /* Command/status field */
448 u32 next_desc_ptr; /* Pointer to next descriptor */
449 u32 buf_ptr; /* pointer to buffer for this descriptor*/
450};
451#elif defined(__LITTLE_ENDIAN)
452struct eth_rx_desc {
453 u32 cmd_sts; /* Descriptor command status */
454 u16 buf_size; /* Buffer size */
455 u16 byte_cnt; /* Descriptor buffer byte count */
456 u32 buf_ptr; /* Descriptor buffer pointer */
457 u32 next_desc_ptr; /* Next descriptor pointer */
458};
459
460struct eth_tx_desc {
461 u32 cmd_sts; /* Command/status field */
462 u16 l4i_chk; /* CPU provided TCP checksum */
463 u16 byte_cnt; /* buffer byte count */
464 u32 buf_ptr; /* pointer to buffer for this descriptor*/
465 u32 next_desc_ptr; /* Pointer to next descriptor */
466};
467#else
468#error One of __BIG_ENDIAN or __LITTLE_ENDIAN must be defined
469#endif
470
471/* Unified struct for Rx and Tx operations. The user is not required to */
472/* be familier with neither Tx nor Rx descriptors. */
473struct pkt_info {
474 unsigned short byte_cnt; /* Descriptor buffer byte count */
475 unsigned short l4i_chk; /* Tx CPU provided TCP Checksum */
476 unsigned int cmd_sts; /* Descriptor command status */
477 dma_addr_t buf_ptr; /* Descriptor buffer pointer */
478 struct sk_buff *return_info; /* User resource return information */
479};
480
481/* Ethernet port specific information */
482struct mv643xx_mib_counters {
483 u64 good_octets_received;
484 u32 bad_octets_received;
485 u32 internal_mac_transmit_err;
486 u32 good_frames_received;
487 u32 bad_frames_received;
488 u32 broadcast_frames_received;
489 u32 multicast_frames_received;
490 u32 frames_64_octets;
491 u32 frames_65_to_127_octets;
492 u32 frames_128_to_255_octets;
493 u32 frames_256_to_511_octets;
494 u32 frames_512_to_1023_octets;
495 u32 frames_1024_to_max_octets;
496 u64 good_octets_sent;
497 u32 good_frames_sent;
498 u32 excessive_collision;
499 u32 multicast_frames_sent;
500 u32 broadcast_frames_sent;
501 u32 unrec_mac_control_received;
502 u32 fc_sent;
503 u32 good_fc_received;
504 u32 bad_fc_received;
505 u32 undersize_received;
506 u32 fragments_received;
507 u32 oversize_received;
508 u32 jabber_received;
509 u32 mac_receive_error;
510 u32 bad_crc_event;
511 u32 collision;
512 u32 late_collision;
513};
514
fa3959f4
LB
515struct mv643xx_shared_private {
516 void __iomem *eth_base;
517
518 /* used to protect SMI_REG, which is shared across ports */
519 spinlock_t phy_lock;
f2ce825d
LB
520
521 u32 win_protect;
c416a41f
LB
522
523 unsigned int t_clk;
fa3959f4
LB
524};
525
fbd6a754 526struct mv643xx_private {
fa3959f4 527 struct mv643xx_shared_private *shared;
fbd6a754
LB
528 int port_num; /* User Ethernet port number */
529
530 u32 rx_sram_addr; /* Base address of rx sram area */
531 u32 rx_sram_size; /* Size of rx sram area */
532 u32 tx_sram_addr; /* Base address of tx sram area */
533 u32 tx_sram_size; /* Size of tx sram area */
534
535 int rx_resource_err; /* Rx ring resource error flag */
536
537 /* Tx/Rx rings managment indexes fields. For driver use */
538
539 /* Next available and first returning Rx resource */
540 int rx_curr_desc_q, rx_used_desc_q;
541
542 /* Next available and first returning Tx resource */
543 int tx_curr_desc_q, tx_used_desc_q;
544
545#ifdef MV643XX_TX_FAST_REFILL
546 u32 tx_clean_threshold;
547#endif
548
549 struct eth_rx_desc *p_rx_desc_area;
550 dma_addr_t rx_desc_dma;
551 int rx_desc_area_size;
552 struct sk_buff **rx_skb;
553
554 struct eth_tx_desc *p_tx_desc_area;
555 dma_addr_t tx_desc_dma;
556 int tx_desc_area_size;
557 struct sk_buff **tx_skb;
558
559 struct work_struct tx_timeout_task;
560
561 struct net_device *dev;
562 struct napi_struct napi;
563 struct net_device_stats stats;
564 struct mv643xx_mib_counters mib_counters;
565 spinlock_t lock;
566 /* Size of Tx Ring per queue */
567 int tx_ring_size;
568 /* Number of tx descriptors in use */
569 int tx_desc_count;
570 /* Size of Rx Ring per queue */
571 int rx_ring_size;
572 /* Number of rx descriptors in use */
573 int rx_desc_count;
574
575 /*
576 * Used in case RX Ring is empty, which can be caused when
577 * system does not have resources (skb's)
578 */
579 struct timer_list timeout;
580
581 u32 rx_int_coal;
582 u32 tx_int_coal;
583 struct mii_if_info mii;
584};
1da177e4 585
1da177e4 586/* Static function declarations */
fbd6a754 587static void eth_port_init(struct mv643xx_private *mp);
afdb57a2 588static void eth_port_reset(struct mv643xx_private *mp);
fbd6a754
LB
589static void eth_port_start(struct net_device *dev);
590
afdb57a2 591static void ethernet_phy_reset(struct mv643xx_private *mp);
fbd6a754 592
afdb57a2 593static void eth_port_write_smi_reg(struct mv643xx_private *mp,
fbd6a754
LB
594 unsigned int phy_reg, unsigned int value);
595
afdb57a2 596static void eth_port_read_smi_reg(struct mv643xx_private *mp,
fbd6a754
LB
597 unsigned int phy_reg, unsigned int *value);
598
afdb57a2 599static void eth_clear_mib_counters(struct mv643xx_private *mp);
fbd6a754
LB
600
601static ETH_FUNC_RET_STATUS eth_port_receive(struct mv643xx_private *mp,
602 struct pkt_info *p_pkt_info);
603static ETH_FUNC_RET_STATUS eth_rx_return_buff(struct mv643xx_private *mp,
604 struct pkt_info *p_pkt_info);
605
afdb57a2
LB
606static void eth_port_uc_addr_get(struct mv643xx_private *mp,
607 unsigned char *p_addr);
608static void eth_port_uc_addr_set(struct mv643xx_private *mp,
609 unsigned char *p_addr);
16e03018 610static void eth_port_set_multicast_list(struct net_device *);
afdb57a2 611static void mv643xx_eth_port_enable_tx(struct mv643xx_private *mp,
12a87c64 612 unsigned int queues);
afdb57a2 613static void mv643xx_eth_port_enable_rx(struct mv643xx_private *mp,
12a87c64 614 unsigned int queues);
afdb57a2
LB
615static unsigned int mv643xx_eth_port_disable_tx(struct mv643xx_private *mp);
616static unsigned int mv643xx_eth_port_disable_rx(struct mv643xx_private *mp);
ab4384a6
DF
617static int mv643xx_eth_open(struct net_device *);
618static int mv643xx_eth_stop(struct net_device *);
afdb57a2 619static void eth_port_init_mac_tables(struct mv643xx_private *mp);
1da177e4 620#ifdef MV643XX_NAPI
bea3348e 621static int mv643xx_poll(struct napi_struct *napi, int budget);
1da177e4 622#endif
afdb57a2
LB
623static int ethernet_phy_get(struct mv643xx_private *mp);
624static void ethernet_phy_set(struct mv643xx_private *mp, int phy_addr);
625static int ethernet_phy_detect(struct mv643xx_private *mp);
c28a4f89
JC
626static int mv643xx_mdio_read(struct net_device *dev, int phy_id, int location);
627static void mv643xx_mdio_write(struct net_device *dev, int phy_id, int location, int val);
d0412d96 628static int mv643xx_eth_do_ioctl(struct net_device *dev, struct ifreq *ifr, int cmd);
7282d491 629static const struct ethtool_ops mv643xx_ethtool_ops;
1da177e4
LT
630
631static char mv643xx_driver_name[] = "mv643xx_eth";
632static char mv643xx_driver_version[] = "1.0";
633
ec69d651 634static inline u32 rdl(struct mv643xx_private *mp, int offset)
1da177e4 635{
fa3959f4 636 return readl(mp->shared->eth_base + offset);
1da177e4
LT
637}
638
ec69d651 639static inline void wrl(struct mv643xx_private *mp, int offset, u32 data)
1da177e4 640{
fa3959f4 641 writel(data, mp->shared->eth_base + offset);
1da177e4
LT
642}
643
644/*
645 * Changes MTU (maximum transfer unit) of the gigabit ethenret port
646 *
647 * Input : pointer to ethernet interface network device structure
648 * new mtu size
649 * Output : 0 upon success, -EINVAL upon failure
650 */
651static int mv643xx_eth_change_mtu(struct net_device *dev, int new_mtu)
652{
8f518703 653 if ((new_mtu > 9500) || (new_mtu < 64))
1da177e4 654 return -EINVAL;
1da177e4
LT
655
656 dev->mtu = new_mtu;
c0d0f2ca
LB
657 if (!netif_running(dev))
658 return 0;
659
1da177e4 660 /*
c0d0f2ca
LB
661 * Stop and then re-open the interface. This will allocate RX
662 * skbs of the new MTU.
663 * There is a possible danger that the open will not succeed,
664 * due to memory being full, which might fail the open function.
1da177e4 665 */
c0d0f2ca
LB
666 mv643xx_eth_stop(dev);
667 if (mv643xx_eth_open(dev)) {
668 printk(KERN_ERR "%s: Fatal error on opening device\n",
669 dev->name);
1da177e4
LT
670 }
671
1da177e4
LT
672 return 0;
673}
674
675/*
f78fb474 676 * mv643xx_eth_rx_refill_descs
1da177e4
LT
677 *
678 * Fills / refills RX queue on a certain gigabit ethernet port
679 *
680 * Input : pointer to ethernet interface network device structure
681 * Output : N/A
682 */
f78fb474 683static void mv643xx_eth_rx_refill_descs(struct net_device *dev)
1da177e4 684{
1da177e4
LT
685 struct mv643xx_private *mp = netdev_priv(dev);
686 struct pkt_info pkt_info;
687 struct sk_buff *skb;
b44cd572 688 int unaligned;
1da177e4 689
f78fb474 690 while (mp->rx_desc_count < mp->rx_ring_size) {
908b637f 691 skb = dev_alloc_skb(ETH_RX_SKB_SIZE + dma_get_cache_alignment());
1da177e4
LT
692 if (!skb)
693 break;
f98e36f1 694 mp->rx_desc_count++;
908b637f 695 unaligned = (u32)skb->data & (dma_get_cache_alignment() - 1);
b44cd572 696 if (unaligned)
908b637f 697 skb_reserve(skb, dma_get_cache_alignment() - unaligned);
1da177e4 698 pkt_info.cmd_sts = ETH_RX_ENABLE_INTERRUPT;
7303fde8
DF
699 pkt_info.byte_cnt = ETH_RX_SKB_SIZE;
700 pkt_info.buf_ptr = dma_map_single(NULL, skb->data,
701 ETH_RX_SKB_SIZE, DMA_FROM_DEVICE);
1da177e4
LT
702 pkt_info.return_info = skb;
703 if (eth_rx_return_buff(mp, &pkt_info) != ETH_OK) {
704 printk(KERN_ERR
705 "%s: Error allocating RX Ring\n", dev->name);
706 break;
707 }
7303fde8 708 skb_reserve(skb, ETH_HW_IP_ALIGN);
1da177e4 709 }
1da177e4
LT
710 /*
711 * If RX ring is empty of SKB, set a timer to try allocating
f78fb474 712 * again at a later time.
1da177e4 713 */
f78fb474 714 if (mp->rx_desc_count == 0) {
1da177e4 715 printk(KERN_INFO "%s: Rx ring is empty\n", dev->name);
f78fb474 716 mp->timeout.expires = jiffies + (HZ / 10); /* 100 mSec */
1da177e4 717 add_timer(&mp->timeout);
1da177e4 718 }
1da177e4
LT
719}
720
721/*
f78fb474 722 * mv643xx_eth_rx_refill_descs_timer_wrapper
1da177e4
LT
723 *
724 * Timer routine to wake up RX queue filling task. This function is
725 * used only in case the RX queue is empty, and all alloc_skb has
726 * failed (due to out of memory event).
727 *
728 * Input : pointer to ethernet interface network device structure
729 * Output : N/A
730 */
f78fb474 731static inline void mv643xx_eth_rx_refill_descs_timer_wrapper(unsigned long data)
1da177e4 732{
f78fb474 733 mv643xx_eth_rx_refill_descs((struct net_device *)data);
1da177e4
LT
734}
735
736/*
737 * mv643xx_eth_update_mac_address
738 *
739 * Update the MAC address of the port in the address table
740 *
741 * Input : pointer to ethernet interface network device structure
742 * Output : N/A
743 */
744static void mv643xx_eth_update_mac_address(struct net_device *dev)
745{
746 struct mv643xx_private *mp = netdev_priv(dev);
1da177e4 747
afdb57a2
LB
748 eth_port_init_mac_tables(mp);
749 eth_port_uc_addr_set(mp, dev->dev_addr);
1da177e4
LT
750}
751
752/*
753 * mv643xx_eth_set_rx_mode
754 *
755 * Change from promiscuos to regular rx mode
756 *
757 * Input : pointer to ethernet interface network device structure
758 * Output : N/A
759 */
760static void mv643xx_eth_set_rx_mode(struct net_device *dev)
761{
762 struct mv643xx_private *mp = netdev_priv(dev);
01999873 763 u32 config_reg;
1da177e4 764
ec69d651 765 config_reg = rdl(mp, PORT_CONFIG_REG(mp->port_num));
1da177e4 766 if (dev->flags & IFF_PROMISC)
e4d00fa9 767 config_reg |= (u32) UNICAST_PROMISCUOUS_MODE;
1da177e4 768 else
e4d00fa9 769 config_reg &= ~(u32) UNICAST_PROMISCUOUS_MODE;
ec69d651 770 wrl(mp, PORT_CONFIG_REG(mp->port_num), config_reg);
16e03018
DF
771
772 eth_port_set_multicast_list(dev);
1da177e4
LT
773}
774
775/*
776 * mv643xx_eth_set_mac_address
777 *
778 * Change the interface's mac address.
779 * No special hardware thing should be done because interface is always
780 * put in promiscuous mode.
781 *
782 * Input : pointer to ethernet interface network device structure and
783 * a pointer to the designated entry to be added to the cache.
784 * Output : zero upon success, negative upon failure
785 */
786static int mv643xx_eth_set_mac_address(struct net_device *dev, void *addr)
787{
788 int i;
789
790 for (i = 0; i < 6; i++)
791 /* +2 is for the offset of the HW addr type */
792 dev->dev_addr[i] = ((unsigned char *)addr)[i + 2];
793 mv643xx_eth_update_mac_address(dev);
794 return 0;
795}
796
797/*
798 * mv643xx_eth_tx_timeout
799 *
800 * Called upon a timeout on transmitting a packet
801 *
802 * Input : pointer to ethernet interface network device structure.
803 * Output : N/A
804 */
805static void mv643xx_eth_tx_timeout(struct net_device *dev)
806{
807 struct mv643xx_private *mp = netdev_priv(dev);
808
809 printk(KERN_INFO "%s: TX timeout ", dev->name);
810
811 /* Do the reset outside of interrupt context */
812 schedule_work(&mp->tx_timeout_task);
813}
814
815/*
816 * mv643xx_eth_tx_timeout_task
817 *
818 * Actual routine to reset the adapter when a timeout on Tx has occurred
819 */
91c7c568 820static void mv643xx_eth_tx_timeout_task(struct work_struct *ugly)
1da177e4 821{
91c7c568
AV
822 struct mv643xx_private *mp = container_of(ugly, struct mv643xx_private,
823 tx_timeout_task);
c0d0f2ca 824 struct net_device *dev = mp->dev;
1da177e4 825
94843566
DF
826 if (!netif_running(dev))
827 return;
828
829 netif_stop_queue(dev);
830
afdb57a2 831 eth_port_reset(mp);
ed9b5d45 832 eth_port_start(dev);
94843566
DF
833
834 if (mp->tx_ring_size - mp->tx_desc_count >= MAX_DESCS_PER_SKB)
835 netif_wake_queue(dev);
1da177e4
LT
836}
837
ff561eef
DF
838/**
839 * mv643xx_eth_free_tx_descs - Free the tx desc data for completed descriptors
1da177e4 840 *
ff561eef 841 * If force is non-zero, frees uncompleted descriptors as well
1da177e4 842 */
c0d0f2ca 843static int mv643xx_eth_free_tx_descs(struct net_device *dev, int force)
1da177e4
LT
844{
845 struct mv643xx_private *mp = netdev_priv(dev);
ff561eef
DF
846 struct eth_tx_desc *desc;
847 u32 cmd_sts;
848 struct sk_buff *skb;
849 unsigned long flags;
850 int tx_index;
851 dma_addr_t addr;
852 int count;
853 int released = 0;
1da177e4 854
ff561eef
DF
855 while (mp->tx_desc_count > 0) {
856 spin_lock_irqsave(&mp->lock, flags);
d344bff9
DF
857
858 /* tx_desc_count might have changed before acquiring the lock */
859 if (mp->tx_desc_count <= 0) {
860 spin_unlock_irqrestore(&mp->lock, flags);
861 return released;
862 }
863
ff561eef
DF
864 tx_index = mp->tx_used_desc_q;
865 desc = &mp->p_tx_desc_area[tx_index];
866 cmd_sts = desc->cmd_sts;
867
868 if (!force && (cmd_sts & ETH_BUFFER_OWNED_BY_DMA)) {
869 spin_unlock_irqrestore(&mp->lock, flags);
870 return released;
871 }
872
873 mp->tx_used_desc_q = (tx_index + 1) % mp->tx_ring_size;
874 mp->tx_desc_count--;
875
876 addr = desc->buf_ptr;
877 count = desc->byte_cnt;
878 skb = mp->tx_skb[tx_index];
879 if (skb)
880 mp->tx_skb[tx_index] = NULL;
881
7303fde8 882 if (cmd_sts & ETH_ERROR_SUMMARY) {
1da177e4 883 printk("%s: Error in TX\n", dev->name);
09f75cd7 884 dev->stats.tx_errors++;
1da177e4
LT
885 }
886
d344bff9
DF
887 spin_unlock_irqrestore(&mp->lock, flags);
888
ff561eef
DF
889 if (cmd_sts & ETH_TX_FIRST_DESC)
890 dma_unmap_single(NULL, addr, count, DMA_TO_DEVICE);
cb415d30 891 else
ff561eef 892 dma_unmap_page(NULL, addr, count, DMA_TO_DEVICE);
1da177e4 893
ff561eef
DF
894 if (skb)
895 dev_kfree_skb_irq(skb);
896
897 released = 1;
1da177e4
LT
898 }
899
1da177e4
LT
900 return released;
901}
902
ff561eef
DF
903static void mv643xx_eth_free_completed_tx_descs(struct net_device *dev)
904{
905 struct mv643xx_private *mp = netdev_priv(dev);
906
907 if (mv643xx_eth_free_tx_descs(dev, 0) &&
908 mp->tx_ring_size - mp->tx_desc_count >= MAX_DESCS_PER_SKB)
909 netif_wake_queue(dev);
910}
911
912static void mv643xx_eth_free_all_tx_descs(struct net_device *dev)
913{
914 mv643xx_eth_free_tx_descs(dev, 1);
915}
916
1da177e4
LT
917/*
918 * mv643xx_eth_receive
919 *
920 * This function is forward packets that are received from the port's
921 * queues toward kernel core or FastRoute them to another interface.
922 *
923 * Input : dev - a pointer to the required interface
924 * max - maximum number to receive (0 means unlimted)
925 *
926 * Output : number of served packets
927 */
1da177e4 928static int mv643xx_eth_receive_queue(struct net_device *dev, int budget)
1da177e4
LT
929{
930 struct mv643xx_private *mp = netdev_priv(dev);
09f75cd7 931 struct net_device_stats *stats = &dev->stats;
1da177e4
LT
932 unsigned int received_packets = 0;
933 struct sk_buff *skb;
934 struct pkt_info pkt_info;
935
b1dd9ca1 936 while (budget-- > 0 && eth_port_receive(mp, &pkt_info) == ETH_OK) {
54caf44d 937 dma_unmap_single(NULL, pkt_info.buf_ptr, ETH_RX_SKB_SIZE,
71d28725 938 DMA_FROM_DEVICE);
f98e36f1 939 mp->rx_desc_count--;
1da177e4 940 received_packets++;
b1dd9ca1 941
468d09f8
DF
942 /*
943 * Update statistics.
944 * Note byte count includes 4 byte CRC count
945 */
1da177e4
LT
946 stats->rx_packets++;
947 stats->rx_bytes += pkt_info.byte_cnt;
948 skb = pkt_info.return_info;
949 /*
950 * In case received a packet without first / last bits on OR
951 * the error summary bit is on, the packets needs to be dropeed.
952 */
953 if (((pkt_info.cmd_sts
954 & (ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC)) !=
955 (ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC))
956 || (pkt_info.cmd_sts & ETH_ERROR_SUMMARY)) {
957 stats->rx_dropped++;
958 if ((pkt_info.cmd_sts & (ETH_RX_FIRST_DESC |
959 ETH_RX_LAST_DESC)) !=
960 (ETH_RX_FIRST_DESC | ETH_RX_LAST_DESC)) {
961 if (net_ratelimit())
962 printk(KERN_ERR
963 "%s: Received packet spread "
964 "on multiple descriptors\n",
965 dev->name);
966 }
967 if (pkt_info.cmd_sts & ETH_ERROR_SUMMARY)
968 stats->rx_errors++;
969
970 dev_kfree_skb_irq(skb);
971 } else {
972 /*
973 * The -4 is for the CRC in the trailer of the
974 * received packet
975 */
976 skb_put(skb, pkt_info.byte_cnt - 4);
1da177e4
LT
977
978 if (pkt_info.cmd_sts & ETH_LAYER_4_CHECKSUM_OK) {
979 skb->ip_summed = CHECKSUM_UNNECESSARY;
980 skb->csum = htons(
981 (pkt_info.cmd_sts & 0x0007fff8) >> 3);
982 }
983 skb->protocol = eth_type_trans(skb, dev);
984#ifdef MV643XX_NAPI
985 netif_receive_skb(skb);
986#else
987 netif_rx(skb);
988#endif
989 }
12ad74f8 990 dev->last_rx = jiffies;
1da177e4 991 }
f78fb474 992 mv643xx_eth_rx_refill_descs(dev); /* Fill RX ring with skb's */
1da177e4
LT
993
994 return received_packets;
995}
996
d0412d96
JC
997/* Set the mv643xx port configuration register for the speed/duplex mode. */
998static void mv643xx_eth_update_pscr(struct net_device *dev,
999 struct ethtool_cmd *ecmd)
1000{
1001 struct mv643xx_private *mp = netdev_priv(dev);
1002 int port_num = mp->port_num;
1003 u32 o_pscr, n_pscr;
12a87c64 1004 unsigned int queues;
d0412d96 1005
ec69d651 1006 o_pscr = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
d0412d96
JC
1007 n_pscr = o_pscr;
1008
1009 /* clear speed, duplex and rx buffer size fields */
e4d00fa9
LB
1010 n_pscr &= ~(SET_MII_SPEED_TO_100 |
1011 SET_GMII_SPEED_TO_1000 |
1012 SET_FULL_DUPLEX_MODE |
1013 MAX_RX_PACKET_MASK);
d0412d96
JC
1014
1015 if (ecmd->duplex == DUPLEX_FULL)
e4d00fa9 1016 n_pscr |= SET_FULL_DUPLEX_MODE;
d0412d96
JC
1017
1018 if (ecmd->speed == SPEED_1000)
e4d00fa9
LB
1019 n_pscr |= SET_GMII_SPEED_TO_1000 |
1020 MAX_RX_PACKET_9700BYTE;
d0412d96
JC
1021 else {
1022 if (ecmd->speed == SPEED_100)
e4d00fa9
LB
1023 n_pscr |= SET_MII_SPEED_TO_100;
1024 n_pscr |= MAX_RX_PACKET_1522BYTE;
d0412d96
JC
1025 }
1026
1027 if (n_pscr != o_pscr) {
e4d00fa9 1028 if ((o_pscr & SERIAL_PORT_ENABLE) == 0)
ec69d651 1029 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
d0412d96 1030 else {
afdb57a2 1031 queues = mv643xx_eth_port_disable_tx(mp);
d0412d96 1032
e4d00fa9 1033 o_pscr &= ~SERIAL_PORT_ENABLE;
ec69d651
LB
1034 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), o_pscr);
1035 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
1036 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), n_pscr);
12a87c64 1037 if (queues)
afdb57a2 1038 mv643xx_eth_port_enable_tx(mp, queues);
d0412d96
JC
1039 }
1040 }
1041}
1042
1da177e4
LT
1043/*
1044 * mv643xx_eth_int_handler
1045 *
1046 * Main interrupt handler for the gigbit ethernet ports
1047 *
1048 * Input : irq - irq number (not used)
1049 * dev_id - a pointer to the required interface's data structure
1050 * regs - not used
1051 * Output : N/A
1052 */
1053
7d12e780 1054static irqreturn_t mv643xx_eth_int_handler(int irq, void *dev_id)
1da177e4
LT
1055{
1056 struct net_device *dev = (struct net_device *)dev_id;
1057 struct mv643xx_private *mp = netdev_priv(dev);
1058 u32 eth_int_cause, eth_int_cause_ext = 0;
1059 unsigned int port_num = mp->port_num;
1060
1061 /* Read interrupt cause registers */
ec69d651 1062 eth_int_cause = rdl(mp, INTERRUPT_CAUSE_REG(port_num)) &
7303fde8 1063 ETH_INT_UNMASK_ALL;
468d09f8 1064 if (eth_int_cause & ETH_INT_CAUSE_EXT) {
ec69d651 1065 eth_int_cause_ext = rdl(mp,
e4d00fa9 1066 INTERRUPT_CAUSE_EXTEND_REG(port_num)) &
7303fde8 1067 ETH_INT_UNMASK_ALL_EXT;
ec69d651 1068 wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num),
468d09f8 1069 ~eth_int_cause_ext);
1da177e4 1070 }
7303fde8 1071
1da177e4 1072 /* PHY status changed */
2bcff60f 1073 if (eth_int_cause_ext & (ETH_INT_CAUSE_PHY | ETH_INT_CAUSE_STATE)) {
d0412d96
JC
1074 struct ethtool_cmd cmd;
1075
c28a4f89 1076 if (mii_link_ok(&mp->mii)) {
d0412d96
JC
1077 mii_ethtool_gset(&mp->mii, &cmd);
1078 mv643xx_eth_update_pscr(dev, &cmd);
afdb57a2 1079 mv643xx_eth_port_enable_tx(mp, ETH_TX_QUEUES_ENABLED);
c28a4f89
JC
1080 if (!netif_carrier_ok(dev)) {
1081 netif_carrier_on(dev);
ff561eef
DF
1082 if (mp->tx_ring_size - mp->tx_desc_count >=
1083 MAX_DESCS_PER_SKB)
d0412d96 1084 netif_wake_queue(dev);
c28a4f89
JC
1085 }
1086 } else if (netif_carrier_ok(dev)) {
1da177e4 1087 netif_stop_queue(dev);
c28a4f89 1088 netif_carrier_off(dev);
1da177e4
LT
1089 }
1090 }
1091
468d09f8
DF
1092#ifdef MV643XX_NAPI
1093 if (eth_int_cause & ETH_INT_CAUSE_RX) {
1094 /* schedule the NAPI poll routine to maintain port */
ec69d651 1095 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
e4d00fa9 1096
468d09f8 1097 /* wait for previous write to complete */
ec69d651 1098 rdl(mp, INTERRUPT_MASK_REG(port_num));
468d09f8 1099
bea3348e 1100 netif_rx_schedule(dev, &mp->napi);
468d09f8
DF
1101 }
1102#else
1103 if (eth_int_cause & ETH_INT_CAUSE_RX)
1104 mv643xx_eth_receive_queue(dev, INT_MAX);
5c537408 1105#endif
468d09f8
DF
1106 if (eth_int_cause_ext & ETH_INT_CAUSE_TX)
1107 mv643xx_eth_free_completed_tx_descs(dev);
468d09f8 1108
1da177e4
LT
1109 /*
1110 * If no real interrupt occured, exit.
1111 * This can happen when using gigE interrupt coalescing mechanism.
1112 */
1113 if ((eth_int_cause == 0x0) && (eth_int_cause_ext == 0x0))
1114 return IRQ_NONE;
1115
1116 return IRQ_HANDLED;
1117}
1118
1119#ifdef MV643XX_COAL
1120
1121/*
1122 * eth_port_set_rx_coal - Sets coalescing interrupt mechanism on RX path
1123 *
1124 * DESCRIPTION:
1125 * This routine sets the RX coalescing interrupt mechanism parameter.
1126 * This parameter is a timeout counter, that counts in 64 t_clk
1127 * chunks ; that when timeout event occurs a maskable interrupt
1128 * occurs.
1129 * The parameter is calculated using the tClk of the MV-643xx chip
1130 * , and the required delay of the interrupt in usec.
1131 *
1132 * INPUT:
afdb57a2 1133 * struct mv643xx_private *mp Ethernet port
1da177e4
LT
1134 * unsigned int delay Delay in usec
1135 *
1136 * OUTPUT:
1137 * Interrupt coalescing mechanism value is set in MV-643xx chip.
1138 *
1139 * RETURN:
1140 * The interrupt coalescing value set in the gigE port.
1141 *
1142 */
afdb57a2 1143static unsigned int eth_port_set_rx_coal(struct mv643xx_private *mp,
c416a41f 1144 unsigned int delay)
1da177e4 1145{
afdb57a2 1146 unsigned int port_num = mp->port_num;
c416a41f 1147 unsigned int coal = ((mp->shared->t_clk / 1000000) * delay) / 64;
1da177e4
LT
1148
1149 /* Set RX Coalescing mechanism */
ec69d651 1150 wrl(mp, SDMA_CONFIG_REG(port_num),
1da177e4 1151 ((coal & 0x3fff) << 8) |
ec69d651 1152 (rdl(mp, SDMA_CONFIG_REG(port_num))
1da177e4
LT
1153 & 0xffc000ff));
1154
1155 return coal;
1156}
1157#endif
1158
1159/*
1160 * eth_port_set_tx_coal - Sets coalescing interrupt mechanism on TX path
1161 *
1162 * DESCRIPTION:
1163 * This routine sets the TX coalescing interrupt mechanism parameter.
1164 * This parameter is a timeout counter, that counts in 64 t_clk
1165 * chunks ; that when timeout event occurs a maskable interrupt
1166 * occurs.
1167 * The parameter is calculated using the t_cLK frequency of the
1168 * MV-643xx chip and the required delay in the interrupt in uSec
1169 *
1170 * INPUT:
afdb57a2 1171 * struct mv643xx_private *mp Ethernet port
1da177e4
LT
1172 * unsigned int delay Delay in uSeconds
1173 *
1174 * OUTPUT:
1175 * Interrupt coalescing mechanism value is set in MV-643xx chip.
1176 *
1177 * RETURN:
1178 * The interrupt coalescing value set in the gigE port.
1179 *
1180 */
afdb57a2 1181static unsigned int eth_port_set_tx_coal(struct mv643xx_private *mp,
c416a41f 1182 unsigned int delay)
1da177e4 1183{
c416a41f 1184 unsigned int coal = ((mp->shared->t_clk / 1000000) * delay) / 64;
afdb57a2 1185
1da177e4 1186 /* Set TX Coalescing mechanism */
ec69d651 1187 wrl(mp, TX_FIFO_URGENT_THRESHOLD_REG(mp->port_num), coal << 4);
afdb57a2 1188
1da177e4
LT
1189 return coal;
1190}
1191
1da177e4
LT
1192/*
1193 * ether_init_rx_desc_ring - Curve a Rx chain desc list and buffer in memory.
1194 *
1195 * DESCRIPTION:
1196 * This function prepares a Rx chained list of descriptors and packet
1197 * buffers in a form of a ring. The routine must be called after port
1198 * initialization routine and before port start routine.
1199 * The Ethernet SDMA engine uses CPU bus addresses to access the various
1200 * devices in the system (i.e. DRAM). This function uses the ethernet
1201 * struct 'virtual to physical' routine (set by the user) to set the ring
1202 * with physical addresses.
1203 *
1204 * INPUT:
1205 * struct mv643xx_private *mp Ethernet Port Control srtuct.
1206 *
1207 * OUTPUT:
1208 * The routine updates the Ethernet port control struct with information
1209 * regarding the Rx descriptors and buffers.
1210 *
1211 * RETURN:
1212 * None.
1213 */
1214static void ether_init_rx_desc_ring(struct mv643xx_private *mp)
1215{
1216 volatile struct eth_rx_desc *p_rx_desc;
1217 int rx_desc_num = mp->rx_ring_size;
1218 int i;
1219
1220 /* initialize the next_desc_ptr links in the Rx descriptors ring */
1221 p_rx_desc = (struct eth_rx_desc *)mp->p_rx_desc_area;
1222 for (i = 0; i < rx_desc_num; i++) {
1223 p_rx_desc[i].next_desc_ptr = mp->rx_desc_dma +
1224 ((i + 1) % rx_desc_num) * sizeof(struct eth_rx_desc);
1225 }
1226
1227 /* Save Rx desc pointer to driver struct. */
1228 mp->rx_curr_desc_q = 0;
1229 mp->rx_used_desc_q = 0;
1230
1231 mp->rx_desc_area_size = rx_desc_num * sizeof(struct eth_rx_desc);
1da177e4
LT
1232}
1233
1234/*
1235 * ether_init_tx_desc_ring - Curve a Tx chain desc list and buffer in memory.
1236 *
1237 * DESCRIPTION:
1238 * This function prepares a Tx chained list of descriptors and packet
1239 * buffers in a form of a ring. The routine must be called after port
1240 * initialization routine and before port start routine.
1241 * The Ethernet SDMA engine uses CPU bus addresses to access the various
1242 * devices in the system (i.e. DRAM). This function uses the ethernet
1243 * struct 'virtual to physical' routine (set by the user) to set the ring
1244 * with physical addresses.
1245 *
1246 * INPUT:
1247 * struct mv643xx_private *mp Ethernet Port Control srtuct.
1248 *
1249 * OUTPUT:
1250 * The routine updates the Ethernet port control struct with information
1251 * regarding the Tx descriptors and buffers.
1252 *
1253 * RETURN:
1254 * None.
1255 */
1256static void ether_init_tx_desc_ring(struct mv643xx_private *mp)
1257{
1258 int tx_desc_num = mp->tx_ring_size;
1259 struct eth_tx_desc *p_tx_desc;
1260 int i;
1261
1262 /* Initialize the next_desc_ptr links in the Tx descriptors ring */
1263 p_tx_desc = (struct eth_tx_desc *)mp->p_tx_desc_area;
1264 for (i = 0; i < tx_desc_num; i++) {
1265 p_tx_desc[i].next_desc_ptr = mp->tx_desc_dma +
1266 ((i + 1) % tx_desc_num) * sizeof(struct eth_tx_desc);
1267 }
1268
1269 mp->tx_curr_desc_q = 0;
1270 mp->tx_used_desc_q = 0;
1da177e4
LT
1271
1272 mp->tx_desc_area_size = tx_desc_num * sizeof(struct eth_tx_desc);
1da177e4
LT
1273}
1274
d0412d96
JC
1275static int mv643xx_set_settings(struct net_device *dev, struct ethtool_cmd *cmd)
1276{
1277 struct mv643xx_private *mp = netdev_priv(dev);
1278 int err;
1279
1280 spin_lock_irq(&mp->lock);
1281 err = mii_ethtool_sset(&mp->mii, cmd);
1282 spin_unlock_irq(&mp->lock);
1283
1284 return err;
1285}
1286
1287static int mv643xx_get_settings(struct net_device *dev, struct ethtool_cmd *cmd)
1288{
1289 struct mv643xx_private *mp = netdev_priv(dev);
1290 int err;
1291
1292 spin_lock_irq(&mp->lock);
1293 err = mii_ethtool_gset(&mp->mii, cmd);
1294 spin_unlock_irq(&mp->lock);
1295
1296 /* The PHY may support 1000baseT_Half, but the mv643xx does not */
1297 cmd->supported &= ~SUPPORTED_1000baseT_Half;
1298 cmd->advertising &= ~ADVERTISED_1000baseT_Half;
1299
1300 return err;
1301}
1302
ab4384a6
DF
1303/*
1304 * mv643xx_eth_open
1305 *
1306 * This function is called when openning the network device. The function
1307 * should initialize all the hardware, initialize cyclic Rx/Tx
1308 * descriptors chain and buffers and allocate an IRQ to the network
1309 * device.
1310 *
1311 * Input : a pointer to the network device structure
1312 *
1313 * Output : zero of success , nonzero if fails.
1314 */
1315
1316static int mv643xx_eth_open(struct net_device *dev)
1da177e4
LT
1317{
1318 struct mv643xx_private *mp = netdev_priv(dev);
1319 unsigned int port_num = mp->port_num;
1320 unsigned int size;
ab4384a6
DF
1321 int err;
1322
85cf572c 1323 /* Clear any pending ethernet port interrupts */
ec69d651
LB
1324 wrl(mp, INTERRUPT_CAUSE_REG(port_num), 0);
1325 wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num), 0);
85cf572c 1326 /* wait for previous write to complete */
ec69d651 1327 rdl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num));
85cf572c 1328
ab4384a6 1329 err = request_irq(dev->irq, mv643xx_eth_int_handler,
1fb9df5d 1330 IRQF_SHARED | IRQF_SAMPLE_RANDOM, dev->name, dev);
ab4384a6 1331 if (err) {
c1b35a28 1332 printk(KERN_ERR "%s: Can not assign IRQ\n", dev->name);
ab4384a6
DF
1333 return -EAGAIN;
1334 }
1da177e4 1335
1da177e4
LT
1336 eth_port_init(mp);
1337
1da177e4 1338 memset(&mp->timeout, 0, sizeof(struct timer_list));
f78fb474 1339 mp->timeout.function = mv643xx_eth_rx_refill_descs_timer_wrapper;
1da177e4
LT
1340 mp->timeout.data = (unsigned long)dev;
1341
1da177e4
LT
1342 /* Allocate RX and TX skb rings */
1343 mp->rx_skb = kmalloc(sizeof(*mp->rx_skb) * mp->rx_ring_size,
1344 GFP_KERNEL);
1345 if (!mp->rx_skb) {
1346 printk(KERN_ERR "%s: Cannot allocate Rx skb ring\n", dev->name);
ab4384a6
DF
1347 err = -ENOMEM;
1348 goto out_free_irq;
1da177e4
LT
1349 }
1350 mp->tx_skb = kmalloc(sizeof(*mp->tx_skb) * mp->tx_ring_size,
1351 GFP_KERNEL);
1352 if (!mp->tx_skb) {
1353 printk(KERN_ERR "%s: Cannot allocate Tx skb ring\n", dev->name);
ab4384a6
DF
1354 err = -ENOMEM;
1355 goto out_free_rx_skb;
1da177e4
LT
1356 }
1357
1358 /* Allocate TX ring */
f98e36f1 1359 mp->tx_desc_count = 0;
1da177e4
LT
1360 size = mp->tx_ring_size * sizeof(struct eth_tx_desc);
1361 mp->tx_desc_area_size = size;
1362
1363 if (mp->tx_sram_size) {
1364 mp->p_tx_desc_area = ioremap(mp->tx_sram_addr,
1365 mp->tx_sram_size);
1366 mp->tx_desc_dma = mp->tx_sram_addr;
1367 } else
1368 mp->p_tx_desc_area = dma_alloc_coherent(NULL, size,
1369 &mp->tx_desc_dma,
1370 GFP_KERNEL);
1371
1372 if (!mp->p_tx_desc_area) {
1373 printk(KERN_ERR "%s: Cannot allocate Tx Ring (size %d bytes)\n",
1374 dev->name, size);
ab4384a6
DF
1375 err = -ENOMEM;
1376 goto out_free_tx_skb;
1da177e4
LT
1377 }
1378 BUG_ON((u32) mp->p_tx_desc_area & 0xf); /* check 16-byte alignment */
1379 memset((void *)mp->p_tx_desc_area, 0, mp->tx_desc_area_size);
1380
1381 ether_init_tx_desc_ring(mp);
1382
1383 /* Allocate RX ring */
f98e36f1 1384 mp->rx_desc_count = 0;
1da177e4
LT
1385 size = mp->rx_ring_size * sizeof(struct eth_rx_desc);
1386 mp->rx_desc_area_size = size;
1387
1388 if (mp->rx_sram_size) {
1389 mp->p_rx_desc_area = ioremap(mp->rx_sram_addr,
1390 mp->rx_sram_size);
1391 mp->rx_desc_dma = mp->rx_sram_addr;
1392 } else
1393 mp->p_rx_desc_area = dma_alloc_coherent(NULL, size,
1394 &mp->rx_desc_dma,
1395 GFP_KERNEL);
1396
1397 if (!mp->p_rx_desc_area) {
1398 printk(KERN_ERR "%s: Cannot allocate Rx ring (size %d bytes)\n",
1399 dev->name, size);
1400 printk(KERN_ERR "%s: Freeing previously allocated TX queues...",
1401 dev->name);
1402 if (mp->rx_sram_size)
dd09b1de 1403 iounmap(mp->p_tx_desc_area);
1da177e4
LT
1404 else
1405 dma_free_coherent(NULL, mp->tx_desc_area_size,
1406 mp->p_tx_desc_area, mp->tx_desc_dma);
ab4384a6
DF
1407 err = -ENOMEM;
1408 goto out_free_tx_skb;
1da177e4
LT
1409 }
1410 memset((void *)mp->p_rx_desc_area, 0, size);
1411
1412 ether_init_rx_desc_ring(mp);
1413
f78fb474 1414 mv643xx_eth_rx_refill_descs(dev); /* Fill RX ring with skb's */
1da177e4 1415
bea3348e
SH
1416#ifdef MV643XX_NAPI
1417 napi_enable(&mp->napi);
1418#endif
1419
ed9b5d45 1420 eth_port_start(dev);
1da177e4
LT
1421
1422 /* Interrupt Coalescing */
1423
1424#ifdef MV643XX_COAL
1425 mp->rx_int_coal =
c416a41f 1426 eth_port_set_rx_coal(mp, MV643XX_RX_COAL);
1da177e4
LT
1427#endif
1428
1429 mp->tx_int_coal =
c416a41f 1430 eth_port_set_tx_coal(mp, MV643XX_TX_COAL);
1da177e4 1431
8f518703 1432 /* Unmask phy and link status changes interrupts */
ec69d651 1433 wrl(mp, INTERRUPT_EXTEND_MASK_REG(port_num), ETH_INT_UNMASK_ALL_EXT);
1da177e4 1434
8f518703 1435 /* Unmask RX buffer and TX end interrupt */
ec69d651 1436 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
d0412d96 1437
1da177e4 1438 return 0;
ab4384a6
DF
1439
1440out_free_tx_skb:
1441 kfree(mp->tx_skb);
1442out_free_rx_skb:
1443 kfree(mp->rx_skb);
1444out_free_irq:
1445 free_irq(dev->irq, dev);
1446
1447 return err;
1da177e4
LT
1448}
1449
1450static void mv643xx_eth_free_tx_rings(struct net_device *dev)
1451{
1452 struct mv643xx_private *mp = netdev_priv(dev);
1da177e4
LT
1453
1454 /* Stop Tx Queues */
afdb57a2 1455 mv643xx_eth_port_disable_tx(mp);
1da177e4 1456
ff561eef
DF
1457 /* Free outstanding skb's on TX ring */
1458 mv643xx_eth_free_all_tx_descs(dev);
1459
1460 BUG_ON(mp->tx_used_desc_q != mp->tx_curr_desc_q);
1da177e4
LT
1461
1462 /* Free TX ring */
1463 if (mp->tx_sram_size)
1464 iounmap(mp->p_tx_desc_area);
1465 else
1466 dma_free_coherent(NULL, mp->tx_desc_area_size,
1467 mp->p_tx_desc_area, mp->tx_desc_dma);
1468}
1469
1470static void mv643xx_eth_free_rx_rings(struct net_device *dev)
1471{
1472 struct mv643xx_private *mp = netdev_priv(dev);
1da177e4
LT
1473 int curr;
1474
1475 /* Stop RX Queues */
afdb57a2 1476 mv643xx_eth_port_disable_rx(mp);
1da177e4
LT
1477
1478 /* Free preallocated skb's on RX rings */
f98e36f1 1479 for (curr = 0; mp->rx_desc_count && curr < mp->rx_ring_size; curr++) {
1da177e4
LT
1480 if (mp->rx_skb[curr]) {
1481 dev_kfree_skb(mp->rx_skb[curr]);
f98e36f1 1482 mp->rx_desc_count--;
1da177e4
LT
1483 }
1484 }
1485
f98e36f1 1486 if (mp->rx_desc_count)
1da177e4
LT
1487 printk(KERN_ERR
1488 "%s: Error in freeing Rx Ring. %d skb's still"
1489 " stuck in RX Ring - ignoring them\n", dev->name,
f98e36f1 1490 mp->rx_desc_count);
1da177e4
LT
1491 /* Free RX ring */
1492 if (mp->rx_sram_size)
1493 iounmap(mp->p_rx_desc_area);
1494 else
1495 dma_free_coherent(NULL, mp->rx_desc_area_size,
1496 mp->p_rx_desc_area, mp->rx_desc_dma);
1497}
1498
1499/*
1500 * mv643xx_eth_stop
1501 *
1502 * This function is used when closing the network device.
1503 * It updates the hardware,
1504 * release all memory that holds buffers and descriptors and release the IRQ.
1505 * Input : a pointer to the device structure
1506 * Output : zero if success , nonzero if fails
1507 */
1508
ab4384a6 1509static int mv643xx_eth_stop(struct net_device *dev)
1da177e4
LT
1510{
1511 struct mv643xx_private *mp = netdev_priv(dev);
1512 unsigned int port_num = mp->port_num;
1513
c2e5b352 1514 /* Mask all interrupts on ethernet port */
ec69d651 1515 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
c2e5b352 1516 /* wait for previous write to complete */
ec69d651 1517 rdl(mp, INTERRUPT_MASK_REG(port_num));
8f518703
DF
1518
1519#ifdef MV643XX_NAPI
bea3348e 1520 napi_disable(&mp->napi);
8f518703 1521#endif
1da177e4
LT
1522 netif_carrier_off(dev);
1523 netif_stop_queue(dev);
1524
afdb57a2 1525 eth_port_reset(mp);
1da177e4 1526
8f518703
DF
1527 mv643xx_eth_free_tx_rings(dev);
1528 mv643xx_eth_free_rx_rings(dev);
1da177e4 1529
1da177e4 1530 free_irq(dev->irq, dev);
1da177e4
LT
1531
1532 return 0;
1533}
1534
1535#ifdef MV643XX_NAPI
1da177e4
LT
1536/*
1537 * mv643xx_poll
1538 *
1539 * This function is used in case of NAPI
1540 */
bea3348e 1541static int mv643xx_poll(struct napi_struct *napi, int budget)
1da177e4 1542{
bea3348e
SH
1543 struct mv643xx_private *mp = container_of(napi, struct mv643xx_private, napi);
1544 struct net_device *dev = mp->dev;
1da177e4 1545 unsigned int port_num = mp->port_num;
bea3348e 1546 int work_done;
1da177e4
LT
1547
1548#ifdef MV643XX_TX_FAST_REFILL
1549 if (++mp->tx_clean_threshold > 5) {
ff561eef 1550 mv643xx_eth_free_completed_tx_descs(dev);
1da177e4 1551 mp->tx_clean_threshold = 0;
1da177e4
LT
1552 }
1553#endif
1554
bea3348e 1555 work_done = 0;
ec69d651 1556 if ((rdl(mp, RX_CURRENT_QUEUE_DESC_PTR_0(port_num)))
bea3348e
SH
1557 != (u32) mp->rx_used_desc_q)
1558 work_done = mv643xx_eth_receive_queue(dev, budget);
1da177e4 1559
bea3348e
SH
1560 if (work_done < budget) {
1561 netif_rx_complete(dev, napi);
ec69d651
LB
1562 wrl(mp, INTERRUPT_CAUSE_REG(port_num), 0);
1563 wrl(mp, INTERRUPT_CAUSE_EXTEND_REG(port_num), 0);
1564 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
1da177e4
LT
1565 }
1566
bea3348e 1567 return work_done;
1da177e4
LT
1568}
1569#endif
1570
c8aaea25
DF
1571/**
1572 * has_tiny_unaligned_frags - check if skb has any small, unaligned fragments
1573 *
1574 * Hardware can't handle unaligned fragments smaller than 9 bytes.
f7ea3337
PJ
1575 * This helper function detects that case.
1576 */
1577
1578static inline unsigned int has_tiny_unaligned_frags(struct sk_buff *skb)
1579{
b4de9051
DF
1580 unsigned int frag;
1581 skb_frag_t *fragp;
f7ea3337 1582
b4de9051
DF
1583 for (frag = 0; frag < skb_shinfo(skb)->nr_frags; frag++) {
1584 fragp = &skb_shinfo(skb)->frags[frag];
1585 if (fragp->size <= 8 && fragp->page_offset & 0x7)
1586 return 1;
1587 }
1588 return 0;
f7ea3337
PJ
1589}
1590
c8aaea25
DF
1591/**
1592 * eth_alloc_tx_desc_index - return the index of the next available tx desc
1593 */
1594static int eth_alloc_tx_desc_index(struct mv643xx_private *mp)
1595{
1596 int tx_desc_curr;
1597
c8aaea25 1598 BUG_ON(mp->tx_desc_count >= mp->tx_ring_size);
c8aaea25 1599
ff561eef 1600 tx_desc_curr = mp->tx_curr_desc_q;
c8aaea25
DF
1601 mp->tx_curr_desc_q = (tx_desc_curr + 1) % mp->tx_ring_size;
1602
1603 BUG_ON(mp->tx_curr_desc_q == mp->tx_used_desc_q);
1604
1605 return tx_desc_curr;
1606}
1607
1608/**
1609 * eth_tx_fill_frag_descs - fill tx hw descriptors for an skb's fragments.
1da177e4 1610 *
c8aaea25
DF
1611 * Ensure the data for each fragment to be transmitted is mapped properly,
1612 * then fill in descriptors in the tx hw queue.
1da177e4 1613 */
c8aaea25
DF
1614static void eth_tx_fill_frag_descs(struct mv643xx_private *mp,
1615 struct sk_buff *skb)
1da177e4 1616{
c8aaea25
DF
1617 int frag;
1618 int tx_index;
1619 struct eth_tx_desc *desc;
1da177e4 1620
c8aaea25
DF
1621 for (frag = 0; frag < skb_shinfo(skb)->nr_frags; frag++) {
1622 skb_frag_t *this_frag = &skb_shinfo(skb)->frags[frag];
1623
1624 tx_index = eth_alloc_tx_desc_index(mp);
1625 desc = &mp->p_tx_desc_area[tx_index];
1626
1627 desc->cmd_sts = ETH_BUFFER_OWNED_BY_DMA;
1628 /* Last Frag enables interrupt and frees the skb */
1629 if (frag == (skb_shinfo(skb)->nr_frags - 1)) {
1630 desc->cmd_sts |= ETH_ZERO_PADDING |
1631 ETH_TX_LAST_DESC |
1632 ETH_TX_ENABLE_INTERRUPT;
1633 mp->tx_skb[tx_index] = skb;
1634 } else
05980775 1635 mp->tx_skb[tx_index] = NULL;
c8aaea25
DF
1636
1637 desc = &mp->p_tx_desc_area[tx_index];
1638 desc->l4i_chk = 0;
1639 desc->byte_cnt = this_frag->size;
1640 desc->buf_ptr = dma_map_page(NULL, this_frag->page,
1641 this_frag->page_offset,
1642 this_frag->size,
1643 DMA_TO_DEVICE);
1da177e4 1644 }
c8aaea25 1645}
1da177e4 1646
324ff2c1
BB
1647static inline __be16 sum16_as_be(__sum16 sum)
1648{
1649 return (__force __be16)sum;
1650}
1651
c8aaea25
DF
1652/**
1653 * eth_tx_submit_descs_for_skb - submit data from an skb to the tx hw
1654 *
1655 * Ensure the data for an skb to be transmitted is mapped properly,
1656 * then fill in descriptors in the tx hw queue and start the hardware.
1657 */
ff561eef
DF
1658static void eth_tx_submit_descs_for_skb(struct mv643xx_private *mp,
1659 struct sk_buff *skb)
c8aaea25
DF
1660{
1661 int tx_index;
1662 struct eth_tx_desc *desc;
1663 u32 cmd_sts;
1664 int length;
ff561eef 1665 int nr_frags = skb_shinfo(skb)->nr_frags;
1da177e4 1666
c8aaea25 1667 cmd_sts = ETH_TX_FIRST_DESC | ETH_GEN_CRC | ETH_BUFFER_OWNED_BY_DMA;
1da177e4 1668
c8aaea25
DF
1669 tx_index = eth_alloc_tx_desc_index(mp);
1670 desc = &mp->p_tx_desc_area[tx_index];
1671
ff561eef 1672 if (nr_frags) {
c8aaea25
DF
1673 eth_tx_fill_frag_descs(mp, skb);
1674
1675 length = skb_headlen(skb);
05980775 1676 mp->tx_skb[tx_index] = NULL;
c8aaea25
DF
1677 } else {
1678 cmd_sts |= ETH_ZERO_PADDING |
1679 ETH_TX_LAST_DESC |
1680 ETH_TX_ENABLE_INTERRUPT;
1681 length = skb->len;
1682 mp->tx_skb[tx_index] = skb;
f7ea3337
PJ
1683 }
1684
c8aaea25
DF
1685 desc->byte_cnt = length;
1686 desc->buf_ptr = dma_map_single(NULL, skb->data, length, DMA_TO_DEVICE);
1da177e4 1687
84fa7933 1688 if (skb->ip_summed == CHECKSUM_PARTIAL) {
324ff2c1 1689 BUG_ON(skb->protocol != htons(ETH_P_IP));
c8aaea25
DF
1690
1691 cmd_sts |= ETH_GEN_TCP_UDP_CHECKSUM |
1692 ETH_GEN_IP_V_4_CHECKSUM |
eddc9ec5 1693 ip_hdr(skb)->ihl << ETH_TX_IHL_SHIFT;
c8aaea25 1694
eddc9ec5 1695 switch (ip_hdr(skb)->protocol) {
c8aaea25
DF
1696 case IPPROTO_UDP:
1697 cmd_sts |= ETH_UDP_FRAME;
324ff2c1 1698 desc->l4i_chk = ntohs(sum16_as_be(udp_hdr(skb)->check));
c8aaea25
DF
1699 break;
1700 case IPPROTO_TCP:
324ff2c1 1701 desc->l4i_chk = ntohs(sum16_as_be(tcp_hdr(skb)->check));
c8aaea25
DF
1702 break;
1703 default:
1704 BUG();
1da177e4 1705 }
1da177e4 1706 } else {
c8aaea25
DF
1707 /* Errata BTS #50, IHL must be 5 if no HW checksum */
1708 cmd_sts |= 5 << ETH_TX_IHL_SHIFT;
1709 desc->l4i_chk = 0;
1710 }
1da177e4 1711
c8aaea25
DF
1712 /* ensure all other descriptors are written before first cmd_sts */
1713 wmb();
1714 desc->cmd_sts = cmd_sts;
1da177e4 1715
c8aaea25
DF
1716 /* ensure all descriptors are written before poking hardware */
1717 wmb();
afdb57a2 1718 mv643xx_eth_port_enable_tx(mp, ETH_TX_QUEUES_ENABLED);
1da177e4 1719
ff561eef 1720 mp->tx_desc_count += nr_frags + 1;
c8aaea25 1721}
1da177e4 1722
c8aaea25
DF
1723/**
1724 * mv643xx_eth_start_xmit - queue an skb to the hardware for transmission
1725 *
1726 */
1727static int mv643xx_eth_start_xmit(struct sk_buff *skb, struct net_device *dev)
1728{
1729 struct mv643xx_private *mp = netdev_priv(dev);
09f75cd7 1730 struct net_device_stats *stats = &dev->stats;
c8aaea25 1731 unsigned long flags;
1da177e4 1732
c8aaea25 1733 BUG_ON(netif_queue_stopped(dev));
94843566 1734
4d64e718
LB
1735 if (has_tiny_unaligned_frags(skb) && __skb_linearize(skb)) {
1736 stats->tx_dropped++;
1737 printk(KERN_DEBUG "%s: failed to linearize tiny "
1738 "unaligned fragment\n", dev->name);
c0d0f2ca 1739 return NETDEV_TX_BUSY;
4d64e718
LB
1740 }
1741
1742 spin_lock_irqsave(&mp->lock, flags);
1743
94843566
DF
1744 if (mp->tx_ring_size - mp->tx_desc_count < MAX_DESCS_PER_SKB) {
1745 printk(KERN_ERR "%s: transmit with queue full\n", dev->name);
1746 netif_stop_queue(dev);
4d64e718 1747 spin_unlock_irqrestore(&mp->lock, flags);
c0d0f2ca 1748 return NETDEV_TX_BUSY;
94843566 1749 }
1da177e4 1750
ff561eef 1751 eth_tx_submit_descs_for_skb(mp, skb);
e7e381f6 1752 stats->tx_bytes += skb->len;
1da177e4
LT
1753 stats->tx_packets++;
1754 dev->trans_start = jiffies;
1755
c8aaea25
DF
1756 if (mp->tx_ring_size - mp->tx_desc_count < MAX_DESCS_PER_SKB)
1757 netif_stop_queue(dev);
1758
1da177e4
LT
1759 spin_unlock_irqrestore(&mp->lock, flags);
1760
c0d0f2ca 1761 return NETDEV_TX_OK;
1da177e4
LT
1762}
1763
63c9e549 1764#ifdef CONFIG_NET_POLL_CONTROLLER
63c9e549
DF
1765static void mv643xx_netpoll(struct net_device *netdev)
1766{
1767 struct mv643xx_private *mp = netdev_priv(netdev);
c2e5b352
DF
1768 int port_num = mp->port_num;
1769
ec69d651 1770 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_MASK_ALL);
c2e5b352 1771 /* wait for previous write to complete */
ec69d651 1772 rdl(mp, INTERRUPT_MASK_REG(port_num));
63c9e549 1773
9da3b1ad 1774 mv643xx_eth_int_handler(netdev->irq, netdev);
c2e5b352 1775
ec69d651 1776 wrl(mp, INTERRUPT_MASK_REG(port_num), ETH_INT_UNMASK_ALL);
63c9e549
DF
1777}
1778#endif
1779
d0412d96
JC
1780static void mv643xx_init_ethtool_cmd(struct net_device *dev, int phy_address,
1781 int speed, int duplex,
1782 struct ethtool_cmd *cmd)
1783{
1784 struct mv643xx_private *mp = netdev_priv(dev);
1785
1786 memset(cmd, 0, sizeof(*cmd));
1787
1788 cmd->port = PORT_MII;
1789 cmd->transceiver = XCVR_INTERNAL;
1790 cmd->phy_address = phy_address;
1791
1792 if (speed == 0) {
1793 cmd->autoneg = AUTONEG_ENABLE;
1794 /* mii lib checks, but doesn't use speed on AUTONEG_ENABLE */
1795 cmd->speed = SPEED_100;
1796 cmd->advertising = ADVERTISED_10baseT_Half |
1797 ADVERTISED_10baseT_Full |
1798 ADVERTISED_100baseT_Half |
1799 ADVERTISED_100baseT_Full;
1800 if (mp->mii.supports_gmii)
1801 cmd->advertising |= ADVERTISED_1000baseT_Full;
1802 } else {
1803 cmd->autoneg = AUTONEG_DISABLE;
1804 cmd->speed = speed;
1805 cmd->duplex = duplex;
1806 }
1807}
1808
1da177e4
LT
1809/*/
1810 * mv643xx_eth_probe
1811 *
1812 * First function called after registering the network device.
1813 * It's purpose is to initialize the device as an ethernet device,
1814 * fill the ethernet device structure with pointers * to functions,
1815 * and set the MAC address of the interface
1816 *
1817 * Input : struct device *
1818 * Output : -ENOMEM if failed , 0 if success
1819 */
3ae5eaec 1820static int mv643xx_eth_probe(struct platform_device *pdev)
1da177e4 1821{
1da177e4 1822 struct mv643xx_eth_platform_data *pd;
84dd619e 1823 int port_num;
1da177e4
LT
1824 struct mv643xx_private *mp;
1825 struct net_device *dev;
1826 u8 *p;
1827 struct resource *res;
1828 int err;
d0412d96 1829 struct ethtool_cmd cmd;
01999873
DF
1830 int duplex = DUPLEX_HALF;
1831 int speed = 0; /* default to auto-negotiation */
c5d6471f 1832 DECLARE_MAC_BUF(mac);
1da177e4 1833
84dd619e
DF
1834 pd = pdev->dev.platform_data;
1835 if (pd == NULL) {
1836 printk(KERN_ERR "No mv643xx_eth_platform_data\n");
1837 return -ENODEV;
1838 }
1839
fa3959f4
LB
1840 if (pd->shared == NULL) {
1841 printk(KERN_ERR "No mv643xx_eth_platform_data->shared\n");
1842 return -ENODEV;
1843 }
1844
1da177e4
LT
1845 dev = alloc_etherdev(sizeof(struct mv643xx_private));
1846 if (!dev)
1847 return -ENOMEM;
1848
3ae5eaec 1849 platform_set_drvdata(pdev, dev);
1da177e4
LT
1850
1851 mp = netdev_priv(dev);
bea3348e
SH
1852 mp->dev = dev;
1853#ifdef MV643XX_NAPI
1854 netif_napi_add(dev, &mp->napi, mv643xx_poll, 64);
1855#endif
1da177e4
LT
1856
1857 res = platform_get_resource(pdev, IORESOURCE_IRQ, 0);
1858 BUG_ON(!res);
1859 dev->irq = res->start;
1860
1da177e4
LT
1861 dev->open = mv643xx_eth_open;
1862 dev->stop = mv643xx_eth_stop;
1863 dev->hard_start_xmit = mv643xx_eth_start_xmit;
1da177e4
LT
1864 dev->set_mac_address = mv643xx_eth_set_mac_address;
1865 dev->set_multicast_list = mv643xx_eth_set_rx_mode;
1866
1867 /* No need to Tx Timeout */
1868 dev->tx_timeout = mv643xx_eth_tx_timeout;
1da177e4 1869
63c9e549
DF
1870#ifdef CONFIG_NET_POLL_CONTROLLER
1871 dev->poll_controller = mv643xx_netpoll;
1872#endif
1873
1da177e4 1874 dev->watchdog_timeo = 2 * HZ;
1da177e4
LT
1875 dev->base_addr = 0;
1876 dev->change_mtu = mv643xx_eth_change_mtu;
d0412d96 1877 dev->do_ioctl = mv643xx_eth_do_ioctl;
1da177e4
LT
1878 SET_ETHTOOL_OPS(dev, &mv643xx_ethtool_ops);
1879
1880#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
1881#ifdef MAX_SKB_FRAGS
1882 /*
1883 * Zero copy can only work if we use Discovery II memory. Else, we will
1884 * have to map the buffers to ISA memory which is only 16 MB
1885 */
63890576 1886 dev->features = NETIF_F_SG | NETIF_F_IP_CSUM;
1da177e4
LT
1887#endif
1888#endif
1889
1890 /* Configure the timeout task */
91c7c568 1891 INIT_WORK(&mp->tx_timeout_task, mv643xx_eth_tx_timeout_task);
1da177e4
LT
1892
1893 spin_lock_init(&mp->lock);
1894
fa3959f4 1895 mp->shared = platform_get_drvdata(pd->shared);
fadac406 1896 port_num = mp->port_num = pd->port_number;
84dd619e 1897
f2ce825d
LB
1898 if (mp->shared->win_protect)
1899 wrl(mp, WINDOW_PROTECT(port_num), mp->shared->win_protect);
1900
1da177e4 1901 /* set default config values */
afdb57a2 1902 eth_port_uc_addr_get(mp, dev->dev_addr);
e4d00fa9
LB
1903 mp->rx_ring_size = PORT_DEFAULT_RECEIVE_QUEUE_SIZE;
1904 mp->tx_ring_size = PORT_DEFAULT_TRANSMIT_QUEUE_SIZE;
1da177e4 1905
84dd619e
DF
1906 if (is_valid_ether_addr(pd->mac_addr))
1907 memcpy(dev->dev_addr, pd->mac_addr, 6);
1da177e4 1908
84dd619e 1909 if (pd->phy_addr || pd->force_phy_addr)
afdb57a2 1910 ethernet_phy_set(mp, pd->phy_addr);
1da177e4 1911
84dd619e
DF
1912 if (pd->rx_queue_size)
1913 mp->rx_ring_size = pd->rx_queue_size;
1da177e4 1914
84dd619e
DF
1915 if (pd->tx_queue_size)
1916 mp->tx_ring_size = pd->tx_queue_size;
1da177e4 1917
84dd619e
DF
1918 if (pd->tx_sram_size) {
1919 mp->tx_sram_size = pd->tx_sram_size;
1920 mp->tx_sram_addr = pd->tx_sram_addr;
1921 }
01999873 1922
84dd619e
DF
1923 if (pd->rx_sram_size) {
1924 mp->rx_sram_size = pd->rx_sram_size;
1925 mp->rx_sram_addr = pd->rx_sram_addr;
1da177e4
LT
1926 }
1927
84dd619e
DF
1928 duplex = pd->duplex;
1929 speed = pd->speed;
1930
c28a4f89
JC
1931 /* Hook up MII support for ethtool */
1932 mp->mii.dev = dev;
1933 mp->mii.mdio_read = mv643xx_mdio_read;
1934 mp->mii.mdio_write = mv643xx_mdio_write;
afdb57a2 1935 mp->mii.phy_id = ethernet_phy_get(mp);
c28a4f89
JC
1936 mp->mii.phy_id_mask = 0x3f;
1937 mp->mii.reg_num_mask = 0x1f;
1938
afdb57a2 1939 err = ethernet_phy_detect(mp);
1da177e4 1940 if (err) {
c1b35a28
LB
1941 pr_debug("%s: No PHY detected at addr %d\n",
1942 dev->name, ethernet_phy_get(mp));
d0412d96 1943 goto out;
1da177e4
LT
1944 }
1945
afdb57a2 1946 ethernet_phy_reset(mp);
c28a4f89 1947 mp->mii.supports_gmii = mii_check_gmii_support(&mp->mii);
d0412d96
JC
1948 mv643xx_init_ethtool_cmd(dev, mp->mii.phy_id, speed, duplex, &cmd);
1949 mv643xx_eth_update_pscr(dev, &cmd);
1950 mv643xx_set_settings(dev, &cmd);
c28a4f89 1951
b0b8dab2 1952 SET_NETDEV_DEV(dev, &pdev->dev);
1da177e4
LT
1953 err = register_netdev(dev);
1954 if (err)
1955 goto out;
1956
1957 p = dev->dev_addr;
1958 printk(KERN_NOTICE
0795af57
JP
1959 "%s: port %d with MAC address %s\n",
1960 dev->name, port_num, print_mac(mac, p));
1da177e4
LT
1961
1962 if (dev->features & NETIF_F_SG)
1963 printk(KERN_NOTICE "%s: Scatter Gather Enabled\n", dev->name);
1964
1965 if (dev->features & NETIF_F_IP_CSUM)
1966 printk(KERN_NOTICE "%s: TX TCP/IP Checksumming Supported\n",
1967 dev->name);
1968
1969#ifdef MV643XX_CHECKSUM_OFFLOAD_TX
1970 printk(KERN_NOTICE "%s: RX TCP/UDP Checksum Offload ON \n", dev->name);
1971#endif
1972
1973#ifdef MV643XX_COAL
1974 printk(KERN_NOTICE "%s: TX and RX Interrupt Coalescing ON \n",
1975 dev->name);
1976#endif
1977
1978#ifdef MV643XX_NAPI
1979 printk(KERN_NOTICE "%s: RX NAPI Enabled \n", dev->name);
1980#endif
1981
b1529871
ND
1982 if (mp->tx_sram_size > 0)
1983 printk(KERN_NOTICE "%s: Using SRAM\n", dev->name);
1984
1da177e4
LT
1985 return 0;
1986
1987out:
1988 free_netdev(dev);
1989
1990 return err;
1991}
1992
3ae5eaec 1993static int mv643xx_eth_remove(struct platform_device *pdev)
1da177e4 1994{
3ae5eaec 1995 struct net_device *dev = platform_get_drvdata(pdev);
1da177e4
LT
1996
1997 unregister_netdev(dev);
1998 flush_scheduled_work();
1999
2000 free_netdev(dev);
3ae5eaec 2001 platform_set_drvdata(pdev, NULL);
1da177e4
LT
2002 return 0;
2003}
2004
f2ce825d
LB
2005static void mv643xx_eth_conf_mbus_windows(struct mv643xx_shared_private *msp,
2006 struct mbus_dram_target_info *dram)
2007{
2008 void __iomem *base = msp->eth_base;
2009 u32 win_enable;
2010 u32 win_protect;
2011 int i;
2012
2013 for (i = 0; i < 6; i++) {
2014 writel(0, base + WINDOW_BASE(i));
2015 writel(0, base + WINDOW_SIZE(i));
2016 if (i < 4)
2017 writel(0, base + WINDOW_REMAP_HIGH(i));
2018 }
2019
2020 win_enable = 0x3f;
2021 win_protect = 0;
2022
2023 for (i = 0; i < dram->num_cs; i++) {
2024 struct mbus_dram_window *cs = dram->cs + i;
2025
2026 writel((cs->base & 0xffff0000) |
2027 (cs->mbus_attr << 8) |
2028 dram->mbus_dram_target_id, base + WINDOW_BASE(i));
2029 writel((cs->size - 1) & 0xffff0000, base + WINDOW_SIZE(i));
2030
2031 win_enable &= ~(1 << i);
2032 win_protect |= 3 << (2 * i);
2033 }
2034
2035 writel(win_enable, base + WINDOW_BAR_ENABLE);
2036 msp->win_protect = win_protect;
2037}
2038
3ae5eaec 2039static int mv643xx_eth_shared_probe(struct platform_device *pdev)
1da177e4 2040{
e519abb6 2041 static int mv643xx_version_printed = 0;
f2ce825d 2042 struct mv643xx_eth_shared_platform_data *pd = pdev->dev.platform_data;
fa3959f4 2043 struct mv643xx_shared_private *msp;
1da177e4 2044 struct resource *res;
fa3959f4 2045 int ret;
1da177e4 2046
e519abb6
LB
2047 if (!mv643xx_version_printed++)
2048 printk(KERN_NOTICE "MV-643xx 10/100/1000 Ethernet Driver\n");
1da177e4 2049
fa3959f4 2050 ret = -EINVAL;
1da177e4
LT
2051 res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
2052 if (res == NULL)
fa3959f4 2053 goto out;
1da177e4 2054
fa3959f4
LB
2055 ret = -ENOMEM;
2056 msp = kmalloc(sizeof(*msp), GFP_KERNEL);
2057 if (msp == NULL)
2058 goto out;
2059 memset(msp, 0, sizeof(*msp));
2060
2061 msp->eth_base = ioremap(res->start, res->end - res->start + 1);
2062 if (msp->eth_base == NULL)
2063 goto out_free;
2064
2065 spin_lock_init(&msp->phy_lock);
c416a41f 2066 msp->t_clk = (pd != NULL && pd->t_clk != 0) ? pd->t_clk : 133000000;
fa3959f4
LB
2067
2068 platform_set_drvdata(pdev, msp);
1da177e4 2069
f2ce825d
LB
2070 /*
2071 * (Re-)program MBUS remapping windows if we are asked to.
2072 */
2073 if (pd != NULL && pd->dram != NULL)
2074 mv643xx_eth_conf_mbus_windows(msp, pd->dram);
2075
1da177e4
LT
2076 return 0;
2077
fa3959f4
LB
2078out_free:
2079 kfree(msp);
2080out:
2081 return ret;
1da177e4
LT
2082}
2083
3ae5eaec 2084static int mv643xx_eth_shared_remove(struct platform_device *pdev)
1da177e4 2085{
fa3959f4
LB
2086 struct mv643xx_shared_private *msp = platform_get_drvdata(pdev);
2087
2088 iounmap(msp->eth_base);
2089 kfree(msp);
1da177e4
LT
2090
2091 return 0;
2092}
2093
d57ab6fd
DF
2094static void mv643xx_eth_shutdown(struct platform_device *pdev)
2095{
2096 struct net_device *dev = platform_get_drvdata(pdev);
2097 struct mv643xx_private *mp = netdev_priv(dev);
2098 unsigned int port_num = mp->port_num;
2099
2100 /* Mask all interrupts on ethernet port */
ec69d651
LB
2101 wrl(mp, INTERRUPT_MASK_REG(port_num), 0);
2102 rdl(mp, INTERRUPT_MASK_REG(port_num));
d57ab6fd 2103
afdb57a2 2104 eth_port_reset(mp);
d57ab6fd
DF
2105}
2106
3ae5eaec 2107static struct platform_driver mv643xx_eth_driver = {
1da177e4
LT
2108 .probe = mv643xx_eth_probe,
2109 .remove = mv643xx_eth_remove,
d57ab6fd 2110 .shutdown = mv643xx_eth_shutdown,
3ae5eaec
RK
2111 .driver = {
2112 .name = MV643XX_ETH_NAME,
72abb461 2113 .owner = THIS_MODULE,
3ae5eaec 2114 },
1da177e4
LT
2115};
2116
3ae5eaec 2117static struct platform_driver mv643xx_eth_shared_driver = {
1da177e4
LT
2118 .probe = mv643xx_eth_shared_probe,
2119 .remove = mv643xx_eth_shared_remove,
3ae5eaec
RK
2120 .driver = {
2121 .name = MV643XX_ETH_SHARED_NAME,
72abb461 2122 .owner = THIS_MODULE,
3ae5eaec 2123 },
1da177e4
LT
2124};
2125
2126/*
2127 * mv643xx_init_module
2128 *
2129 * Registers the network drivers into the Linux kernel
2130 *
2131 * Input : N/A
2132 *
2133 * Output : N/A
2134 */
2135static int __init mv643xx_init_module(void)
2136{
2137 int rc;
2138
3ae5eaec 2139 rc = platform_driver_register(&mv643xx_eth_shared_driver);
1da177e4 2140 if (!rc) {
3ae5eaec 2141 rc = platform_driver_register(&mv643xx_eth_driver);
1da177e4 2142 if (rc)
3ae5eaec 2143 platform_driver_unregister(&mv643xx_eth_shared_driver);
1da177e4
LT
2144 }
2145 return rc;
2146}
2147
2148/*
2149 * mv643xx_cleanup_module
2150 *
2151 * Registers the network drivers into the Linux kernel
2152 *
2153 * Input : N/A
2154 *
2155 * Output : N/A
2156 */
2157static void __exit mv643xx_cleanup_module(void)
2158{
3ae5eaec
RK
2159 platform_driver_unregister(&mv643xx_eth_driver);
2160 platform_driver_unregister(&mv643xx_eth_shared_driver);
1da177e4
LT
2161}
2162
2163module_init(mv643xx_init_module);
2164module_exit(mv643xx_cleanup_module);
2165
2166MODULE_LICENSE("GPL");
2167MODULE_AUTHOR( "Rabeeh Khoury, Assaf Hoffman, Matthew Dharm, Manish Lachwani"
2168 " and Dale Farnsworth");
2169MODULE_DESCRIPTION("Ethernet driver for Marvell MV643XX");
72abb461
KS
2170MODULE_ALIAS("platform:" MV643XX_ETH_NAME);
2171MODULE_ALIAS("platform:" MV643XX_ETH_SHARED_NAME);
1da177e4
LT
2172
2173/*
2174 * The second part is the low level driver of the gigE ethernet ports.
2175 */
2176
2177/*
2178 * Marvell's Gigabit Ethernet controller low level driver
2179 *
2180 * DESCRIPTION:
2181 * This file introduce low level API to Marvell's Gigabit Ethernet
2182 * controller. This Gigabit Ethernet Controller driver API controls
2183 * 1) Operations (i.e. port init, start, reset etc').
2184 * 2) Data flow (i.e. port send, receive etc').
2185 * Each Gigabit Ethernet port is controlled via
2186 * struct mv643xx_private.
2187 * This struct includes user configuration information as well as
2188 * driver internal data needed for its operations.
2189 *
2190 * Supported Features:
2191 * - This low level driver is OS independent. Allocating memory for
2192 * the descriptor rings and buffers are not within the scope of
2193 * this driver.
2194 * - The user is free from Rx/Tx queue managing.
2195 * - This low level driver introduce functionality API that enable
2196 * the to operate Marvell's Gigabit Ethernet Controller in a
2197 * convenient way.
2198 * - Simple Gigabit Ethernet port operation API.
2199 * - Simple Gigabit Ethernet port data flow API.
2200 * - Data flow and operation API support per queue functionality.
2201 * - Support cached descriptors for better performance.
2202 * - Enable access to all four DRAM banks and internal SRAM memory
2203 * spaces.
2204 * - PHY access and control API.
2205 * - Port control register configuration API.
2206 * - Full control over Unicast and Multicast MAC configurations.
2207 *
2208 * Operation flow:
2209 *
2210 * Initialization phase
2211 * This phase complete the initialization of the the
2212 * mv643xx_private struct.
2213 * User information regarding port configuration has to be set
2214 * prior to calling the port initialization routine.
2215 *
2216 * In this phase any port Tx/Rx activity is halted, MIB counters
2217 * are cleared, PHY address is set according to user parameter and
2218 * access to DRAM and internal SRAM memory spaces.
2219 *
2220 * Driver ring initialization
2221 * Allocating memory for the descriptor rings and buffers is not
2222 * within the scope of this driver. Thus, the user is required to
2223 * allocate memory for the descriptors ring and buffers. Those
2224 * memory parameters are used by the Rx and Tx ring initialization
2225 * routines in order to curve the descriptor linked list in a form
2226 * of a ring.
2227 * Note: Pay special attention to alignment issues when using
2228 * cached descriptors/buffers. In this phase the driver store
2229 * information in the mv643xx_private struct regarding each queue
2230 * ring.
2231 *
2232 * Driver start
2233 * This phase prepares the Ethernet port for Rx and Tx activity.
2234 * It uses the information stored in the mv643xx_private struct to
2235 * initialize the various port registers.
2236 *
2237 * Data flow:
2238 * All packet references to/from the driver are done using
2239 * struct pkt_info.
2240 * This struct is a unified struct used with Rx and Tx operations.
2241 * This way the user is not required to be familiar with neither
2242 * Tx nor Rx descriptors structures.
2243 * The driver's descriptors rings are management by indexes.
2244 * Those indexes controls the ring resources and used to indicate
2245 * a SW resource error:
2246 * 'current'
2247 * This index points to the current available resource for use. For
2248 * example in Rx process this index will point to the descriptor
2249 * that will be passed to the user upon calling the receive
2250 * routine. In Tx process, this index will point to the descriptor
2251 * that will be assigned with the user packet info and transmitted.
2252 * 'used'
2253 * This index points to the descriptor that need to restore its
2254 * resources. For example in Rx process, using the Rx buffer return
2255 * API will attach the buffer returned in packet info to the
2256 * descriptor pointed by 'used'. In Tx process, using the Tx
2257 * descriptor return will merely return the user packet info with
2258 * the command status of the transmitted buffer pointed by the
2259 * 'used' index. Nevertheless, it is essential to use this routine
2260 * to update the 'used' index.
2261 * 'first'
2262 * This index supports Tx Scatter-Gather. It points to the first
2263 * descriptor of a packet assembled of multiple buffers. For
2264 * example when in middle of Such packet we have a Tx resource
2265 * error the 'curr' index get the value of 'first' to indicate
2266 * that the ring returned to its state before trying to transmit
2267 * this packet.
2268 *
2269 * Receive operation:
2270 * The eth_port_receive API set the packet information struct,
2271 * passed by the caller, with received information from the
2272 * 'current' SDMA descriptor.
2273 * It is the user responsibility to return this resource back
2274 * to the Rx descriptor ring to enable the reuse of this source.
2275 * Return Rx resource is done using the eth_rx_return_buff API.
2276 *
1da177e4
LT
2277 * Prior to calling the initialization routine eth_port_init() the user
2278 * must set the following fields under mv643xx_private struct:
2279 * port_num User Ethernet port number.
1da177e4
LT
2280 * port_config User port configuration value.
2281 * port_config_extend User port config extend value.
2282 * port_sdma_config User port SDMA config value.
2283 * port_serial_control User port serial control value.
2284 *
2285 * This driver data flow is done using the struct pkt_info which
2286 * is a unified struct for Rx and Tx operations:
2287 *
2288 * byte_cnt Tx/Rx descriptor buffer byte count.
2289 * l4i_chk CPU provided TCP Checksum. For Tx operation
2290 * only.
2291 * cmd_sts Tx/Rx descriptor command status.
2292 * buf_ptr Tx/Rx descriptor buffer pointer.
2293 * return_info Tx/Rx user resource return information.
2294 */
2295
1da177e4 2296/* Ethernet Port routines */
afdb57a2
LB
2297static void eth_port_set_filter_table_entry(struct mv643xx_private *mp,
2298 int table, unsigned char entry);
1da177e4
LT
2299
2300/*
2301 * eth_port_init - Initialize the Ethernet port driver
2302 *
2303 * DESCRIPTION:
2304 * This function prepares the ethernet port to start its activity:
2305 * 1) Completes the ethernet port driver struct initialization toward port
2306 * start routine.
2307 * 2) Resets the device to a quiescent state in case of warm reboot.
2308 * 3) Enable SDMA access to all four DRAM banks as well as internal SRAM.
2309 * 4) Clean MAC tables. The reset status of those tables is unknown.
2310 * 5) Set PHY address.
2311 * Note: Call this routine prior to eth_port_start routine and after
2312 * setting user values in the user fields of Ethernet port control
2313 * struct.
2314 *
2315 * INPUT:
2316 * struct mv643xx_private *mp Ethernet port control struct
2317 *
2318 * OUTPUT:
2319 * See description.
2320 *
2321 * RETURN:
2322 * None.
2323 */
2324static void eth_port_init(struct mv643xx_private *mp)
2325{
1da177e4 2326 mp->rx_resource_err = 0;
1da177e4 2327
afdb57a2 2328 eth_port_reset(mp);
1da177e4 2329
afdb57a2 2330 eth_port_init_mac_tables(mp);
1da177e4
LT
2331}
2332
2333/*
2334 * eth_port_start - Start the Ethernet port activity.
2335 *
2336 * DESCRIPTION:
2337 * This routine prepares the Ethernet port for Rx and Tx activity:
2338 * 1. Initialize Tx and Rx Current Descriptor Pointer for each queue that
2339 * has been initialized a descriptor's ring (using
2340 * ether_init_tx_desc_ring for Tx and ether_init_rx_desc_ring for Rx)
2341 * 2. Initialize and enable the Ethernet configuration port by writing to
2342 * the port's configuration and command registers.
2343 * 3. Initialize and enable the SDMA by writing to the SDMA's
2344 * configuration and command registers. After completing these steps,
2345 * the ethernet port SDMA can starts to perform Rx and Tx activities.
2346 *
2347 * Note: Each Rx and Tx queue descriptor's list must be initialized prior
2348 * to calling this function (use ether_init_tx_desc_ring for Tx queues
2349 * and ether_init_rx_desc_ring for Rx queues).
2350 *
2351 * INPUT:
ed9b5d45 2352 * dev - a pointer to the required interface
1da177e4
LT
2353 *
2354 * OUTPUT:
2355 * Ethernet port is ready to receive and transmit.
2356 *
2357 * RETURN:
2358 * None.
2359 */
ed9b5d45 2360static void eth_port_start(struct net_device *dev)
1da177e4 2361{
ed9b5d45 2362 struct mv643xx_private *mp = netdev_priv(dev);
1da177e4
LT
2363 unsigned int port_num = mp->port_num;
2364 int tx_curr_desc, rx_curr_desc;
d0412d96
JC
2365 u32 pscr;
2366 struct ethtool_cmd ethtool_cmd;
1da177e4
LT
2367
2368 /* Assignment of Tx CTRP of given queue */
2369 tx_curr_desc = mp->tx_curr_desc_q;
ec69d651 2370 wrl(mp, TX_CURRENT_QUEUE_DESC_PTR_0(port_num),
1da177e4
LT
2371 (u32)((struct eth_tx_desc *)mp->tx_desc_dma + tx_curr_desc));
2372
2373 /* Assignment of Rx CRDP of given queue */
2374 rx_curr_desc = mp->rx_curr_desc_q;
ec69d651 2375 wrl(mp, RX_CURRENT_QUEUE_DESC_PTR_0(port_num),
1da177e4
LT
2376 (u32)((struct eth_rx_desc *)mp->rx_desc_dma + rx_curr_desc));
2377
2378 /* Add the assigned Ethernet address to the port's address table */
afdb57a2 2379 eth_port_uc_addr_set(mp, dev->dev_addr);
1da177e4
LT
2380
2381 /* Assign port configuration and command. */
ec69d651 2382 wrl(mp, PORT_CONFIG_REG(port_num),
e4d00fa9 2383 PORT_CONFIG_DEFAULT_VALUE);
01999873 2384
ec69d651 2385 wrl(mp, PORT_CONFIG_EXTEND_REG(port_num),
e4d00fa9 2386 PORT_CONFIG_EXTEND_DEFAULT_VALUE);
1da177e4 2387
ec69d651 2388 pscr = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
01999873 2389
e4d00fa9 2390 pscr &= ~(SERIAL_PORT_ENABLE | FORCE_LINK_PASS);
ec69d651 2391 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
1da177e4 2392
e4d00fa9
LB
2393 pscr |= DISABLE_AUTO_NEG_FOR_FLOW_CTRL |
2394 DISABLE_AUTO_NEG_SPEED_GMII |
2395 DISABLE_AUTO_NEG_FOR_DUPLX |
2396 DO_NOT_FORCE_LINK_FAIL |
2397 SERIAL_PORT_CONTROL_RESERVED;
1da177e4 2398
ec69d651 2399 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
1da177e4 2400
e4d00fa9 2401 pscr |= SERIAL_PORT_ENABLE;
ec69d651 2402 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), pscr);
1da177e4
LT
2403
2404 /* Assign port SDMA configuration */
ec69d651 2405 wrl(mp, SDMA_CONFIG_REG(port_num),
e4d00fa9 2406 PORT_SDMA_CONFIG_DEFAULT_VALUE);
1da177e4
LT
2407
2408 /* Enable port Rx. */
afdb57a2 2409 mv643xx_eth_port_enable_rx(mp, ETH_RX_QUEUES_ENABLED);
8f543718
DF
2410
2411 /* Disable port bandwidth limits by clearing MTU register */
ec69d651 2412 wrl(mp, MAXIMUM_TRANSMIT_UNIT(port_num), 0);
d0412d96
JC
2413
2414 /* save phy settings across reset */
2415 mv643xx_get_settings(dev, &ethtool_cmd);
afdb57a2 2416 ethernet_phy_reset(mp);
d0412d96 2417 mv643xx_set_settings(dev, &ethtool_cmd);
1da177e4
LT
2418}
2419
2420/*
144213d7 2421 * eth_port_uc_addr_set - Write a MAC address into the port's hw registers
1da177e4 2422 */
afdb57a2
LB
2423static void eth_port_uc_addr_set(struct mv643xx_private *mp,
2424 unsigned char *p_addr)
1da177e4 2425{
afdb57a2 2426 unsigned int port_num = mp->port_num;
1da177e4
LT
2427 unsigned int mac_h;
2428 unsigned int mac_l;
cf4086c7 2429 int table;
1da177e4
LT
2430
2431 mac_l = (p_addr[4] << 8) | (p_addr[5]);
2432 mac_h = (p_addr[0] << 24) | (p_addr[1] << 16) | (p_addr[2] << 8) |
2433 (p_addr[3] << 0);
2434
ec69d651
LB
2435 wrl(mp, MAC_ADDR_LOW(port_num), mac_l);
2436 wrl(mp, MAC_ADDR_HIGH(port_num), mac_h);
1da177e4 2437
144213d7 2438 /* Accept frames with this address */
e4d00fa9 2439 table = DA_FILTER_UNICAST_TABLE_BASE(port_num);
afdb57a2 2440 eth_port_set_filter_table_entry(mp, table, p_addr[5] & 0x0f);
1da177e4
LT
2441}
2442
2443/*
144213d7 2444 * eth_port_uc_addr_get - Read the MAC address from the port's hw registers
1da177e4 2445 */
afdb57a2
LB
2446static void eth_port_uc_addr_get(struct mv643xx_private *mp,
2447 unsigned char *p_addr)
1da177e4 2448{
afdb57a2 2449 unsigned int port_num = mp->port_num;
1da177e4
LT
2450 unsigned int mac_h;
2451 unsigned int mac_l;
2452
ec69d651
LB
2453 mac_h = rdl(mp, MAC_ADDR_HIGH(port_num));
2454 mac_l = rdl(mp, MAC_ADDR_LOW(port_num));
1da177e4
LT
2455
2456 p_addr[0] = (mac_h >> 24) & 0xff;
2457 p_addr[1] = (mac_h >> 16) & 0xff;
2458 p_addr[2] = (mac_h >> 8) & 0xff;
2459 p_addr[3] = mac_h & 0xff;
2460 p_addr[4] = (mac_l >> 8) & 0xff;
2461 p_addr[5] = mac_l & 0xff;
2462}
2463
16e03018
DF
2464/*
2465 * The entries in each table are indexed by a hash of a packet's MAC
2466 * address. One bit in each entry determines whether the packet is
2467 * accepted. There are 4 entries (each 8 bits wide) in each register
2468 * of the table. The bits in each entry are defined as follows:
2469 * 0 Accept=1, Drop=0
2470 * 3-1 Queue (ETH_Q0=0)
2471 * 7-4 Reserved = 0;
2472 */
afdb57a2
LB
2473static void eth_port_set_filter_table_entry(struct mv643xx_private *mp,
2474 int table, unsigned char entry)
16e03018
DF
2475{
2476 unsigned int table_reg;
2477 unsigned int tbl_offset;
2478 unsigned int reg_offset;
2479
2480 tbl_offset = (entry / 4) * 4; /* Register offset of DA table entry */
2481 reg_offset = entry % 4; /* Entry offset within the register */
2482
2483 /* Set "accepts frame bit" at specified table entry */
ec69d651 2484 table_reg = rdl(mp, table + tbl_offset);
16e03018 2485 table_reg |= 0x01 << (8 * reg_offset);
ec69d651 2486 wrl(mp, table + tbl_offset, table_reg);
16e03018
DF
2487}
2488
2489/*
2490 * eth_port_mc_addr - Multicast address settings.
2491 *
2492 * The MV device supports multicast using two tables:
2493 * 1) Special Multicast Table for MAC addresses of the form
2494 * 0x01-00-5E-00-00-XX (where XX is between 0x00 and 0x_FF).
2495 * The MAC DA[7:0] bits are used as a pointer to the Special Multicast
2496 * Table entries in the DA-Filter table.
2497 * 2) Other Multicast Table for multicast of another type. A CRC-8bit
2498 * is used as an index to the Other Multicast Table entries in the
2499 * DA-Filter table. This function calculates the CRC-8bit value.
2500 * In either case, eth_port_set_filter_table_entry() is then called
2501 * to set to set the actual table entry.
2502 */
afdb57a2 2503static void eth_port_mc_addr(struct mv643xx_private *mp, unsigned char *p_addr)
16e03018 2504{
afdb57a2 2505 unsigned int port_num = mp->port_num;
16e03018
DF
2506 unsigned int mac_h;
2507 unsigned int mac_l;
2508 unsigned char crc_result = 0;
2509 int table;
2510 int mac_array[48];
2511 int crc[8];
2512 int i;
2513
2514 if ((p_addr[0] == 0x01) && (p_addr[1] == 0x00) &&
2515 (p_addr[2] == 0x5E) && (p_addr[3] == 0x00) && (p_addr[4] == 0x00)) {
afdb57a2
LB
2516 table = DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(port_num);
2517 eth_port_set_filter_table_entry(mp, table, p_addr[5]);
16e03018
DF
2518 return;
2519 }
2520
2521 /* Calculate CRC-8 out of the given address */
2522 mac_h = (p_addr[0] << 8) | (p_addr[1]);
2523 mac_l = (p_addr[2] << 24) | (p_addr[3] << 16) |
2524 (p_addr[4] << 8) | (p_addr[5] << 0);
2525
2526 for (i = 0; i < 32; i++)
2527 mac_array[i] = (mac_l >> i) & 0x1;
2528 for (i = 32; i < 48; i++)
2529 mac_array[i] = (mac_h >> (i - 32)) & 0x1;
2530
2531 crc[0] = mac_array[45] ^ mac_array[43] ^ mac_array[40] ^ mac_array[39] ^
2532 mac_array[35] ^ mac_array[34] ^ mac_array[31] ^ mac_array[30] ^
2533 mac_array[28] ^ mac_array[23] ^ mac_array[21] ^ mac_array[19] ^
2534 mac_array[18] ^ mac_array[16] ^ mac_array[14] ^ mac_array[12] ^
2535 mac_array[8] ^ mac_array[7] ^ mac_array[6] ^ mac_array[0];
2536
2537 crc[1] = mac_array[46] ^ mac_array[45] ^ mac_array[44] ^ mac_array[43] ^
2538 mac_array[41] ^ mac_array[39] ^ mac_array[36] ^ mac_array[34] ^
2539 mac_array[32] ^ mac_array[30] ^ mac_array[29] ^ mac_array[28] ^
2540 mac_array[24] ^ mac_array[23] ^ mac_array[22] ^ mac_array[21] ^
2541 mac_array[20] ^ mac_array[18] ^ mac_array[17] ^ mac_array[16] ^
2542 mac_array[15] ^ mac_array[14] ^ mac_array[13] ^ mac_array[12] ^
2543 mac_array[9] ^ mac_array[6] ^ mac_array[1] ^ mac_array[0];
2544
2545 crc[2] = mac_array[47] ^ mac_array[46] ^ mac_array[44] ^ mac_array[43] ^
2546 mac_array[42] ^ mac_array[39] ^ mac_array[37] ^ mac_array[34] ^
2547 mac_array[33] ^ mac_array[29] ^ mac_array[28] ^ mac_array[25] ^
2548 mac_array[24] ^ mac_array[22] ^ mac_array[17] ^ mac_array[15] ^
2549 mac_array[13] ^ mac_array[12] ^ mac_array[10] ^ mac_array[8] ^
2550 mac_array[6] ^ mac_array[2] ^ mac_array[1] ^ mac_array[0];
2551
2552 crc[3] = mac_array[47] ^ mac_array[45] ^ mac_array[44] ^ mac_array[43] ^
2553 mac_array[40] ^ mac_array[38] ^ mac_array[35] ^ mac_array[34] ^
2554 mac_array[30] ^ mac_array[29] ^ mac_array[26] ^ mac_array[25] ^
2555 mac_array[23] ^ mac_array[18] ^ mac_array[16] ^ mac_array[14] ^
2556 mac_array[13] ^ mac_array[11] ^ mac_array[9] ^ mac_array[7] ^
2557 mac_array[3] ^ mac_array[2] ^ mac_array[1];
2558
2559 crc[4] = mac_array[46] ^ mac_array[45] ^ mac_array[44] ^ mac_array[41] ^
2560 mac_array[39] ^ mac_array[36] ^ mac_array[35] ^ mac_array[31] ^
2561 mac_array[30] ^ mac_array[27] ^ mac_array[26] ^ mac_array[24] ^
2562 mac_array[19] ^ mac_array[17] ^ mac_array[15] ^ mac_array[14] ^
2563 mac_array[12] ^ mac_array[10] ^ mac_array[8] ^ mac_array[4] ^
2564 mac_array[3] ^ mac_array[2];
2565
2566 crc[5] = mac_array[47] ^ mac_array[46] ^ mac_array[45] ^ mac_array[42] ^
2567 mac_array[40] ^ mac_array[37] ^ mac_array[36] ^ mac_array[32] ^
2568 mac_array[31] ^ mac_array[28] ^ mac_array[27] ^ mac_array[25] ^
2569 mac_array[20] ^ mac_array[18] ^ mac_array[16] ^ mac_array[15] ^
2570 mac_array[13] ^ mac_array[11] ^ mac_array[9] ^ mac_array[5] ^
2571 mac_array[4] ^ mac_array[3];
2572
2573 crc[6] = mac_array[47] ^ mac_array[46] ^ mac_array[43] ^ mac_array[41] ^
2574 mac_array[38] ^ mac_array[37] ^ mac_array[33] ^ mac_array[32] ^
2575 mac_array[29] ^ mac_array[28] ^ mac_array[26] ^ mac_array[21] ^
2576 mac_array[19] ^ mac_array[17] ^ mac_array[16] ^ mac_array[14] ^
2577 mac_array[12] ^ mac_array[10] ^ mac_array[6] ^ mac_array[5] ^
2578 mac_array[4];
2579
2580 crc[7] = mac_array[47] ^ mac_array[44] ^ mac_array[42] ^ mac_array[39] ^
2581 mac_array[38] ^ mac_array[34] ^ mac_array[33] ^ mac_array[30] ^
2582 mac_array[29] ^ mac_array[27] ^ mac_array[22] ^ mac_array[20] ^
2583 mac_array[18] ^ mac_array[17] ^ mac_array[15] ^ mac_array[13] ^
2584 mac_array[11] ^ mac_array[7] ^ mac_array[6] ^ mac_array[5];
2585
2586 for (i = 0; i < 8; i++)
2587 crc_result = crc_result | (crc[i] << i);
2588
afdb57a2
LB
2589 table = DA_FILTER_OTHER_MULTICAST_TABLE_BASE(port_num);
2590 eth_port_set_filter_table_entry(mp, table, crc_result);
16e03018
DF
2591}
2592
2593/*
2594 * Set the entire multicast list based on dev->mc_list.
2595 */
2596static void eth_port_set_multicast_list(struct net_device *dev)
2597{
2598
2599 struct dev_mc_list *mc_list;
2600 int i;
2601 int table_index;
2602 struct mv643xx_private *mp = netdev_priv(dev);
2603 unsigned int eth_port_num = mp->port_num;
2604
2605 /* If the device is in promiscuous mode or in all multicast mode,
2606 * we will fully populate both multicast tables with accept.
2607 * This is guaranteed to yield a match on all multicast addresses...
2608 */
2609 if ((dev->flags & IFF_PROMISC) || (dev->flags & IFF_ALLMULTI)) {
2610 for (table_index = 0; table_index <= 0xFC; table_index += 4) {
b4de9051
DF
2611 /* Set all entries in DA filter special multicast
2612 * table (Ex_dFSMT)
2613 * Set for ETH_Q0 for now
2614 * Bits
2615 * 0 Accept=1, Drop=0
2616 * 3-1 Queue ETH_Q0=0
2617 * 7-4 Reserved = 0;
2618 */
ec69d651 2619 wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(eth_port_num) + table_index, 0x01010101);
b4de9051
DF
2620
2621 /* Set all entries in DA filter other multicast
2622 * table (Ex_dFOMT)
2623 * Set for ETH_Q0 for now
2624 * Bits
2625 * 0 Accept=1, Drop=0
2626 * 3-1 Queue ETH_Q0=0
2627 * 7-4 Reserved = 0;
2628 */
ec69d651 2629 wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE(eth_port_num) + table_index, 0x01010101);
b4de9051 2630 }
16e03018
DF
2631 return;
2632 }
2633
2634 /* We will clear out multicast tables every time we get the list.
2635 * Then add the entire new list...
2636 */
2637 for (table_index = 0; table_index <= 0xFC; table_index += 4) {
2638 /* Clear DA filter special multicast table (Ex_dFSMT) */
ec69d651 2639 wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE
16e03018
DF
2640 (eth_port_num) + table_index, 0);
2641
2642 /* Clear DA filter other multicast table (Ex_dFOMT) */
ec69d651 2643 wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE
16e03018
DF
2644 (eth_port_num) + table_index, 0);
2645 }
2646
2647 /* Get pointer to net_device multicast list and add each one... */
2648 for (i = 0, mc_list = dev->mc_list;
2649 (i < 256) && (mc_list != NULL) && (i < dev->mc_count);
2650 i++, mc_list = mc_list->next)
2651 if (mc_list->dmi_addrlen == 6)
afdb57a2 2652 eth_port_mc_addr(mp, mc_list->dmi_addr);
16e03018
DF
2653}
2654
1da177e4
LT
2655/*
2656 * eth_port_init_mac_tables - Clear all entrance in the UC, SMC and OMC tables
2657 *
2658 * DESCRIPTION:
2659 * Go through all the DA filter tables (Unicast, Special Multicast &
2660 * Other Multicast) and set each entry to 0.
2661 *
2662 * INPUT:
afdb57a2 2663 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2664 *
2665 * OUTPUT:
2666 * Multicast and Unicast packets are rejected.
2667 *
2668 * RETURN:
2669 * None.
2670 */
afdb57a2 2671static void eth_port_init_mac_tables(struct mv643xx_private *mp)
1da177e4 2672{
afdb57a2 2673 unsigned int port_num = mp->port_num;
1da177e4
LT
2674 int table_index;
2675
2676 /* Clear DA filter unicast table (Ex_dFUT) */
2677 for (table_index = 0; table_index <= 0xC; table_index += 4)
ec69d651 2678 wrl(mp, DA_FILTER_UNICAST_TABLE_BASE(port_num) +
afdb57a2 2679 table_index, 0);
1da177e4
LT
2680
2681 for (table_index = 0; table_index <= 0xFC; table_index += 4) {
2682 /* Clear DA filter special multicast table (Ex_dFSMT) */
ec69d651 2683 wrl(mp, DA_FILTER_SPECIAL_MULTICAST_TABLE_BASE(port_num) +
afdb57a2 2684 table_index, 0);
1da177e4 2685 /* Clear DA filter other multicast table (Ex_dFOMT) */
ec69d651 2686 wrl(mp, DA_FILTER_OTHER_MULTICAST_TABLE_BASE(port_num) +
afdb57a2 2687 table_index, 0);
1da177e4
LT
2688 }
2689}
2690
2691/*
2692 * eth_clear_mib_counters - Clear all MIB counters
2693 *
2694 * DESCRIPTION:
2695 * This function clears all MIB counters of a specific ethernet port.
2696 * A read from the MIB counter will reset the counter.
2697 *
2698 * INPUT:
afdb57a2 2699 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2700 *
2701 * OUTPUT:
2702 * After reading all MIB counters, the counters resets.
2703 *
2704 * RETURN:
2705 * MIB counter value.
2706 *
2707 */
afdb57a2 2708static void eth_clear_mib_counters(struct mv643xx_private *mp)
1da177e4 2709{
afdb57a2 2710 unsigned int port_num = mp->port_num;
1da177e4
LT
2711 int i;
2712
2713 /* Perform dummy reads from MIB counters */
2714 for (i = ETH_MIB_GOOD_OCTETS_RECEIVED_LOW; i < ETH_MIB_LATE_COLLISION;
2715 i += 4)
ec69d651 2716 rdl(mp, MIB_COUNTERS_BASE(port_num) + i);
1da177e4
LT
2717}
2718
2719static inline u32 read_mib(struct mv643xx_private *mp, int offset)
2720{
ec69d651 2721 return rdl(mp, MIB_COUNTERS_BASE(mp->port_num) + offset);
1da177e4
LT
2722}
2723
2724static void eth_update_mib_counters(struct mv643xx_private *mp)
2725{
2726 struct mv643xx_mib_counters *p = &mp->mib_counters;
2727 int offset;
2728
2729 p->good_octets_received +=
2730 read_mib(mp, ETH_MIB_GOOD_OCTETS_RECEIVED_LOW);
2731 p->good_octets_received +=
2732 (u64)read_mib(mp, ETH_MIB_GOOD_OCTETS_RECEIVED_HIGH) << 32;
2733
2734 for (offset = ETH_MIB_BAD_OCTETS_RECEIVED;
2735 offset <= ETH_MIB_FRAMES_1024_TO_MAX_OCTETS;
2736 offset += 4)
70fbf327 2737 *(u32 *)((char *)p + offset) += read_mib(mp, offset);
1da177e4
LT
2738
2739 p->good_octets_sent += read_mib(mp, ETH_MIB_GOOD_OCTETS_SENT_LOW);
2740 p->good_octets_sent +=
2741 (u64)read_mib(mp, ETH_MIB_GOOD_OCTETS_SENT_HIGH) << 32;
2742
2743 for (offset = ETH_MIB_GOOD_FRAMES_SENT;
2744 offset <= ETH_MIB_LATE_COLLISION;
2745 offset += 4)
70fbf327 2746 *(u32 *)((char *)p + offset) += read_mib(mp, offset);
1da177e4
LT
2747}
2748
2749/*
2750 * ethernet_phy_detect - Detect whether a phy is present
2751 *
2752 * DESCRIPTION:
2753 * This function tests whether there is a PHY present on
2754 * the specified port.
2755 *
2756 * INPUT:
afdb57a2 2757 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2758 *
2759 * OUTPUT:
2760 * None
2761 *
2762 * RETURN:
2763 * 0 on success
2764 * -ENODEV on failure
2765 *
2766 */
afdb57a2 2767static int ethernet_phy_detect(struct mv643xx_private *mp)
1da177e4
LT
2768{
2769 unsigned int phy_reg_data0;
2770 int auto_neg;
2771
afdb57a2 2772 eth_port_read_smi_reg(mp, 0, &phy_reg_data0);
1da177e4
LT
2773 auto_neg = phy_reg_data0 & 0x1000;
2774 phy_reg_data0 ^= 0x1000; /* invert auto_neg */
afdb57a2 2775 eth_port_write_smi_reg(mp, 0, phy_reg_data0);
1da177e4 2776
afdb57a2 2777 eth_port_read_smi_reg(mp, 0, &phy_reg_data0);
1da177e4
LT
2778 if ((phy_reg_data0 & 0x1000) == auto_neg)
2779 return -ENODEV; /* change didn't take */
2780
2781 phy_reg_data0 ^= 0x1000;
afdb57a2 2782 eth_port_write_smi_reg(mp, 0, phy_reg_data0);
1da177e4
LT
2783 return 0;
2784}
2785
2786/*
2787 * ethernet_phy_get - Get the ethernet port PHY address.
2788 *
2789 * DESCRIPTION:
2790 * This routine returns the given ethernet port PHY address.
2791 *
2792 * INPUT:
afdb57a2 2793 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2794 *
2795 * OUTPUT:
2796 * None.
2797 *
2798 * RETURN:
2799 * PHY address.
2800 *
2801 */
afdb57a2 2802static int ethernet_phy_get(struct mv643xx_private *mp)
1da177e4
LT
2803{
2804 unsigned int reg_data;
2805
ec69d651 2806 reg_data = rdl(mp, PHY_ADDR_REG);
1da177e4 2807
afdb57a2 2808 return ((reg_data >> (5 * mp->port_num)) & 0x1f);
1da177e4
LT
2809}
2810
2811/*
2812 * ethernet_phy_set - Set the ethernet port PHY address.
2813 *
2814 * DESCRIPTION:
2815 * This routine sets the given ethernet port PHY address.
2816 *
2817 * INPUT:
afdb57a2 2818 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2819 * int phy_addr PHY address.
2820 *
2821 * OUTPUT:
2822 * None.
2823 *
2824 * RETURN:
2825 * None.
2826 *
2827 */
afdb57a2 2828static void ethernet_phy_set(struct mv643xx_private *mp, int phy_addr)
1da177e4
LT
2829{
2830 u32 reg_data;
afdb57a2 2831 int addr_shift = 5 * mp->port_num;
1da177e4 2832
ec69d651 2833 reg_data = rdl(mp, PHY_ADDR_REG);
1da177e4
LT
2834 reg_data &= ~(0x1f << addr_shift);
2835 reg_data |= (phy_addr & 0x1f) << addr_shift;
ec69d651 2836 wrl(mp, PHY_ADDR_REG, reg_data);
1da177e4
LT
2837}
2838
2839/*
2840 * ethernet_phy_reset - Reset Ethernet port PHY.
2841 *
2842 * DESCRIPTION:
2843 * This routine utilizes the SMI interface to reset the ethernet port PHY.
2844 *
2845 * INPUT:
afdb57a2 2846 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2847 *
2848 * OUTPUT:
2849 * The PHY is reset.
2850 *
2851 * RETURN:
2852 * None.
2853 *
2854 */
afdb57a2 2855static void ethernet_phy_reset(struct mv643xx_private *mp)
1da177e4
LT
2856{
2857 unsigned int phy_reg_data;
2858
2859 /* Reset the PHY */
afdb57a2 2860 eth_port_read_smi_reg(mp, 0, &phy_reg_data);
1da177e4 2861 phy_reg_data |= 0x8000; /* Set bit 15 to reset the PHY */
afdb57a2 2862 eth_port_write_smi_reg(mp, 0, phy_reg_data);
d0412d96
JC
2863
2864 /* wait for PHY to come out of reset */
2865 do {
2866 udelay(1);
afdb57a2 2867 eth_port_read_smi_reg(mp, 0, &phy_reg_data);
d0412d96 2868 } while (phy_reg_data & 0x8000);
1da177e4
LT
2869}
2870
afdb57a2 2871static void mv643xx_eth_port_enable_tx(struct mv643xx_private *mp,
12a87c64 2872 unsigned int queues)
9f8dd319 2873{
ec69d651 2874 wrl(mp, TRANSMIT_QUEUE_COMMAND_REG(mp->port_num), queues);
9f8dd319
DF
2875}
2876
afdb57a2 2877static void mv643xx_eth_port_enable_rx(struct mv643xx_private *mp,
12a87c64 2878 unsigned int queues)
9f8dd319 2879{
ec69d651 2880 wrl(mp, RECEIVE_QUEUE_COMMAND_REG(mp->port_num), queues);
9f8dd319
DF
2881}
2882
afdb57a2 2883static unsigned int mv643xx_eth_port_disable_tx(struct mv643xx_private *mp)
9f8dd319 2884{
afdb57a2 2885 unsigned int port_num = mp->port_num;
12a87c64 2886 u32 queues;
9f8dd319
DF
2887
2888 /* Stop Tx port activity. Check port Tx activity. */
ec69d651 2889 queues = rdl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num)) & 0xFF;
12a87c64
DF
2890 if (queues) {
2891 /* Issue stop command for active queues only */
ec69d651 2892 wrl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num), (queues << 8));
9f8dd319
DF
2893
2894 /* Wait for all Tx activity to terminate. */
2895 /* Check port cause register that all Tx queues are stopped */
ec69d651 2896 while (rdl(mp, TRANSMIT_QUEUE_COMMAND_REG(port_num)) & 0xFF)
9f8dd319
DF
2897 udelay(PHY_WAIT_MICRO_SECONDS);
2898
2899 /* Wait for Tx FIFO to empty */
ec69d651 2900 while (rdl(mp, PORT_STATUS_REG(port_num)) &
9f8dd319
DF
2901 ETH_PORT_TX_FIFO_EMPTY)
2902 udelay(PHY_WAIT_MICRO_SECONDS);
2903 }
2904
12a87c64 2905 return queues;
9f8dd319
DF
2906}
2907
afdb57a2 2908static unsigned int mv643xx_eth_port_disable_rx(struct mv643xx_private *mp)
9f8dd319 2909{
afdb57a2 2910 unsigned int port_num = mp->port_num;
12a87c64 2911 u32 queues;
9f8dd319
DF
2912
2913 /* Stop Rx port activity. Check port Rx activity. */
ec69d651 2914 queues = rdl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num)) & 0xFF;
12a87c64
DF
2915 if (queues) {
2916 /* Issue stop command for active queues only */
ec69d651 2917 wrl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num), (queues << 8));
9f8dd319
DF
2918
2919 /* Wait for all Rx activity to terminate. */
2920 /* Check port cause register that all Rx queues are stopped */
ec69d651 2921 while (rdl(mp, RECEIVE_QUEUE_COMMAND_REG(port_num)) & 0xFF)
9f8dd319
DF
2922 udelay(PHY_WAIT_MICRO_SECONDS);
2923 }
2924
12a87c64 2925 return queues;
9f8dd319
DF
2926}
2927
1da177e4
LT
2928/*
2929 * eth_port_reset - Reset Ethernet port
2930 *
2931 * DESCRIPTION:
2932 * This routine resets the chip by aborting any SDMA engine activity and
2933 * clearing the MIB counters. The Receiver and the Transmit unit are in
2934 * idle state after this command is performed and the port is disabled.
2935 *
2936 * INPUT:
afdb57a2 2937 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2938 *
2939 * OUTPUT:
2940 * Channel activity is halted.
2941 *
2942 * RETURN:
2943 * None.
2944 *
2945 */
afdb57a2 2946static void eth_port_reset(struct mv643xx_private *mp)
1da177e4 2947{
afdb57a2 2948 unsigned int port_num = mp->port_num;
1da177e4
LT
2949 unsigned int reg_data;
2950
afdb57a2
LB
2951 mv643xx_eth_port_disable_tx(mp);
2952 mv643xx_eth_port_disable_rx(mp);
1da177e4
LT
2953
2954 /* Clear all MIB counters */
afdb57a2 2955 eth_clear_mib_counters(mp);
1da177e4
LT
2956
2957 /* Reset the Enable bit in the Configuration Register */
ec69d651 2958 reg_data = rdl(mp, PORT_SERIAL_CONTROL_REG(port_num));
e4d00fa9
LB
2959 reg_data &= ~(SERIAL_PORT_ENABLE |
2960 DO_NOT_FORCE_LINK_FAIL |
2961 FORCE_LINK_PASS);
ec69d651 2962 wrl(mp, PORT_SERIAL_CONTROL_REG(port_num), reg_data);
1da177e4
LT
2963}
2964
1da177e4 2965
1da177e4
LT
2966/*
2967 * eth_port_read_smi_reg - Read PHY registers
2968 *
2969 * DESCRIPTION:
2970 * This routine utilize the SMI interface to interact with the PHY in
2971 * order to perform PHY register read.
2972 *
2973 * INPUT:
afdb57a2 2974 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
2975 * unsigned int phy_reg PHY register address offset.
2976 * unsigned int *value Register value buffer.
2977 *
2978 * OUTPUT:
2979 * Write the value of a specified PHY register into given buffer.
2980 *
2981 * RETURN:
2982 * false if the PHY is busy or read data is not in valid state.
2983 * true otherwise.
2984 *
2985 */
afdb57a2 2986static void eth_port_read_smi_reg(struct mv643xx_private *mp,
1da177e4
LT
2987 unsigned int phy_reg, unsigned int *value)
2988{
afdb57a2 2989 int phy_addr = ethernet_phy_get(mp);
1da177e4
LT
2990 unsigned long flags;
2991 int i;
2992
2993 /* the SMI register is a shared resource */
fa3959f4 2994 spin_lock_irqsave(&mp->shared->phy_lock, flags);
1da177e4
LT
2995
2996 /* wait for the SMI register to become available */
ec69d651 2997 for (i = 0; rdl(mp, SMI_REG) & ETH_SMI_BUSY; i++) {
1da177e4 2998 if (i == PHY_WAIT_ITERATIONS) {
c1b35a28 2999 printk("%s: PHY busy timeout\n", mp->dev->name);
1da177e4
LT
3000 goto out;
3001 }
3002 udelay(PHY_WAIT_MICRO_SECONDS);
3003 }
3004
ec69d651 3005 wrl(mp, SMI_REG,
1da177e4
LT
3006 (phy_addr << 16) | (phy_reg << 21) | ETH_SMI_OPCODE_READ);
3007
3008 /* now wait for the data to be valid */
ec69d651 3009 for (i = 0; !(rdl(mp, SMI_REG) & ETH_SMI_READ_VALID); i++) {
1da177e4 3010 if (i == PHY_WAIT_ITERATIONS) {
c1b35a28 3011 printk("%s: PHY read timeout\n", mp->dev->name);
1da177e4
LT
3012 goto out;
3013 }
3014 udelay(PHY_WAIT_MICRO_SECONDS);
3015 }
3016
ec69d651 3017 *value = rdl(mp, SMI_REG) & 0xffff;
1da177e4 3018out:
fa3959f4 3019 spin_unlock_irqrestore(&mp->shared->phy_lock, flags);
1da177e4
LT
3020}
3021
3022/*
3023 * eth_port_write_smi_reg - Write to PHY registers
3024 *
3025 * DESCRIPTION:
3026 * This routine utilize the SMI interface to interact with the PHY in
3027 * order to perform writes to PHY registers.
3028 *
3029 * INPUT:
afdb57a2 3030 * struct mv643xx_private *mp Ethernet Port.
1da177e4
LT
3031 * unsigned int phy_reg PHY register address offset.
3032 * unsigned int value Register value.
3033 *
3034 * OUTPUT:
3035 * Write the given value to the specified PHY register.
3036 *
3037 * RETURN:
3038 * false if the PHY is busy.
3039 * true otherwise.
3040 *
3041 */
afdb57a2 3042static void eth_port_write_smi_reg(struct mv643xx_private *mp,
1da177e4
LT
3043 unsigned int phy_reg, unsigned int value)
3044{
3045 int phy_addr;
3046 int i;
3047 unsigned long flags;
3048
afdb57a2 3049 phy_addr = ethernet_phy_get(mp);
1da177e4
LT
3050
3051 /* the SMI register is a shared resource */
fa3959f4 3052 spin_lock_irqsave(&mp->shared->phy_lock, flags);
1da177e4
LT
3053
3054 /* wait for the SMI register to become available */
ec69d651 3055 for (i = 0; rdl(mp, SMI_REG) & ETH_SMI_BUSY; i++) {
1da177e4 3056 if (i == PHY_WAIT_ITERATIONS) {
c1b35a28 3057 printk("%s: PHY busy timeout\n", mp->dev->name);
1da177e4
LT
3058 goto out;
3059 }
3060 udelay(PHY_WAIT_MICRO_SECONDS);
3061 }
3062
ec69d651 3063 wrl(mp, SMI_REG, (phy_addr << 16) | (phy_reg << 21) |
1da177e4
LT
3064 ETH_SMI_OPCODE_WRITE | (value & 0xffff));
3065out:
fa3959f4 3066 spin_unlock_irqrestore(&mp->shared->phy_lock, flags);
1da177e4
LT
3067}
3068
c28a4f89
JC
3069/*
3070 * Wrappers for MII support library.
3071 */
3072static int mv643xx_mdio_read(struct net_device *dev, int phy_id, int location)
3073{
c28a4f89 3074 struct mv643xx_private *mp = netdev_priv(dev);
afdb57a2 3075 int val;
c28a4f89 3076
afdb57a2 3077 eth_port_read_smi_reg(mp, location, &val);
c28a4f89
JC
3078 return val;
3079}
3080
3081static void mv643xx_mdio_write(struct net_device *dev, int phy_id, int location, int val)
3082{
3083 struct mv643xx_private *mp = netdev_priv(dev);
afdb57a2 3084 eth_port_write_smi_reg(mp, location, val);
c28a4f89
JC
3085}
3086
1da177e4
LT
3087/*
3088 * eth_port_receive - Get received information from Rx ring.
3089 *
3090 * DESCRIPTION:
3091 * This routine returns the received data to the caller. There is no
3092 * data copying during routine operation. All information is returned
3093 * using pointer to packet information struct passed from the caller.
3094 * If the routine exhausts Rx ring resources then the resource error flag
3095 * is set.
3096 *
3097 * INPUT:
3098 * struct mv643xx_private *mp Ethernet Port Control srtuct.
3099 * struct pkt_info *p_pkt_info User packet buffer.
3100 *
3101 * OUTPUT:
3102 * Rx ring current and used indexes are updated.
3103 *
3104 * RETURN:
3105 * ETH_ERROR in case the routine can not access Rx desc ring.
3106 * ETH_QUEUE_FULL if Rx ring resources are exhausted.
3107 * ETH_END_OF_JOB if there is no received data.
3108 * ETH_OK otherwise.
3109 */
3110static ETH_FUNC_RET_STATUS eth_port_receive(struct mv643xx_private *mp,
3111 struct pkt_info *p_pkt_info)
3112{
3113 int rx_next_curr_desc, rx_curr_desc, rx_used_desc;
3114 volatile struct eth_rx_desc *p_rx_desc;
3115 unsigned int command_status;
8f518703 3116 unsigned long flags;
1da177e4
LT
3117
3118 /* Do not process Rx ring in case of Rx ring resource error */
3119 if (mp->rx_resource_err)
3120 return ETH_QUEUE_FULL;
3121
8f518703
DF
3122 spin_lock_irqsave(&mp->lock, flags);
3123
1da177e4
LT
3124 /* Get the Rx Desc ring 'curr and 'used' indexes */
3125 rx_curr_desc = mp->rx_curr_desc_q;
3126 rx_used_desc = mp->rx_used_desc_q;
3127
3128 p_rx_desc = &mp->p_rx_desc_area[rx_curr_desc];
3129
3130 /* The following parameters are used to save readings from memory */
3131 command_status = p_rx_desc->cmd_sts;
3132 rmb();
3133
3134 /* Nothing to receive... */
8f518703
DF
3135 if (command_status & (ETH_BUFFER_OWNED_BY_DMA)) {
3136 spin_unlock_irqrestore(&mp->lock, flags);
1da177e4 3137 return ETH_END_OF_JOB;
8f518703 3138 }
1da177e4
LT
3139
3140 p_pkt_info->byte_cnt = (p_rx_desc->byte_cnt) - RX_BUF_OFFSET;
3141 p_pkt_info->cmd_sts = command_status;
3142 p_pkt_info->buf_ptr = (p_rx_desc->buf_ptr) + RX_BUF_OFFSET;
3143 p_pkt_info->return_info = mp->rx_skb[rx_curr_desc];
3144 p_pkt_info->l4i_chk = p_rx_desc->buf_size;
3145
b4de9051
DF
3146 /*
3147 * Clean the return info field to indicate that the
3148 * packet has been moved to the upper layers
3149 */
1da177e4
LT
3150 mp->rx_skb[rx_curr_desc] = NULL;
3151
3152 /* Update current index in data structure */
3153 rx_next_curr_desc = (rx_curr_desc + 1) % mp->rx_ring_size;
3154 mp->rx_curr_desc_q = rx_next_curr_desc;
3155
3156 /* Rx descriptors exhausted. Set the Rx ring resource error flag */
3157 if (rx_next_curr_desc == rx_used_desc)
3158 mp->rx_resource_err = 1;
3159
8f518703
DF
3160 spin_unlock_irqrestore(&mp->lock, flags);
3161
1da177e4
LT
3162 return ETH_OK;
3163}
3164
3165/*
3166 * eth_rx_return_buff - Returns a Rx buffer back to the Rx ring.
3167 *
3168 * DESCRIPTION:
3169 * This routine returns a Rx buffer back to the Rx ring. It retrieves the
3170 * next 'used' descriptor and attached the returned buffer to it.
3171 * In case the Rx ring was in "resource error" condition, where there are
3172 * no available Rx resources, the function resets the resource error flag.
3173 *
3174 * INPUT:
3175 * struct mv643xx_private *mp Ethernet Port Control srtuct.
3176 * struct pkt_info *p_pkt_info Information on returned buffer.
3177 *
3178 * OUTPUT:
3179 * New available Rx resource in Rx descriptor ring.
3180 *
3181 * RETURN:
3182 * ETH_ERROR in case the routine can not access Rx desc ring.
3183 * ETH_OK otherwise.
3184 */
3185static ETH_FUNC_RET_STATUS eth_rx_return_buff(struct mv643xx_private *mp,
3186 struct pkt_info *p_pkt_info)
3187{
3188 int used_rx_desc; /* Where to return Rx resource */
3189 volatile struct eth_rx_desc *p_used_rx_desc;
8f518703
DF
3190 unsigned long flags;
3191
3192 spin_lock_irqsave(&mp->lock, flags);
1da177e4
LT
3193
3194 /* Get 'used' Rx descriptor */
3195 used_rx_desc = mp->rx_used_desc_q;
3196 p_used_rx_desc = &mp->p_rx_desc_area[used_rx_desc];
3197
3198 p_used_rx_desc->buf_ptr = p_pkt_info->buf_ptr;
3199 p_used_rx_desc->buf_size = p_pkt_info->byte_cnt;
3200 mp->rx_skb[used_rx_desc] = p_pkt_info->return_info;
3201
3202 /* Flush the write pipe */
3203
3204 /* Return the descriptor to DMA ownership */
3205 wmb();
3206 p_used_rx_desc->cmd_sts =
3207 ETH_BUFFER_OWNED_BY_DMA | ETH_RX_ENABLE_INTERRUPT;
3208 wmb();
3209
3210 /* Move the used descriptor pointer to the next descriptor */
3211 mp->rx_used_desc_q = (used_rx_desc + 1) % mp->rx_ring_size;
3212
3213 /* Any Rx return cancels the Rx resource error status */
3214 mp->rx_resource_err = 0;
3215
8f518703
DF
3216 spin_unlock_irqrestore(&mp->lock, flags);
3217
1da177e4
LT
3218 return ETH_OK;
3219}
3220
3221/************* Begin ethtool support *************************/
3222
3223struct mv643xx_stats {
3224 char stat_string[ETH_GSTRING_LEN];
3225 int sizeof_stat;
3226 int stat_offset;
3227};
3228
7a6d84c1 3229#define MV643XX_STAT(m) FIELD_SIZEOF(struct mv643xx_private, m), \
b4de9051 3230 offsetof(struct mv643xx_private, m)
1da177e4
LT
3231
3232static const struct mv643xx_stats mv643xx_gstrings_stats[] = {
3233 { "rx_packets", MV643XX_STAT(stats.rx_packets) },
3234 { "tx_packets", MV643XX_STAT(stats.tx_packets) },
3235 { "rx_bytes", MV643XX_STAT(stats.rx_bytes) },
3236 { "tx_bytes", MV643XX_STAT(stats.tx_bytes) },
3237 { "rx_errors", MV643XX_STAT(stats.rx_errors) },
3238 { "tx_errors", MV643XX_STAT(stats.tx_errors) },
3239 { "rx_dropped", MV643XX_STAT(stats.rx_dropped) },
3240 { "tx_dropped", MV643XX_STAT(stats.tx_dropped) },
3241 { "good_octets_received", MV643XX_STAT(mib_counters.good_octets_received) },
3242 { "bad_octets_received", MV643XX_STAT(mib_counters.bad_octets_received) },
3243 { "internal_mac_transmit_err", MV643XX_STAT(mib_counters.internal_mac_transmit_err) },
3244 { "good_frames_received", MV643XX_STAT(mib_counters.good_frames_received) },
3245 { "bad_frames_received", MV643XX_STAT(mib_counters.bad_frames_received) },
3246 { "broadcast_frames_received", MV643XX_STAT(mib_counters.broadcast_frames_received) },
3247 { "multicast_frames_received", MV643XX_STAT(mib_counters.multicast_frames_received) },
3248 { "frames_64_octets", MV643XX_STAT(mib_counters.frames_64_octets) },
3249 { "frames_65_to_127_octets", MV643XX_STAT(mib_counters.frames_65_to_127_octets) },
3250 { "frames_128_to_255_octets", MV643XX_STAT(mib_counters.frames_128_to_255_octets) },
3251 { "frames_256_to_511_octets", MV643XX_STAT(mib_counters.frames_256_to_511_octets) },
3252 { "frames_512_to_1023_octets", MV643XX_STAT(mib_counters.frames_512_to_1023_octets) },
3253 { "frames_1024_to_max_octets", MV643XX_STAT(mib_counters.frames_1024_to_max_octets) },
3254 { "good_octets_sent", MV643XX_STAT(mib_counters.good_octets_sent) },
3255 { "good_frames_sent", MV643XX_STAT(mib_counters.good_frames_sent) },
3256 { "excessive_collision", MV643XX_STAT(mib_counters.excessive_collision) },
3257 { "multicast_frames_sent", MV643XX_STAT(mib_counters.multicast_frames_sent) },
3258 { "broadcast_frames_sent", MV643XX_STAT(mib_counters.broadcast_frames_sent) },
3259 { "unrec_mac_control_received", MV643XX_STAT(mib_counters.unrec_mac_control_received) },
3260 { "fc_sent", MV643XX_STAT(mib_counters.fc_sent) },
3261 { "good_fc_received", MV643XX_STAT(mib_counters.good_fc_received) },
3262 { "bad_fc_received", MV643XX_STAT(mib_counters.bad_fc_received) },
3263 { "undersize_received", MV643XX_STAT(mib_counters.undersize_received) },
3264 { "fragments_received", MV643XX_STAT(mib_counters.fragments_received) },
3265 { "oversize_received", MV643XX_STAT(mib_counters.oversize_received) },
3266 { "jabber_received", MV643XX_STAT(mib_counters.jabber_received) },
3267 { "mac_receive_error", MV643XX_STAT(mib_counters.mac_receive_error) },
3268 { "bad_crc_event", MV643XX_STAT(mib_counters.bad_crc_event) },
3269 { "collision", MV643XX_STAT(mib_counters.collision) },
3270 { "late_collision", MV643XX_STAT(mib_counters.late_collision) },
3271};
3272
ff8ac609 3273#define MV643XX_STATS_LEN ARRAY_SIZE(mv643xx_gstrings_stats)
1da177e4 3274
b4de9051
DF
3275static void mv643xx_get_drvinfo(struct net_device *netdev,
3276 struct ethtool_drvinfo *drvinfo)
1da177e4
LT
3277{
3278 strncpy(drvinfo->driver, mv643xx_driver_name, 32);
3279 strncpy(drvinfo->version, mv643xx_driver_version, 32);
3280 strncpy(drvinfo->fw_version, "N/A", 32);
3281 strncpy(drvinfo->bus_info, "mv643xx", 32);
3282 drvinfo->n_stats = MV643XX_STATS_LEN;
3283}
3284
b9f2c044 3285static int mv643xx_get_sset_count(struct net_device *netdev, int sset)
1da177e4 3286{
b9f2c044
JG
3287 switch (sset) {
3288 case ETH_SS_STATS:
3289 return MV643XX_STATS_LEN;
3290 default:
3291 return -EOPNOTSUPP;
3292 }
1da177e4
LT
3293}
3294
b4de9051
DF
3295static void mv643xx_get_ethtool_stats(struct net_device *netdev,
3296 struct ethtool_stats *stats, uint64_t *data)
1da177e4
LT
3297{
3298 struct mv643xx_private *mp = netdev->priv;
3299 int i;
3300
3301 eth_update_mib_counters(mp);
3302
b4de9051 3303 for (i = 0; i < MV643XX_STATS_LEN; i++) {
6aa20a22 3304 char *p = (char *)mp+mv643xx_gstrings_stats[i].stat_offset;
b4de9051 3305 data[i] = (mv643xx_gstrings_stats[i].sizeof_stat ==
1da177e4
LT
3306 sizeof(uint64_t)) ? *(uint64_t *)p : *(uint32_t *)p;
3307 }
3308}
3309
b4de9051
DF
3310static void mv643xx_get_strings(struct net_device *netdev, uint32_t stringset,
3311 uint8_t *data)
1da177e4
LT
3312{
3313 int i;
3314
3315 switch(stringset) {
3316 case ETH_SS_STATS:
3317 for (i=0; i < MV643XX_STATS_LEN; i++) {
b4de9051
DF
3318 memcpy(data + i * ETH_GSTRING_LEN,
3319 mv643xx_gstrings_stats[i].stat_string,
3320 ETH_GSTRING_LEN);
1da177e4
LT
3321 }
3322 break;
3323 }
3324}
3325
d0412d96
JC
3326static u32 mv643xx_eth_get_link(struct net_device *dev)
3327{
3328 struct mv643xx_private *mp = netdev_priv(dev);
3329
3330 return mii_link_ok(&mp->mii);
3331}
3332
3333static int mv643xx_eth_nway_restart(struct net_device *dev)
3334{
3335 struct mv643xx_private *mp = netdev_priv(dev);
3336
3337 return mii_nway_restart(&mp->mii);
3338}
3339
3340static int mv643xx_eth_do_ioctl(struct net_device *dev, struct ifreq *ifr, int cmd)
3341{
3342 struct mv643xx_private *mp = netdev_priv(dev);
3343
3344 return generic_mii_ioctl(&mp->mii, if_mii(ifr), cmd, NULL);
3345}
3346
7282d491 3347static const struct ethtool_ops mv643xx_ethtool_ops = {
1da177e4 3348 .get_settings = mv643xx_get_settings,
d0412d96 3349 .set_settings = mv643xx_set_settings,
1da177e4 3350 .get_drvinfo = mv643xx_get_drvinfo,
d0412d96 3351 .get_link = mv643xx_eth_get_link,
1da177e4 3352 .set_sg = ethtool_op_set_sg,
194b2d0e 3353 .get_sset_count = mv643xx_get_sset_count,
1da177e4 3354 .get_ethtool_stats = mv643xx_get_ethtool_stats,
d0412d96 3355 .get_strings = mv643xx_get_strings,
d0412d96 3356 .nway_reset = mv643xx_eth_nway_restart,
1da177e4
LT
3357};
3358
3359/************* End ethtool support *************************/
This page took 0.561905 seconds and 5 git commands to generate.