[gas][aarch64][SVE2] Fix pmull{t,b} requirement on SVE2-AES
[deliverable/binutils-gdb.git] / gas / testsuite / gas / aarch64 / illegal-sve2.s
CommitLineData
e111c7d1
MM
1movprfx z0.d, z1.d
2adclb z0.d, z1.d, z2.d
3
4movprfx z0.d, p0/m, z1.d
5adclb z0.d, z1.d, z2.d
6
7adclb z0.d, z0.s, z0.s
8adclb z32.d, z0.d, z0.d
9adclb z0.d, z32.d, z0.d
10adclb z0.d, z0.d, z32.d
11adclt z0.d, z0.s, z0.s
12adclt z32.s, z0.s, z0.s
13adclt z0.s, z32.s, z0.s
14adclt z0.s, z0.s, z32.s
15
16addhnb z0.b, z0.h, z0.b
17addhnb z32.b, z0.h, z0.h
18addhnb z0.b, z32.h, z0.h
19addhnb z0.b, z0.h, z32.h
20addhnt z0.b, z0.h, z0.b
21addhnt z32.b, z0.h, z0.h
22addhnt z0.b, z32.h, z0.h
23addhnt z0.b, z0.h, z32.h
24
25movprfx z0.d, p0/m, z1.d
26addp z0.b, p0/m, z0.b, z1.b
27
28movprfx z0.d, p0/m, z1.d
29addp z0.d, p1/m, z0.d, z1.d
30
31addp z0.b, p0/z, z0.b, z0.b
32addp z0.h, p0/m, z1.h, z0.h
33addp z32.s, p0/m, z32.s, z0.s
34addp z0.s, p0/m, z0.s, z32.s
35addp z0.s, p8/m, z0.s, z0.s
36
37movprfx z0, z1
38aesd z0.b, z0.b, z0.b
39
40aesd z0.b, z1.b, z0.b
41aesd z0.b, z0.s, z0.b
42aesd z32.b, z0.b, z0.b
43aesd z0.b, z0.b, z32.b
44
45movprfx z0, z1
46aese z0.b, z0.b, z0.b
47
48aese z0.b, z1.b, z0.b
49aese z0.b, z0.s, z0.b
50aese z32.b, z0.b, z0.b
51aese z0.b, z0.b, z32.b
52
53movprfx z0, z1
54aesimc z0.b, z0.b
55
56aesimc z0.b, z1.b
57aesimc z0.b, z0.s
58aesimc z32.b, z0.b
59
60movprfx z0, z1
61aesmc z0.b, z0.b
62
63aesmc z0.b, z1.b
64aesmc z0.b, z0.s
65aesmc z32.b, z0.b
66
67bcax z0.d, z1.d, z0.d, z0.d
68bcax z0.d, z0.d, z0.h, z0.d
69bcax z0.d, z0.h, z0.d, z0.d
70bcax z32.d, z32.d, z0.d, z0.d
71bcax z0.d, z0.d, z32.d, z0.d
72bcax z0.d, z0.d, z0.d, z32.d
73
74bsl z0.d, z1.d, z0.d, z0.d
75bsl z0.d, z0.d, z0.h, z0.d
76bsl z0.d, z0.h, z0.d, z0.d
77bsl z32.d, z32.d, z0.d, z0.d
78bsl z0.d, z0.d, z32.d, z0.d
79bsl z0.d, z0.d, z0.d, z32.d
80
81bsl1n z0.d, z1.d, z0.d, z0.d
82bsl1n z0.d, z0.d, z0.h, z0.d
83bsl1n z0.d, z0.h, z0.d, z0.d
84bsl1n z32.d, z32.d, z0.d, z0.d
85bsl1n z0.d, z0.d, z32.d, z0.d
86bsl1n z0.d, z0.d, z0.d, z32.d
87
88bsl2n z0.d, z1.d, z0.d, z0.d
89bsl2n z0.d, z0.d, z0.h, z0.d
90bsl2n z0.d, z0.h, z0.d, z0.d
91bsl2n z32.d, z32.d, z0.d, z0.d
92bsl2n z0.d, z0.d, z32.d, z0.d
93bsl2n z0.d, z0.d, z0.d, z32.d
94
95bdep z0.b, z0.h, z0.b
96bdep z32.h, z0.h, z0.h
97bdep z0.s, z32.s, z0.s
98bdep z0.d, z0.d, z32.d
99
100bext z0.b, z0.h, z0.b
101bext z32.h, z0.h, z0.h
102bext z0.s, z32.s, z0.s
103bext z0.d, z0.d, z32.d
104
105bgrp z0.b, z0.h, z0.b
106bgrp z32.h, z0.h, z0.h
107bgrp z0.s, z32.s, z0.s
108bgrp z0.d, z0.d, z32.d
109
110cadd z18.b, z17.b, z21.b, #90
111cadd z0.b, z0.b, z0.b, #91
112cadd z0.b, z0.h, z0.h, #90
113
114cdot z0.s, z0.b, z0.b[0], #1
115cdot z0.s, z0.b, z0.b[4], #0
116cdot z0.s, z0.d, z0.b[0], #0
117cdot z32.s, z0.b, z0.b[0], #0
118cdot z0.s, z32.b, z0.b[0], #0
119cdot z0.s, z0.b, z8.b[0], #0
120
121cdot z0.d, z0.h, z0.h[0], #1
122cdot z0.d, z0.h, z0.h[1], #0
123cdot z0.d, z0.d, z0.h[0], #0
124cdot z32.d, z0.h, z0.h[0], #0
125cdot z0.d, z32.h, z0.h[0], #0
126cdot z0.d, z0.h, z16.h[0], #0
127
128cdot z32.s, z0.b, z0.b, #0
129cdot z0.s, z32.b, z0.b, #0
130cdot z0.s, z0.b, z32.b, #0
131cdot z0.s, z0.b, z0.s, #0
132cdot z0.s, z0.b, z0.b, #1
133cdot z0.d, z0.h, z0.b, #0
134
135cmla z32.h, z0.h, z0.h[0], #0
136cmla z0.h, z32.h, z0.h[0], #0
137cmla z0.h, z0.h, z8.h[0], #0
138cmla z0.h, z0.h, z0.d[0], #0
139cmla z0.h, z0.h, z0.h[4], #0
140cmla z0.h, z0.h, z0.h[0], #1
141
142cmla z32.s, z0.s, z0.s[0], #0
143cmla z0.s, z32.s, z0.s[0], #0
144cmla z0.s, z0.s, z16.s[0], #0
145cmla z0.s, z0.s, z0.d[0], #0
146cmla z0.s, z0.s, z0.s[2], #0
147cmla z0.s, z0.s, z0.s[0], #1
148
149cmla z32.b, z0.b, z0.b, #0
150cmla z0.b, z32.b, z0.b, #0
151cmla z0.b, z0.b, z32.b, #0
152cmla z0.b, z0.b, z0.h, #0
153cmla z0.b, z0.b, z0.b, #1
154
155eor3 z0.d, z1.d, z0.d, z0.d
156eor3 z0.d, z0.d, z0.h, z0.d
157eor3 z0.d, z0.h, z0.d, z0.d
158
159eorbt z0.b, z0.h, z0.b
160eorbt z32.h, z0.h, z0.h
161eorbt z0.s, z32.s, z0.s
162eorbt z0.s, z0.s, z32.s
163
164eortb z0.b, z0.h, z0.b
165eortb z32.h, z0.h, z0.h
166eortb z0.s, z32.s, z0.s
167eortb z0.s, z0.s, z32.s
168
169ext z0.b, { z0.b, z2.b }, #0
170ext z0.h, { z0.b, z1.b }, #0
171ext z0.b, { z0.h, z1.b }, #0
172ext z0.b, { z0.b, z1.h }, #0
173ext z0.b, { z0.h, z1.h }, #0
174ext z0.b, { z0.b, z1.b, z2.b }, #0
175ext z0.b, { z0.b }, #0
176ext z0.b, z0.b, #0
177ext z0.b, { z31.b, z1.b }, #0
178ext z0.b, { z0.b, z31.b }, #0
179ext z0.b, { z0.b, z1.b }, #256
180ext z32.b, { z0.b, z1.b }, #0
181ext z0.b, { z31.b, z32.b }, #0
182ext z0.b, { z32.b, z33.b }, #0
183
184faddp z32.h, p0/m, z32.h, z0.h
185faddp z0.h, p8/m, z0.h, z0.h
186faddp z0.h, p0/m, z0.h, z32.h
187faddp z0.h, p0/m, z1.h, z0.h
188faddp z0.h, p0/z, z0.h, z0.h
189faddp z0.h, p0/m, z0.b, z0.h
190
191movprfx z0.s, p0/m, z1.s
192fcvtlt z0.s, p0/m, z0.h
193
194fcvtlt z32.s, p0/m, z0.h
195fcvtlt z0.s, p8/m, z0.h
196fcvtlt z0.s, p0/m, z32.h
197fcvtlt z0.s, p0/m, z0.s
198fcvtlt z0.s, p0/z, z0.h
199fcvtlt z32.d, p0/m, z0.s
200fcvtlt z0.d, p8/m, z0.s
201fcvtlt z0.d, p0/m, z32.s
202fcvtlt z0.d, p0/m, z0.d
203fcvtlt z0.d, p0/z, z0.s
204
205movprfx z0.s, p0/m, z1.s
206fcvtnt z0.h, p0/m, z0.s
207
208fcvtnt z32.h, p0/m, z0.s
209fcvtnt z0.h, p8/m, z0.s
210fcvtnt z0.h, p0/m, z32.s
211fcvtnt z0.h, p0/m, z0.h
212fcvtnt z0.h, p0/z, z0.s
213fcvtnt z32.s, p0/m, z0.d
214fcvtnt z0.s, p8/m, z0.d
215fcvtnt z0.s, p0/m, z32.d
216fcvtnt z0.s, p0/m, z0.s
217fcvtnt z0.s, p0/z, z0.d
218
219fcvtx z32.s, p0/m, z0.d
220fcvtx z0.s, p8/m, z0.d
221fcvtx z0.s, p0/m, z32.d
222fcvtx z0.s, p0/m, z0.s
223fcvtx z0.s, p0/z, z0.d
224
225movprfx z0.s, p0/z, z1.s
226fcvtx z0.s, p0/m, z2.d
227
228movprfx z0.s, p0/m, z1.s
229fcvtxnt z0.s, p0/m, z0.d
230
231fcvtxnt z32.s, p0/m, z0.d
232fcvtxnt z0.s, p8/m, z0.d
233fcvtxnt z0.s, p0/m, z32.d
234fcvtxnt z0.s, p0/m, z0.s
235fcvtxnt z0.s, p0/z, z0.d
236
237flogb z0.b, p0/m, z0.b
238flogb z0.b, p0/m, z0.h
239flogb z0.h, p0/z, z0.h
240flogb z32.h, p0/m, z0.h
241flogb z0.h, p8/m, z0.h
242flogb z0.h, p0/m, z32.h
243
244fmaxnmp z0.b, p0/m, z0.h, z0.h
245fmaxnmp z0.h, p0/z, z0.h, z0.h
246fmaxnmp z1.h, p0/m, z0.h, z0.h
247fmaxnmp z32.h, p0/m, z32.h, z0.h
248fmaxnmp z0.h, p8/m, z0.h, z0.h
249fmaxnmp z0.h, p0/m, z0.h, z32.h
250
251fmaxp z0.b, p0/m, z0.h, z0.h
252fmaxp z0.h, p0/z, z0.h, z0.h
253fmaxp z1.h, p0/m, z0.h, z0.h
254fmaxp z32.h, p0/m, z32.h, z0.h
255fmaxp z0.h, p8/m, z0.h, z0.h
256fmaxp z0.h, p0/m, z0.h, z32.h
257
258fminnmp z0.b, p0/m, z0.h, z0.h
259fminnmp z0.h, p0/z, z0.h, z0.h
260fminnmp z1.h, p0/m, z0.h, z0.h
261fminnmp z32.h, p0/m, z32.h, z0.h
262fminnmp z0.h, p8/m, z0.h, z0.h
263fminnmp z0.h, p0/m, z0.h, z32.h
264
265fminp z0.b, p0/m, z0.h, z0.h
266fminp z0.h, p0/z, z0.h, z0.h
267fminp z1.h, p0/m, z0.h, z0.h
268fminp z32.h, p0/m, z32.h, z0.h
269fminp z0.h, p8/m, z0.h, z0.h
270fminp z0.h, p0/m, z0.h, z32.h
271
272fmlalb z0.s, z0.h, z0.h[8]
273fmlalb z0.s, z0.h, z8.h[0]
274fmlalb z0.s, z32.h, z0.h[0]
275fmlalb z32.s, z0.h, z0.h[0]
276fmlalb z0.h, z0.h, z0.h[0]
277
278fmlalb z32.s, z0.h, z0.h
279fmlalb z0.s, z32.h, z0.h
280fmlalb z0.s, z0.h, z32.h
281fmlalb z0.s, z0.h, z0.d
282
283fmlalt z0.s, z0.h, z0.h[8]
284fmlalt z0.s, z0.h, z8.h[0]
285fmlalt z0.s, z32.h, z0.h[0]
286fmlalt z32.s, z0.h, z0.h[0]
287fmlalt z0.h, z0.h, z0.h[0]
288
289fmlalt z32.s, z0.h, z0.h
290fmlalt z0.s, z32.h, z0.h
291fmlalt z0.s, z0.h, z32.h
292fmlalt z0.s, z0.h, z0.d
293
294fmlslb z0.s, z0.h, z0.h[8]
295fmlslb z0.s, z0.h, z8.h[0]
296fmlslb z0.s, z32.h, z0.h[0]
297fmlslb z32.s, z0.h, z0.h[0]
298fmlslb z0.h, z0.h, z0.h[0]
299
300fmlslb z32.s, z0.h, z0.h
301fmlslb z0.s, z32.h, z0.h
302fmlslb z0.s, z0.h, z32.h
303fmlslb z0.s, z0.h, z0.d
304
305fmlslt z0.s, z0.h, z0.h[8]
306fmlslt z0.s, z0.h, z8.h[0]
307fmlslt z0.s, z32.h, z0.h[0]
308fmlslt z32.s, z0.h, z0.h[0]
309fmlslt z0.h, z0.h, z0.h[0]
310
311fmlslt z32.s, z0.h, z0.h
312fmlslt z0.s, z32.h, z0.h
313fmlslt z0.s, z0.h, z32.h
314fmlslt z0.s, z0.h, z0.d
315
316histcnt z32.s, p0/z, z0.s, z0.s
317histcnt z0.s, p8/z, z0.s, z0.s
318histcnt z0.s, p0/z, z32.s, z0.s
319histcnt z0.s, p0/z, z0.s, z32.s
320histcnt z0.s, p0/m, z0.s, z0.s
321histcnt z0.d, p0/z, z0.s, z0.s
322
323histseg z32.b, z0.b, z0.b
324histseg z0.b, z32.b, z0.b
325histseg z0.b, z0.b, z32.b
326histseg z0.b, z0.b, z0.h
327
328ldnt1b { z0.d, z1.d }, p0/z, [z0.d, x0]
329ldnt1b { z0.d }, p0/m, [z0.d]
330ldnt1b { z32.d }, p0/z, [z0.d]
331ldnt1b { z0.d }, p8/z, [z0.d]
332ldnt1b { z0.d }, p0/z, [z32.d]
333ldnt1b { z0.d }, p0/z, [z0.d, sp]
334ldnt1b { z0.d }, p0/z, [z0.d, x32]
335ldnt1b { z0.d }, p0/z, [z0.d, w16]
336ldnt1b { z0.d }, p0/z, [z0.d, z0.d]
337ldnt1b { z0.s }, p0/z, [z0.d]
338ldnt1b { z0.d }, p0/z, [z0.s]
339ldnt1b { z0.s, z1.d }, p0/z, [z0.s, x0]
340ldnt1b { z0.s }, p0/m, [z0.s]
341ldnt1b { z32.s }, p0/z, [z0.s]
342ldnt1b { z0.s }, p8/z, [z0.s]
343ldnt1b { z0.s }, p0/z, [z32.s]
344ldnt1b { z0.s }, p0/z, [z0.s, sp]
345ldnt1b { z0.s }, p0/z, [z0.s, x32]
346ldnt1b { z0.s }, p0/z, [z0.s, z0.s]
347
348ldnt1d { z0.d, z1.d }, p0/z, [z0.d, x0]
349ldnt1d { z0.d }, p0/m, [z0.d]
350ldnt1d { z32.d }, p0/z, [z0.d]
351ldnt1d { z0.d }, p8/z, [z0.d]
352ldnt1d { z0.d }, p0/z, [z32.d]
353ldnt1d { z0.d }, p0/z, [z0.d, sp]
354ldnt1d { z0.d }, p0/z, [z0.d, x32]
355ldnt1d { z0.d }, p0/z, [z0.d, w16]
356ldnt1d { z0.d }, p0/z, [z0.d, z0.d]
357ldnt1d { z0.s }, p0/z, [z0.d]
358ldnt1d { z0.d }, p0/z, [z0.s]
359ldnt1d { z0.d }, p0/m, [z0.d]
360
361ldnt1h { z0.d, z1.d }, p0/z, [z0.d, x0]
362ldnt1h { z0.d }, p0/m, [z0.d]
363ldnt1h { z32.d }, p0/z, [z0.d]
364ldnt1h { z0.d }, p8/z, [z0.d]
365ldnt1h { z0.d }, p0/z, [z32.d]
366ldnt1h { z0.d }, p0/z, [z0.d, sp]
367ldnt1h { z0.d }, p0/z, [z0.d, x32]
368ldnt1h { z0.d }, p0/z, [z0.d, w16]
369ldnt1h { z0.d }, p0/z, [z0.d, z0.d]
370ldnt1h { z0.s }, p0/z, [z0.d]
371ldnt1h { z0.s, z1.d }, p0/z, [z0.s, x0]
372ldnt1h { z32.s }, p0/z, [z0.s]
373ldnt1h { z0.s }, p8/z, [z0.s]
374ldnt1h { z0.s }, p0/z, [z32.s]
375ldnt1h { z0.s }, p0/z, [z0.s, sp]
376ldnt1h { z0.s }, p0/z, [z0.s, x32]
377ldnt1h { z0.s }, p0/z, [z0.s, z0.s]
378
379ldnt1sb { z0.d, z1.d }, p0/z, [z0.d, x0]
380ldnt1sb { z0.d }, p0/m, [z0.d]
381ldnt1sb { z32.d }, p0/z, [z0.d]
382ldnt1sb { z0.d }, p8/z, [z0.d]
383ldnt1sb { z0.d }, p0/z, [z32.d]
384ldnt1sb { z0.d }, p0/z, [z0.d, sp]
385ldnt1sb { z0.d }, p0/z, [z0.d, x32]
386ldnt1sb { z0.d }, p0/z, [z0.d, w16]
387ldnt1sb { z0.d }, p0/z, [z0.d, z0.d]
388
389ldnt1sh { z0.d, z1.d }, p0/z, [z0.d, x0]
390ldnt1sh { z0.d }, p0/m, [z0.d]
391ldnt1sh { z32.d }, p0/z, [z0.d]
392ldnt1sh { z0.d }, p8/z, [z0.d]
393ldnt1sh { z0.d }, p0/z, [z32.d]
394ldnt1sh { z0.d }, p0/z, [z0.d, sp]
395ldnt1sh { z0.d }, p0/z, [z0.d, x32]
396ldnt1sh { z0.d }, p0/z, [z0.d, w16]
397ldnt1sh { z0.d }, p0/z, [z0.d, z0.d]
398
399ldnt1sh { z0.d, z1.d }, p0/z, [z0.d, x0]
400ldnt1sh { z0.d }, p0/m, [z0.d]
401ldnt1sh { z32.d }, p0/z, [z0.d]
402ldnt1sh { z0.d }, p8/z, [z0.d]
403ldnt1sh { z0.d }, p0/z, [z32.d]
404ldnt1sh { z0.d }, p0/z, [z0.d, sp]
405ldnt1sh { z0.d }, p0/z, [z0.d, x32]
406ldnt1sh { z0.d }, p0/z, [z0.d, w16]
407ldnt1sh { z0.d }, p0/z, [z0.d, z0.d]
408
409ldnt1w { z0.d, z1.d }, p0/z, [z0.d, x0]
410ldnt1w { z0.d }, p0/m, [z0.d]
411ldnt1w { z32.d }, p0/z, [z0.d]
412ldnt1w { z0.d }, p8/z, [z0.d]
413ldnt1w { z0.d }, p0/z, [z32.d]
414ldnt1w { z0.d }, p0/z, [z0.d, sp]
415ldnt1w { z0.d }, p0/z, [z0.d, x32]
416ldnt1w { z0.d }, p0/z, [z0.d, w16]
417ldnt1w { z0.d }, p0/z, [z0.d, z0.d]
418ldnt1w { z0.s }, p0/z, [z0.d]
419ldnt1w { z0.s, z1.d }, p0/z, [z0.s, x0]
420ldnt1w { z32.s }, p0/z, [z0.s]
421ldnt1w { z0.s }, p8/z, [z0.s]
422ldnt1w { z0.s }, p0/z, [z32.s]
423ldnt1w { z0.s }, p0/z, [z0.s, sp]
424ldnt1w { z0.s }, p0/z, [z0.s, x32]
425ldnt1w { z0.s }, p0/z, [z0.s, z0.s]
426
427match p0.h, p0/z, z0.b, z0.b
428match p16.b, p0/z, z0.b, z0.b
429match p0.b, p8/z, z0.b, z0.b
430match p0.b, p0/z, z32.b, z0.b
431match p0.b, p0/z, z0.b, z32.b
432
433mla z0.h, z0.h, z0.h[8]
434mla z0.s, z0.h, z0.h[0]
435mla z0.h, z0.h, z0.s[0]
436mla z32.h, z0.h, z0.h[0]
437mla z0.h, z32.h, z0.h[0]
438mla z0.h, z0.h, z8.h[0]
439
440mla z0.s, z0.s, z0.s[4]
441mla z0.h, z0.s, z0.s[0]
442mla z0.s, z0.s, z0.h[0]
443mla z32.s, z0.s, z0.s[0]
444mla z0.s, z32.s, z0.s[0]
445mla z0.s, z0.s, z8.s[0]
446
447mla z0.d, z0.d, z0.d[2]
448mla z0.h, z0.d, z0.d[0]
449mla z0.d, z0.d, z0.h[0]
450mla z32.d, z0.d, z0.d[0]
451mla z0.d, z32.d, z0.d[0]
452mla z0.d, z0.d, z16.d[0]
453
454mls z0.h, z0.h, z0.h[8]
455mls z0.s, z0.h, z0.h[0]
456mls z0.h, z0.h, z0.s[0]
457mls z32.h, z0.h, z0.h[0]
458mls z0.h, z32.h, z0.h[0]
459mls z0.h, z0.h, z8.h[0]
460
461mls z0.s, z0.s, z0.s[4]
462mls z0.h, z0.s, z0.s[0]
463mls z0.s, z0.s, z0.h[0]
464mls z32.s, z0.s, z0.s[0]
465mls z0.s, z32.s, z0.s[0]
466mls z0.s, z0.s, z8.s[0]
467
468mls z0.d, z0.d, z0.d[2]
469mls z0.h, z0.d, z0.d[0]
470mls z0.d, z0.d, z0.h[0]
471mls z32.d, z0.d, z0.d[0]
472mls z0.d, z32.d, z0.d[0]
473mls z0.d, z0.d, z16.d[0]
474
475mul z0.h, z0.h, z0.h[8]
476mul z0.s, z0.h, z0.h[0]
477mul z0.h, z0.h, z0.s[0]
478mul z32.h, z0.h, z0.h[0]
479mul z0.h, z32.h, z0.h[0]
480mul z0.h, z0.h, z8.h[0]
481
482mul z0.s, z0.s, z0.s[4]
483mul z0.h, z0.s, z0.s[0]
484mul z0.s, z0.s, z0.h[0]
485mul z32.s, z0.s, z0.s[0]
486mul z0.s, z32.s, z0.s[0]
487mul z0.s, z0.s, z8.s[0]
488
489mul z0.d, z0.d, z0.d[2]
490mul z0.h, z0.d, z0.d[0]
491mul z0.d, z0.d, z0.h[0]
492mul z32.d, z0.d, z0.d[0]
493mul z0.d, z32.d, z0.d[0]
494mul z0.d, z0.d, z16.d[0]
495
496mul z0.h, z0.b, z0.b
497mul z32.b, z0.b, z0.b
498mul z0.b, z32.b, z0.b
499mul z0.b, z0.b, z0.b
500
501nmatch p0.h, p0/z, z0.b, z0.b
502nmatch p0.b, p0/m, z0.b, z0.b
503nmatch p16.b, p0/z, z0.b, z0.b
504nmatch p0.b, p8/z, z0.b, z0.b
505nmatch p0.b, p0/z, z32.b, z0.b
506nmatch p0.b, p0/z, z0.b, z32.b
507
508nbsl z0.d, z1.d, z0.d, z0.d
509nbsl z0.d, z0.d, z0.h, z0.d
510nbsl z0.d, z0.h, z0.d, z0.d
511
512pmul z0.h, z0.b, z0.b
513pmul z32.b, z0.b, z0.b
514pmul z0.b, z32.b, z0.b
515pmul z0.b, z0.b, z32.b
516
517pmullb z32.q, z0.d, z0.d
518pmullb z0.q, z32.d, z0.d
519pmullb z0.q, z0.d, z32.d
520pmullb z0.d, z0.d, z0.d
521
41be57ca
MM
522pmullb z32.h, z0.b, z0.b
523pmullb z0.h, z32.b, z0.b
524pmullb z0.h, z0.b, z32.b
525pmullb z0.b, z0.b, z0.b
526
e111c7d1
MM
527pmullt z32.q, z0.d, z0.d
528pmullt z0.q, z32.d, z0.d
529pmullt z0.q, z0.d, z32.d
530pmullt z0.d, z0.d, z0.d
531
41be57ca
MM
532pmullt z32.h, z0.b, z0.b
533pmullt z0.h, z32.b, z0.b
534pmullt z0.h, z0.b, z32.b
535pmullt z0.b, z0.b, z0.b
536
e111c7d1
MM
537raddhnb z0.h, z0.h, z0.h
538raddhnb z32.b, z0.h, z0.h
539raddhnb z0.b, z32.h, z0.h
540raddhnb z0.b, z0.h, z32.h
541
542raddhnt z0.h, z0.h, z0.h
543raddhnt z32.b, z0.h, z0.h
544raddhnt z0.b, z32.h, z0.h
545raddhnt z0.b, z0.h, z32.h
546
547rax1 z32.d, z0.d, z0.d
548rax1 z0.d, z32.d, z0.d
549rax1 z0.d, z0.d, z32.d
550rax1 z0.d, z0.d, z0.h
551
552# Too high a shift, too low a shift, invalid arguments.
553rshrnb z32.b, z0.h, #8
554rshrnb z0.b, z32.h, #8
555rshrnb z0.b, z0.h, #9
556rshrnb z0.b, z0.h, #0
557rshrnb z0.h, z0.h, #8
558rshrnb z0.h, z0.s, #0
559rshrnb z0.h, z0.s, #17
560rshrnb z0.s, z0.d, #0
561rshrnb z0.s, z0.d, #33
562
563movprfx z0, z1
564rshrnt z0.b, z1.h, #8
565
566rshrnt z32.b, z0.h, #8
567rshrnt z0.b, z32.h, #8
568rshrnt z0.b, z0.h, #9
569rshrnt z0.b, z0.h, #0
570rshrnt z0.h, z0.h, #8
571rshrnt z0.h, z0.s, #0
572rshrnt z0.h, z0.s, #17
573rshrnt z0.s, z0.d, #0
574rshrnt z0.s, z0.d, #33
575
576rsubhnb z0.h, z0.h, z0.h
577rsubhnb z32.b, z0.h, z0.h
578rsubhnb z0.b, z32.h, z0.h
579rsubhnb z0.b, z0.h, z32.h
580
581rsubhnt z0.h, z0.h, z0.h
582rsubhnt z32.b, z0.h, z0.h
583rsubhnt z0.b, z32.h, z0.h
584rsubhnt z0.b, z0.h, z32.h
585
586saba z0.h, z0.b, z0.b
587saba z32.b, z0.b, z0.b
588saba z0.b, z32.b, z0.b
589saba z0.b, z0.b, z32.b
590
591sabalb z0.b, z0.b, z0.b
592sabalb z32.h, z0.b, z0.b
593sabalb z0.h, z32.b, z0.b
594sabalb z0.h, z0.b, z32.b
595
596sabalt z0.b, z0.b, z0.b
597sabalt z32.h, z0.b, z0.b
598sabalt z0.h, z32.b, z0.b
599sabalt z0.h, z0.b, z32.b
600
601sabdlb z0.b, z0.b, z0.b
602sabdlb z32.h, z0.b, z0.b
603sabdlb z0.h, z32.b, z0.b
604sabdlb z0.h, z0.b, z32.b
605
606sabdlt z0.b, z0.b, z0.b
607sabdlt z32.h, z0.b, z0.b
608sabdlt z0.h, z32.b, z0.b
609sabdlt z0.h, z0.b, z32.b
610
611sadalp z0.b, p0/m, z0.b
612sadalp z0.h, p0/z, z0.b
613sadalp z0.h, p8/m, z0.b
614sadalp z32.h, p0/m, z0.b
615sadalp z0.h, p0/m, z32.b
616
617saddlb z0.b, z0.b, z0.b
618saddlb z32.h, z0.b, z0.b
619saddlb z0.h, z32.b, z0.b
620saddlb z0.h, z0.b, z32.b
621
622saddlbt z0.b, z0.b, z0.b
623saddlbt z32.h, z0.b, z0.b
624saddlbt z0.h, z32.b, z0.b
625saddlbt z0.h, z0.b, z32.b
626
627saddlt z0.b, z0.b, z0.b
628saddlt z32.h, z0.b, z0.b
629saddlt z0.h, z32.b, z0.b
630saddlt z0.h, z0.b, z32.b
631
632saddwb z0.b, z0.h, z0.b
633saddwb z32.h, z0.h, z0.b
634saddwb z0.h, z32.h, z0.b
635saddwb z0.h, z0.h, z32.b
636
637saddwt z0.b, z0.h, z0.b
638saddwt z32.h, z0.h, z0.b
639saddwt z0.h, z32.h, z0.b
640saddwt z0.h, z0.h, z32.b
641
642sbclb z0.d, z0.s, z0.s
643sbclb z32.s, z0.s, z0.s
644sbclb z0.s, z32.s, z0.s
645sbclb z0.s, z0.s, z32.s
646
647sbclt z0.d, z0.s, z0.s
648sbclt z32.s, z0.s, z0.s
649sbclt z0.s, z32.s, z0.s
650sbclt z0.s, z0.s, z32.s
651
652shadd z0.b, p0/m, z1.b, z0.b
653shadd z32.b, p0/m, z0.b, z0.b
654shadd z0.b, p8/m, z0.b, z0.b
655shadd z0.b, p0/m, z32.b, z0.b
656shadd z0.b, p0/m, z0.b, z32.b
657shadd z0.h, p0/m, z0.b, z0.b
658shadd z0.b, p0/z, z0.b, z0.b
659
660shrnb z32.b, z0.h, #8
661shrnb z0.b, z32.h, #8
662shrnb z0.b, z0.h, #9
663shrnb z0.b, z0.h, #0
664shrnb z0.h, z0.h, #8
665shrnb z0.h, z0.s, #0
666shrnb z0.h, z0.s, #17
667shrnb z0.s, z0.d, #0
668shrnb z0.s, z0.d, #33
669
670movprfx z0, z1
671shrnt z0.b, z1.h, #8
672
673shrnt z32.b, z0.h, #8
674shrnt z0.b, z32.h, #8
675shrnt z0.b, z0.h, #9
676shrnt z0.b, z0.h, #0
677shrnt z0.h, z0.h, #8
678shrnt z0.h, z0.s, #0
679shrnt z0.h, z0.s, #17
680shrnt z0.s, z0.d, #0
681shrnt z0.s, z0.d, #33
682
683shsub z0.b, p0/m, z1.b, z0.b
684shsub z32.b, p0/m, z0.b, z0.b
685shsub z0.b, p8/m, z0.b, z0.b
686shsub z0.b, p0/m, z32.b, z0.b
687shsub z0.b, p0/m, z0.b, z32.b
688shsub z0.h, p0/m, z0.b, z0.b
689shsub z0.b, p0/z, z0.b, z0.b
690
691shsubr z0.b, p0/m, z1.b, z0.b
692shsubr z32.b, p0/m, z0.b, z0.b
693shsubr z0.b, p8/m, z0.b, z0.b
694shsubr z0.b, p0/m, z32.b, z0.b
695shsubr z0.b, p0/m, z0.b, z32.b
696shsubr z0.h, p0/m, z0.b, z0.b
697shsubr z0.b, p0/z, z0.b, z0.b
698
699sli z0.h, z0.b, #0
700sli z32.b, z0.b, #0
701sli z0.b, z32.b, #0
702sli z0.b, z0.b, #8
703sli z0.h, z0.h, #16
704sli z0.s, z0.s, #32
705sli z0.d, z0.d, #64
706
707movprfx z0, z1
708sm4e z0.s, z0.s, z1.s
709
710sm4e z1.s, z0.s, z0.s
711sm4e z32.s, z0.s, z0.s
712sm4e z0.s, z32.s, z0.s
713sm4e z0.s, z0.s, z32.s
714sm4e z0.s, z0.s, z0.d
715
716sm4ekey z32.s, z0.s, z0.s
717sm4ekey z0.s, z32.s, z0.s
718sm4ekey z0.s, z0.s, z32.s
719sm4ekey z0.s, z0.s, z0.h
720
721smaxp z0.h, p0/m, z0.b, z0.b
722smaxp z0.b, p0/z, z0.b, z0.b
723smaxp z32.b, p0/m, z0.b, z0.b
724smaxp z0.b, p0/m, z32.b, z0.b
725smaxp z0.b, p0/m, z0.b, z32.b
726smaxp z0.b, p8/m, z0.b, z0.b
727
728sminp z0.h, p0/m, z0.b, z0.b
729sminp z0.b, p0/z, z0.b, z0.b
730sminp z32.b, p0/m, z0.b, z0.b
731sminp z0.b, p0/m, z32.b, z0.b
732sminp z0.b, p0/m, z0.b, z32.b
733sminp z0.b, p8/m, z0.b, z0.b
734
735smlalb z32.s, z0.h, z0.h[0]
736smlalb z0.s, z32.h, z0.h[0]
737smlalb z0.s, z0.h, z8.h[0]
738smlalb z0.s, z0.h, z0.h[8]
739smlalb z0.h, z0.h, z0.h[0]
740
741smlalb z32.d, z0.s, z0.s[0]
742smlalb z0.d, z32.s, z0.s[0]
743smlalb z0.d, z0.s, z16.s[0]
744smlalb z0.d, z0.s, z0.s[4]
745smlalb z0.s, z0.s, z0.s[0]
746
747smlalb z32.h, z0.b, z0.b
748smlalb z0.h, z32.b, z0.b
749smlalb z0.h, z0.b, z32.b
750smlalb z0.s, z0.h, z0.x
751smlalb z0.h, z0.b, z0.h
752
753smlalt z32.s, z0.h, z0.h[0]
754smlalt z0.s, z32.h, z0.h[0]
755smlalt z0.s, z0.h, z8.h[0]
756smlalt z0.s, z0.h, z0.h[8]
757smlalt z0.h, z0.h, z0.h[0]
758
759smlalt z32.d, z0.s, z0.s[0]
760smlalt z0.d, z32.s, z0.s[0]
761smlalt z0.d, z0.s, z16.s[0]
762smlalt z0.d, z0.s, z0.s[4]
763smlalt z0.s, z0.s, z0.s[0]
764
765smlalt z32.h, z0.b, z0.b
766smlalt z0.h, z32.b, z0.b
767smlalt z0.h, z0.b, z32.b
768smlalt z0.s, z0.h, z0.x
769smlalt z0.h, z0.b, z0.h
770
771smlslb z32.s, z0.h, z0.h[0]
772smlslb z0.s, z32.h, z0.h[0]
773smlslb z0.s, z0.h, z8.h[0]
774smlslb z0.s, z0.h, z0.h[8]
775smlslb z0.h, z0.h, z0.h[0]
776
777smlslb z32.d, z0.s, z0.s[0]
778smlslb z0.d, z32.s, z0.s[0]
779smlslb z0.d, z0.s, z16.s[0]
780smlslb z0.d, z0.s, z0.s[4]
781smlslb z0.s, z0.s, z0.s[0]
782
783smlslb z32.h, z0.b, z0.b
784smlslb z0.h, z32.b, z0.b
785smlslb z0.h, z0.b, z32.b
786smlslb z0.s, z0.h, z0.x
787smlslb z0.h, z0.b, z0.h
788
789smlslt z32.s, z0.h, z0.h[0]
790smlslt z0.s, z32.h, z0.h[0]
791smlslt z0.s, z0.h, z8.h[0]
792smlslt z0.s, z0.h, z0.h[8]
793smlslt z0.h, z0.h, z0.h[0]
794
795smlslt z32.d, z0.s, z0.s[0]
796smlslt z0.d, z32.s, z0.s[0]
797smlslt z0.d, z0.s, z16.s[0]
798smlslt z0.d, z0.s, z0.s[4]
799smlslt z0.s, z0.s, z0.s[0]
800
801smlslt z32.h, z0.b, z0.b
802smlslt z0.h, z32.b, z0.b
803smlslt z0.h, z0.b, z32.b
804smlslt z0.s, z0.h, z0.x
805smlslt z0.h, z0.b, z0.h
806
807smulh z0.h, z0.b, z0.b
808smulh z32.b, z0.b, z0.b
809smulh z0.b, z32.b, z0.b
810smulh z0.b, z0.b, z32.b
811
812smullb z32.s, z0.h, z0.h[0]
813smullb z0.s, z32.h, z0.h[0]
814smullb z0.s, z0.h, z8.h[0]
815smullb z0.s, z0.h, z0.h[8]
816smullb z0.h, z0.h, z0.h[0]
817
818smullb z32.d, z0.s, z0.s[0]
819smullb z0.d, z32.s, z0.s[0]
820smullb z0.d, z0.s, z16.s[0]
821smullb z0.d, z0.s, z0.s[4]
822smullb z0.s, z0.s, z0.s[0]
823
824smullb z32.h, z0.b, z0.b
825smullb z0.h, z32.b, z0.b
826smullb z0.h, z0.b, z32.b
827smullb z0.s, z0.h, z0.x
828smullb z0.h, z0.b, z0.h
829
830smullt z32.s, z0.h, z0.h[0]
831smullt z0.s, z32.h, z0.h[0]
832smullt z0.s, z0.h, z8.h[0]
833smullt z0.s, z0.h, z0.h[8]
834smullt z0.h, z0.h, z0.h[0]
835
836smullt z32.d, z0.s, z0.s[0]
837smullt z0.d, z32.s, z0.s[0]
838smullt z0.d, z0.s, z16.s[0]
839smullt z0.d, z0.s, z0.s[4]
840smullt z0.s, z0.s, z0.s[0]
841
842smullt z32.h, z0.b, z0.b
843smullt z0.h, z32.b, z0.b
844smullt z0.h, z0.b, z32.b
845smullt z0.s, z0.h, z0.x
846smullt z0.h, z0.b, z0.h
847
848splice z0.b, p0, { z0.b, z2.b }
849splice z0.h, p0, { z0.b, z1.b }
850splice z0.b, p0, { z0.h, z1.b }
851splice z0.b, p0, { z0.b, z1.h }
852splice z32.b, p0, { z0.b, z1.b }
853splice z0.b, p8, { z0.b, z1.b }
854splice z0.b, p0, { z31.b, z1.b }
855splice z0.b, p0, { z31.b, z32.b }
856splice z0.b, p0, { z32.b, z1.b }
857
858sqabs z32.b, p0/m, z0.b
859sqabs z0.b, p8/m, z0.b
860sqabs z0.b, p0/m, z32.b
861sqabs z0.b, p0/m, z0.h
862sqabs z0.b, p0/z, z0.b
863
864sqadd z32.b, p0/m, z0.b, z0.b
865sqadd z0.b, p0/m, z32.b, z0.b
866sqadd z0.b, p0/m, z0.b, z32.b
867sqadd z0.b, p0/m, z1.b, z0.b
868sqadd z0.b, p8/m, z0.b, z0.b
869sqadd z0.h, p0/m, z0.b, z0.b
870sqadd z0.b, p0/z, z0.b, z0.b
871
872sqcadd z0.b, z0.b, z0.b, #180
873sqcadd z0.b, z1.b, z0.b, #90
874sqcadd z32.b, z0.b, z0.b, #90
875sqcadd z0.b, z32.b, z0.b, #90
876sqcadd z0.b, z0.b, z32.b, #90
877sqcadd z0.b, z0.b, z0.h, #90
878
879sqdmlalb z32.s, z0.h, z0.h[0]
880sqdmlalb z0.s, z32.h, z0.h[0]
881sqdmlalb z0.s, z0.h, z8.h[0]
882sqdmlalb z0.s, z0.h, z0.h[8]
883sqdmlalb z0.h, z0.h, z0.h[0]
884
885sqdmlalb z32.d, z0.s, z0.s[0]
886sqdmlalb z0.d, z32.s, z0.s[0]
887sqdmlalb z0.d, z0.s, z16.s[0]
888sqdmlalb z0.d, z0.s, z0.s[4]
889sqdmlalb z0.s, z0.s, z0.s[0]
890
891sqdmlalb z32.h, z0.b, z0.b
892sqdmlalb z0.h, z32.b, z0.b
893sqdmlalb z0.h, z0.b, z32.b
894sqdmlalb z0.s, z0.h, z0.x
895sqdmlalb z0.h, z0.b, z0.h
896
897sqdmlalbt z32.h, z0.b, z0.b
898sqdmlalbt z0.h, z32.b, z0.b
899sqdmlalbt z0.h, z0.b, z32.b
900sqdmlalbt z0.s, z0.h, z0.x
901sqdmlalbt z0.h, z0.b, z0.h
902
903sqdmlalt z32.s, z0.h, z0.h[0]
904sqdmlalt z0.s, z32.h, z0.h[0]
905sqdmlalt z0.s, z0.h, z8.h[0]
906sqdmlalt z0.s, z0.h, z0.h[8]
907sqdmlalt z0.h, z0.h, z0.h[0]
908
909sqdmlalt z32.d, z0.s, z0.s[0]
910sqdmlalt z0.d, z32.s, z0.s[0]
911sqdmlalt z0.d, z0.s, z16.s[0]
912sqdmlalt z0.d, z0.s, z0.s[4]
913sqdmlalt z0.s, z0.s, z0.s[0]
914
915sqdmlalt z32.h, z0.b, z0.b
916sqdmlalt z0.h, z32.b, z0.b
917sqdmlalt z0.h, z0.b, z32.b
918sqdmlalt z0.s, z0.h, z0.x
919sqdmlalt z0.h, z0.b, z0.h
920
921sqdmlslb z32.s, z0.h, z0.h[0]
922sqdmlslb z0.s, z32.h, z0.h[0]
923sqdmlslb z0.s, z0.h, z8.h[0]
924sqdmlslb z0.s, z0.h, z0.h[8]
925sqdmlslb z0.h, z0.h, z0.h[0]
926
927sqdmlslb z32.d, z0.s, z0.s[0]
928sqdmlslb z0.d, z32.s, z0.s[0]
929sqdmlslb z0.d, z0.s, z16.s[0]
930sqdmlslb z0.d, z0.s, z0.s[4]
931sqdmlslb z0.s, z0.s, z0.s[0]
932
933sqdmlslb z32.h, z0.b, z0.b
934sqdmlslb z0.h, z32.b, z0.b
935sqdmlslb z0.h, z0.b, z32.b
936sqdmlslb z0.s, z0.h, z0.x
937sqdmlslb z0.h, z0.b, z0.h
938
939sqdmlslbt z32.h, z0.b, z0.b
940sqdmlslbt z0.h, z32.b, z0.b
941sqdmlslbt z0.h, z0.b, z32.b
942sqdmlslbt z0.s, z0.h, z0.x
943sqdmlslbt z0.h, z0.b, z0.h
944
945sqdmlslt z32.s, z0.h, z0.h[0]
946sqdmlslt z0.s, z32.h, z0.h[0]
947sqdmlslt z0.s, z0.h, z8.h[0]
948sqdmlslt z0.s, z0.h, z0.h[8]
949sqdmlslt z0.h, z0.h, z0.h[0]
950
951sqdmlslt z32.d, z0.s, z0.s[0]
952sqdmlslt z0.d, z32.s, z0.s[0]
953sqdmlslt z0.d, z0.s, z16.s[0]
954sqdmlslt z0.d, z0.s, z0.s[4]
955sqdmlslt z0.s, z0.s, z0.s[0]
956
957sqdmlslt z32.h, z0.b, z0.b
958sqdmlslt z0.h, z32.b, z0.b
959sqdmlslt z0.h, z0.b, z32.b
960sqdmlslt z0.s, z0.h, z0.x
961sqdmlslt z0.h, z0.b, z0.h
962
963sqdmulh z32.h, z0.h, z0.h[0]
964sqdmulh z0.h, z32.h, z0.h[0]
965sqdmulh z0.h, z0.h, z8.h[0]
966sqdmulh z0.h, z0.h, z0.h[8]
967sqdmulh z0.s, z0.h, z0.h[0]
968sqdmulh z0.h, z0.h, z0.s[0]
969
970sqdmulh z32.s, z0.s, z0.s[0]
971sqdmulh z0.s, z32.s, z0.s[0]
972sqdmulh z0.s, z0.s, z8.s[0]
973sqdmulh z0.s, z0.s, z0.s[4]
974sqdmulh z0.s, z0.h, z0.s[0]
975sqdmulh z0.s, z0.s, z0.h[0]
976
977sqdmulh z32.d, z0.d, z0.d[0]
978sqdmulh z0.d, z32.d, z0.d[0]
979sqdmulh z0.d, z0.d, z16.d[0]
980sqdmulh z0.d, z0.d, z0.d[2]
981sqdmulh z0.d, z0.h, z0.d[0]
982sqdmulh z0.d, z0.d, z0.h[0]
983
984sqdmulh z32.h, z0.b, z0.b
985sqdmulh z0.h, z32.b, z0.b
986sqdmulh z0.h, z0.b, z32.b
987sqdmulh z0.s, z0.h, z0.x
988sqdmulh z0.h, z0.b, z0.h
989
990sqdmullb z32.s, z0.h, z0.h[0]
991sqdmullb z0.s, z32.h, z0.h[0]
992sqdmullb z0.s, z0.h, z8.h[0]
993sqdmullb z0.s, z0.h, z0.h[8]
994sqdmullb z0.h, z0.h, z0.h[0]
995
996sqdmullb z32.d, z0.s, z0.s[0]
997sqdmullb z0.d, z32.s, z0.s[0]
998sqdmullb z0.d, z0.s, z16.s[0]
999sqdmullb z0.d, z0.s, z0.s[4]
1000sqdmullb z0.s, z0.s, z0.s[0]
1001
1002sqdmullb z32.h, z0.b, z0.b
1003sqdmullb z0.h, z32.b, z0.b
1004sqdmullb z0.h, z0.b, z32.b
1005sqdmullb z0.s, z0.h, z0.x
1006sqdmullb z0.h, z0.b, z0.h
1007
1008sqdmullt z32.s, z0.h, z0.h[0]
1009sqdmullt z0.s, z32.h, z0.h[0]
1010sqdmullt z0.s, z0.h, z8.h[0]
1011sqdmullt z0.s, z0.h, z0.h[8]
1012sqdmullt z0.h, z0.h, z0.h[0]
1013
1014sqdmullt z32.d, z0.s, z0.s[0]
1015sqdmullt z0.d, z32.s, z0.s[0]
1016sqdmullt z0.d, z0.s, z16.s[0]
1017sqdmullt z0.d, z0.s, z0.s[4]
1018sqdmullt z0.s, z0.s, z0.s[0]
1019
1020sqdmullt z32.h, z0.b, z0.b
1021sqdmullt z0.h, z32.b, z0.b
1022sqdmullt z0.h, z0.b, z32.b
1023sqdmullt z0.s, z0.h, z0.x
1024sqdmullt z0.h, z0.b, z0.h
1025
1026sqneg z32.b, p0/m, z0.b
1027sqneg z0.b, p8/m, z0.b
1028sqneg z0.b, p0/m, z32.b
1029sqneg z0.b, p0/m, z0.h
1030sqneg z0.b, p0/z, z0.b
1031
1032sqrdcmlah z32.h, z0.h, z0.h[0], #0
1033sqrdcmlah z0.h, z32.h, z0.h[0], #0
1034sqrdcmlah z0.h, z0.h, z8.h[0], #0
1035sqrdcmlah z0.h, z0.h, z0.h[4], #0
1036sqrdcmlah z0.h, z0.h, z0.h[0], #1
1037sqrdcmlah z0.h, z0.h, z0.h[0], #360
1038sqrdcmlah z0.h, z0.h, z0.s[0], #0
1039sqrdcmlah z0.h, z0.s, z0.h[0], #0
1040
1041sqrdcmlah z32.s, z0.s, z0.s[0], #0
1042sqrdcmlah z0.s, z32.s, z0.s[0], #0
1043sqrdcmlah z0.s, z0.s, z16.s[0], #0
1044sqrdcmlah z0.s, z0.s, z0.s[2], #0
1045sqrdcmlah z0.s, z0.s, z0.s[0], #1
1046sqrdcmlah z0.s, z0.s, z0.s[0], #360
1047sqrdcmlah z0.s, z0.s, z0.h[0], #0
1048sqrdcmlah z0.s, z0.h, z0.s[0], #0
1049
1050sqrdcmlah z32.b, z0.b, z0.b, #0
1051sqrdcmlah z0.b, z32.b, z0.b, #0
1052sqrdcmlah z0.b, z0.b, z32.b, #0
1053sqrdcmlah z0.b, z0.b, z0.b, #1
1054sqrdcmlah z0.b, z0.b, z0.b, #360
1055sqrdcmlah z0.b, z0.b, z0.h, #0
1056
1057sqrdmlah z32.h, z0.h, z0.h[0]
1058sqrdmlah z0.h, z32.h, z0.h[0]
1059sqrdmlah z0.h, z0.h, z8.h[0]
1060sqrdmlah z0.h, z0.h, z0.h[8]
1061sqrdmlah z0.s, z0.h, z0.h[0]
1062sqrdmlah z0.h, z0.h, z0.s[0]
1063
1064sqrdmlah z32.s, z0.s, z0.s[0]
1065sqrdmlah z0.s, z32.s, z0.s[0]
1066sqrdmlah z0.s, z0.s, z8.s[0]
1067sqrdmlah z0.s, z0.s, z0.s[4]
1068sqrdmlah z0.s, z0.h, z0.s[0]
1069sqrdmlah z0.s, z0.s, z0.h[0]
1070
1071sqrdmlah z32.d, z0.d, z0.d[0]
1072sqrdmlah z0.d, z32.d, z0.d[0]
1073sqrdmlah z0.d, z0.d, z16.d[0]
1074sqrdmlah z0.d, z0.d, z0.d[2]
1075sqrdmlah z0.d, z0.h, z0.d[0]
1076sqrdmlah z0.d, z0.d, z0.h[0]
1077
1078sqrdmlah z32.h, z0.b, z0.b
1079sqrdmlah z0.h, z32.b, z0.b
1080sqrdmlah z0.h, z0.b, z32.b
1081sqrdmlah z0.s, z0.h, z0.x
1082sqrdmlah z0.h, z0.b, z0.h
1083
1084sqrdmlsh z32.h, z0.h, z0.h[0]
1085sqrdmlsh z0.h, z32.h, z0.h[0]
1086sqrdmlsh z0.h, z0.h, z8.h[0]
1087sqrdmlsh z0.h, z0.h, z0.h[8]
1088sqrdmlsh z0.s, z0.h, z0.h[0]
1089sqrdmlsh z0.h, z0.h, z0.s[0]
1090
1091sqrdmlsh z32.s, z0.s, z0.s[0]
1092sqrdmlsh z0.s, z32.s, z0.s[0]
1093sqrdmlsh z0.s, z0.s, z8.s[0]
1094sqrdmlsh z0.s, z0.s, z0.s[4]
1095sqrdmlsh z0.s, z0.h, z0.s[0]
1096sqrdmlsh z0.s, z0.s, z0.h[0]
1097
1098sqrdmlsh z32.d, z0.d, z0.d[0]
1099sqrdmlsh z0.d, z32.d, z0.d[0]
1100sqrdmlsh z0.d, z0.d, z16.d[0]
1101sqrdmlsh z0.d, z0.d, z0.d[2]
1102sqrdmlsh z0.d, z0.h, z0.d[0]
1103sqrdmlsh z0.d, z0.d, z0.h[0]
1104
1105sqrdmlsh z32.h, z0.b, z0.b
1106sqrdmlsh z0.h, z32.b, z0.b
1107sqrdmlsh z0.h, z0.b, z32.b
1108sqrdmlsh z0.s, z0.h, z0.x
1109sqrdmlsh z0.h, z0.b, z0.h
1110
1111sqrdmulh z32.h, z0.h, z0.h[0]
1112sqrdmulh z0.h, z32.h, z0.h[0]
1113sqrdmulh z0.h, z0.h, z8.h[0]
1114sqrdmulh z0.h, z0.h, z0.h[8]
1115sqrdmulh z0.s, z0.h, z0.h[0]
1116sqrdmulh z0.h, z0.h, z0.s[0]
1117
1118sqrdmulh z32.s, z0.s, z0.s[0]
1119sqrdmulh z0.s, z32.s, z0.s[0]
1120sqrdmulh z0.s, z0.s, z8.s[0]
1121sqrdmulh z0.s, z0.s, z0.s[4]
1122sqrdmulh z0.s, z0.h, z0.s[0]
1123sqrdmulh z0.s, z0.s, z0.h[0]
1124
1125sqrdmulh z32.d, z0.d, z0.d[0]
1126sqrdmulh z0.d, z32.d, z0.d[0]
1127sqrdmulh z0.d, z0.d, z16.d[0]
1128sqrdmulh z0.d, z0.d, z0.d[2]
1129sqrdmulh z0.d, z0.h, z0.d[0]
1130sqrdmulh z0.d, z0.d, z0.h[0]
1131
1132sqrdmulh z32.h, z0.b, z0.b
1133sqrdmulh z0.h, z32.b, z0.b
1134sqrdmulh z0.h, z0.b, z32.b
1135sqrdmulh z0.s, z0.h, z0.x
1136sqrdmulh z0.h, z0.b, z0.h
1137
1138sqrshl z32.b, p0/m, z0.b, z0.b
1139sqrshl z0.b, p0/m, z32.b, z0.b
1140sqrshl z0.b, p0/m, z0.b, z32.b
1141sqrshl z0.b, p0/m, z1.b, z0.b
1142sqrshl z0.b, p8/m, z0.b, z0.b
1143sqrshl z0.h, p0/m, z0.b, z0.b
1144sqrshl z0.b, p0/z, z0.b, z0.b
1145
1146sqrshlr z32.b, p0/m, z0.b, z0.b
1147sqrshlr z0.b, p0/m, z32.b, z0.b
1148sqrshlr z0.b, p0/m, z0.b, z32.b
1149sqrshlr z0.b, p0/m, z1.b, z0.b
1150sqrshlr z0.b, p8/m, z0.b, z0.b
1151sqrshlr z0.h, p0/m, z0.b, z0.b
1152sqrshlr z0.b, p0/z, z0.b, z0.b
1153
1154sqrshrnb z32.b, z0.h, #8
1155sqrshrnb z0.b, z32.h, #8
1156sqrshrnb z0.b, z0.h, #9
1157sqrshrnb z0.b, z0.h, #0
1158sqrshrnb z0.h, z0.h, #8
1159sqrshrnb z0.h, z0.s, #0
1160sqrshrnb z0.h, z0.s, #17
1161sqrshrnb z0.s, z0.d, #0
1162sqrshrnb z0.s, z0.d, #33
1163
1164movprfx z0, z1
1165sqrshrnt z0.b, z0.h, #1
1166
1167sqrshrnt z32.b, z0.h, #8
1168sqrshrnt z0.b, z32.h, #8
1169sqrshrnt z0.b, z0.h, #9
1170sqrshrnt z0.b, z0.h, #0
1171sqrshrnt z0.h, z0.h, #8
1172sqrshrnt z0.h, z0.s, #0
1173sqrshrnt z0.h, z0.s, #17
1174sqrshrnt z0.s, z0.d, #0
1175sqrshrnt z0.s, z0.d, #33
1176
1177sqrshrunb z32.b, z0.h, #8
1178sqrshrunb z0.b, z32.h, #8
1179sqrshrunb z0.b, z0.h, #9
1180sqrshrunb z0.b, z0.h, #0
1181sqrshrunb z0.h, z0.h, #8
1182sqrshrunb z0.h, z0.s, #0
1183sqrshrunb z0.h, z0.s, #17
1184sqrshrunb z0.s, z0.d, #0
1185sqrshrunb z0.s, z0.d, #33
1186
1187movprfx z0, z1
1188sqrshrunt z0.b, z0.h, #1
1189
1190sqrshrunt z32.b, z0.h, #8
1191sqrshrunt z0.b, z32.h, #8
1192sqrshrunt z0.b, z0.h, #9
1193sqrshrunt z0.b, z0.h, #0
1194sqrshrunt z0.h, z0.h, #8
1195sqrshrunt z0.h, z0.s, #0
1196sqrshrunt z0.h, z0.s, #17
1197sqrshrunt z0.s, z0.d, #0
1198sqrshrunt z0.s, z0.d, #33
1199
1200sqshl z0.h, p0/m, z0.b, #0
1201sqshl z32.b, p0/m, z32.b, #0
1202sqshl z0.b, p0/m, z1.b, #0
1203sqshl z0.b, p8/m, z0.b, #0
1204sqshl z0.b, p0/m, z0.b, #8
1205sqshl z0.h, p0/m, z0.h, #16
1206sqshl z0.s, p0/m, z0.s, #32
1207sqshl z0.d, p0/m, z0.d, #64
1208
1209sqshl z32.b, p0/m, z0.b, z0.b
1210sqshl z0.b, p0/m, z32.b, z0.b
1211sqshl z0.b, p0/m, z0.b, z32.b
1212sqshl z0.b, p0/m, z1.b, z0.b
1213sqshl z0.b, p8/m, z0.b, z0.b
1214sqshl z0.h, p0/m, z0.b, z0.b
1215sqshl z0.b, p0/z, z0.b, z0.b
1216
1217sqshlr z32.b, p0/m, z0.b, z0.b
1218sqshlr z0.b, p0/m, z32.b, z0.b
1219sqshlr z0.b, p0/m, z0.b, z32.b
1220sqshlr z0.b, p0/m, z1.b, z0.b
1221sqshlr z0.b, p8/m, z0.b, z0.b
1222sqshlr z0.h, p0/m, z0.b, z0.b
1223sqshlr z0.b, p0/z, z0.b, z0.b
1224
1225sqshlu z0.h, p0/m, z0.b, #0
1226sqshlu z32.b, p0/m, z32.b, #0
1227sqshlu z0.b, p0/m, z1.b, #0
1228sqshlu z0.b, p8/m, z0.b, #0
1229sqshlu z0.b, p0/m, z0.b, #8
1230sqshlu z0.h, p0/m, z0.h, #16
1231sqshlu z0.s, p0/m, z0.s, #32
1232sqshlu z0.d, p0/m, z0.d, #64
1233
1234sqshrnb z32.b, z0.h, #8
1235sqshrnb z0.b, z32.h, #8
1236sqshrnb z0.b, z0.h, #9
1237sqshrnb z0.b, z0.h, #0
1238sqshrnb z0.h, z0.h, #8
1239sqshrnb z0.h, z0.s, #0
1240sqshrnb z0.h, z0.s, #17
1241sqshrnb z0.s, z0.d, #0
1242sqshrnb z0.s, z0.d, #33
1243
1244movprfx z0, z1
1245sqshrnt z0.b, z0.h, #1
1246
1247sqshrnt z32.b, z0.h, #8
1248sqshrnt z0.b, z32.h, #8
1249sqshrnt z0.b, z0.h, #9
1250sqshrnt z0.b, z0.h, #0
1251sqshrnt z0.h, z0.h, #8
1252sqshrnt z0.h, z0.s, #0
1253sqshrnt z0.h, z0.s, #17
1254sqshrnt z0.s, z0.d, #0
1255sqshrnt z0.s, z0.d, #33
1256
1257sqshrunb z32.b, z0.h, #8
1258sqshrunb z0.b, z32.h, #8
1259sqshrunb z0.b, z0.h, #9
1260sqshrunb z0.b, z0.h, #0
1261sqshrunb z0.h, z0.h, #8
1262sqshrunb z0.h, z0.s, #0
1263sqshrunb z0.h, z0.s, #17
1264sqshrunb z0.s, z0.d, #0
1265sqshrunb z0.s, z0.d, #33
1266
1267movprfx z0, z1
1268sqshrunt z0.b, z0.h, #1
1269
1270sqshrunt z32.b, z0.h, #8
1271sqshrunt z0.b, z32.h, #8
1272sqshrunt z0.b, z0.h, #9
1273sqshrunt z0.b, z0.h, #0
1274sqshrunt z0.h, z0.h, #8
1275sqshrunt z0.h, z0.s, #0
1276sqshrunt z0.h, z0.s, #17
1277sqshrunt z0.s, z0.d, #0
1278sqshrunt z0.s, z0.d, #33
1279
1280sqsub z32.b, p0/m, z0.b, z0.b
1281sqsub z0.b, p0/m, z32.b, z0.b
1282sqsub z0.b, p0/m, z0.b, z32.b
1283sqsub z0.b, p0/m, z1.b, z0.b
1284sqsub z0.b, p8/m, z0.b, z0.b
1285sqsub z0.h, p0/m, z0.b, z0.b
1286sqsub z0.b, p0/z, z0.b, z0.b
1287
1288sqsubr z32.b, p0/m, z0.b, z0.b
1289sqsubr z0.b, p0/m, z32.b, z0.b
1290sqsubr z0.b, p0/m, z0.b, z32.b
1291sqsubr z0.b, p0/m, z1.b, z0.b
1292sqsubr z0.b, p8/m, z0.b, z0.b
1293sqsubr z0.h, p0/m, z0.b, z0.b
1294sqsubr z0.b, p0/z, z0.b, z0.b
1295
1296sqxtnb z32.b, z0.h
1297sqxtnb z0.b, z32.h
1298sqxtnb z0.b, z0.s
1299
1300sqxtnt z32.b, z0.h
1301sqxtnt z0.b, z32.h
1302sqxtnt z0.b, z0.s
1303
1304sqxtunb z32.b, z0.h
1305sqxtunb z0.b, z32.h
1306sqxtunb z0.b, z0.s
1307
1308sqxtunt z32.b, z0.h
1309sqxtunt z0.b, z32.h
1310sqxtunt z0.b, z0.s
1311
1312srhadd z32.b, p0/m, z0.b, z0.b
1313srhadd z0.b, p0/m, z32.b, z0.b
1314srhadd z0.b, p0/m, z0.b, z32.b
1315srhadd z0.b, p0/m, z1.b, z0.b
1316srhadd z0.b, p8/m, z0.b, z0.b
1317srhadd z0.h, p0/m, z0.b, z0.b
1318srhadd z0.b, p0/z, z0.b, z0.b
1319
1320sri z0.h, z0.b, #1
1321sri z32.b, z0.b, #1
1322sri z0.b, z32.b, #1
1323sri z0.b, z0.b, #0
1324sri z0.b, z0.b, #9
1325sri z0.h, z0.h, #0
1326sri z0.h, z0.h, #17
1327sri z0.s, z0.s, #0
1328sri z0.s, z0.s, #33
1329sri z0.d, z0.d, #0
1330sri z0.d, z0.d, #64
1331
1332srshl z32.b, p0/m, z0.b, z0.b
1333srshl z0.b, p0/m, z32.b, z0.b
1334srshl z0.b, p0/m, z0.b, z32.b
1335srshl z0.b, p0/m, z1.b, z0.b
1336srshl z0.b, p8/m, z0.b, z0.b
1337srshl z0.h, p0/m, z0.b, z0.b
1338srshl z0.b, p0/z, z0.b, z0.b
1339
1340srshlr z32.b, p0/m, z0.b, z0.b
1341srshlr z0.b, p0/m, z32.b, z0.b
1342srshlr z0.b, p0/m, z0.b, z32.b
1343srshlr z0.b, p0/m, z1.b, z0.b
1344srshlr z0.b, p8/m, z0.b, z0.b
1345srshlr z0.h, p0/m, z0.b, z0.b
1346srshlr z0.b, p0/z, z0.b, z0.b
1347
1348srshr z0.h, p0/m, z0.b, #1
1349srshr z32.b, p0/m, z32.b, #1
1350srshr z0.b, p0/m, z1.b, #1
1351srshr z0.b, p8/m, z0.b, #1
1352srshr z0.b, p0/m, z0.b, #0
1353srshr z0.b, p0/m, z0.b, #9
1354srshr z0.h, p0/m, z0.h, #0
1355srshr z0.h, p0/m, z0.h, #17
1356srshr z0.s, p0/m, z0.s, #0
1357srshr z0.s, p0/m, z0.s, #33
1358srshr z0.d, p0/m, z0.d, #0
1359srshr z0.d, p0/m, z0.d, #65
1360
1361srsra z0.h, z0.b, #1
1362srsra z32.b, z0.b, #1
1363srsra z0.b, z32.b, #1
1364srsra z0.b, z0.b, #0
1365srsra z0.b, z0.b, #9
1366srsra z0.h, z0.h, #0
1367srsra z0.h, z0.h, #17
1368srsra z0.s, z0.s, #0
1369srsra z0.s, z0.s, #33
1370srsra z0.d, z0.d, #0
1371srsra z0.d, z0.d, #64
1372
1373sshllb z0.b, z0.b, #0
1374sshllb z32.h, z0.b, #0
1375sshllb z0.h, z32.b, #0
1376sshllb z0.h, z0.b, #8
1377sshllb z0.s, z0.h, #16
1378sshllb z0.d, z0.s, #32
1379
1380sshllt z0.b, z0.b, #0
1381sshllt z32.h, z0.b, #0
1382sshllt z0.h, z32.b, #0
1383sshllt z0.h, z0.b, #8
1384sshllt z0.s, z0.h, #16
1385sshllt z0.d, z0.s, #32
1386
1387ssra z0.h, z0.b, #1
1388ssra z32.b, z0.b, #1
1389ssra z0.b, z32.b, #1
1390ssra z0.b, z0.b, #0
1391ssra z0.b, z0.b, #9
1392ssra z0.h, z0.h, #0
1393ssra z0.h, z0.h, #17
1394ssra z0.s, z0.s, #0
1395ssra z0.s, z0.s, #33
1396ssra z0.d, z0.d, #0
1397ssra z0.d, z0.d, #64
1398
1399ssublb z32.h, z0.b, z0.b
1400ssublb z0.h, z32.b, z0.b
1401ssublb z0.h, z0.b, z32.b
1402ssublb z0.s, z0.h, z0.x
1403ssublb z0.h, z0.b, z0.h
1404
1405ssublbt z32.h, z0.b, z0.b
1406ssublbt z0.h, z32.b, z0.b
1407ssublbt z0.h, z0.b, z32.b
1408ssublbt z0.s, z0.h, z0.x
1409ssublbt z0.h, z0.b, z0.h
1410
1411ssublt z32.h, z0.b, z0.b
1412ssublt z0.h, z32.b, z0.b
1413ssublt z0.h, z0.b, z32.b
1414ssublt z0.s, z0.h, z0.x
1415ssublt z0.h, z0.b, z0.h
1416
1417ssubltb z32.h, z0.b, z0.b
1418ssubltb z0.h, z32.b, z0.b
1419ssubltb z0.h, z0.b, z32.b
1420ssubltb z0.s, z0.h, z0.x
1421ssubltb z0.h, z0.b, z0.h
1422
1423ssubwb z32.h, z0.h, z0.b
1424ssubwb z0.h, z32.h, z0.b
1425ssubwb z0.h, z0.h, z32.b
1426ssubwb z0.s, z0.s, z0.x
1427ssubwb z0.h, z0.h, z0.h
1428
1429ssubwt z32.h, z0.h, z0.b
1430ssubwt z0.h, z32.h, z0.b
1431ssubwt z0.h, z0.h, z32.b
1432ssubwt z0.s, z0.s, z0.x
1433ssubwt z0.h, z0.h, z0.h
1434
1435stnt1b { z0.d, z1.d }, p0, [z0.d, x0]
1436stnt1b { z0.d }, p0/m, [z0.d]
1437stnt1b { z32.d }, p0, [z0.d]
1438stnt1b { z0.d }, p8, [z0.d]
1439stnt1b { z0.d }, p0, [z32.d]
1440stnt1b { z0.d }, p0, [z0.d, sp]
1441stnt1b { z0.d }, p0, [z0.d, x32]
1442stnt1b { z0.d }, p0, [z0.d, w16]
1443stnt1b { z0.d }, p0, [z0.d, z0.d]
1444stnt1b { z0.s }, p0, [z0.d]
1445stnt1b { z0.s, z1.d }, p0, [z0.s, x0]
1446stnt1b { z32.s }, p0, [z0.s]
1447stnt1b { z0.s }, p8, [z0.s]
1448stnt1b { z0.s }, p0, [z32.s]
1449stnt1b { z0.s }, p0, [z0.s, sp]
1450stnt1b { z0.s }, p0, [z0.s, x32]
1451stnt1b { z0.s }, p0, [z0.s, z0.s]
1452
1453stnt1d { z0.d, z1.d }, p0, [z0.d, x0]
1454stnt1d { z0.d }, p0/m, [z0.d]
1455stnt1d { z32.d }, p0, [z0.d]
1456stnt1d { z0.d }, p8, [z0.d]
1457stnt1d { z0.d }, p0, [z32.d]
1458stnt1d { z0.d }, p0, [z0.d, sp]
1459stnt1d { z0.d }, p0, [z0.d, x32]
1460stnt1d { z0.d }, p0, [z0.d, w16]
1461stnt1d { z0.d }, p0, [z0.d, z0.d]
1462stnt1d { z0.s }, p0, [z0.d]
1463
1464stnt1h { z0.d, z1.d }, p0, [z0.d, x0]
1465stnt1h { z0.d }, p0/m, [z0.d]
1466stnt1h { z32.d }, p0, [z0.d]
1467stnt1h { z0.d }, p8, [z0.d]
1468stnt1h { z0.d }, p0, [z32.d]
1469stnt1h { z0.d }, p0, [z0.d, sp]
1470stnt1h { z0.d }, p0, [z0.d, x32]
1471stnt1h { z0.d }, p0, [z0.d, w16]
1472stnt1h { z0.d }, p0, [z0.d, z0.d]
1473stnt1h { z0.s }, p0, [z0.d]
1474stnt1h { z0.s, z1.d }, p0, [z0.s, x0]
1475stnt1h { z32.s }, p0, [z0.s]
1476stnt1h { z0.s }, p8, [z0.s]
1477stnt1h { z0.s }, p0, [z32.s]
1478stnt1h { z0.s }, p0, [z0.s, sp]
1479stnt1h { z0.s }, p0, [z0.s, x32]
1480stnt1h { z0.s }, p0, [z0.s, z0.s]
1481
1482stnt1w { z0.d, z1.d }, p0, [z0.d, x0]
1483stnt1w { z0.d }, p0/m, [z0.d]
1484stnt1w { z32.d }, p0, [z0.d]
1485stnt1w { z0.d }, p8, [z0.d]
1486stnt1w { z0.d }, p0, [z32.d]
1487stnt1w { z0.d }, p0, [z0.d, sp]
1488stnt1w { z0.d }, p0, [z0.d, x32]
1489stnt1w { z0.d }, p0, [z0.d, w16]
1490stnt1w { z0.d }, p0, [z0.d, z0.d]
1491stnt1w { z0.s }, p0, [z0.d]
1492stnt1w { z0.s, z1.d }, p0, [z0.s, x0]
1493stnt1w { z32.s }, p0, [z0.s]
1494stnt1w { z0.s }, p8, [z0.s]
1495stnt1w { z0.s }, p0, [z32.s]
1496stnt1w { z0.s }, p0, [z0.s, sp]
1497stnt1w { z0.s }, p0, [z0.s, x32]
1498stnt1w { z0.s }, p0, [z0.s, z0.s]
1499
1500subhnb z0.h, z0.h, z0.h
1501subhnb z32.b, z0.h, z0.h
1502subhnb z0.b, z32.h, z0.h
1503subhnb z0.b, z0.h, z32.h
1504
1505subhnt z0.h, z0.h, z0.h
1506subhnt z32.b, z0.h, z0.h
1507subhnt z0.b, z32.h, z0.h
1508subhnt z0.b, z0.h, z32.h
1509
1510suqadd z32.b, p0/m, z0.b, z0.b
1511suqadd z0.b, p0/m, z32.b, z0.b
1512suqadd z0.b, p0/m, z0.b, z32.b
1513suqadd z0.b, p0/m, z1.b, z0.b
1514suqadd z0.b, p8/m, z0.b, z0.b
1515suqadd z0.h, p0/m, z0.b, z0.b
1516suqadd z0.b, p0/z, z0.b, z0.b
1517
1518tbl z32.b, { z0.b, z1.b }, z0.b
1519tbl z0.b, { z31.b, z32.b }, z0.b
1520tbl z0.b, { z31.b, z1.b }, z0.b
1521tbl z0.b, { z0.b, z1.b }, z32.b
1522tbl z0.b, { z0.b, z1.b }, z0.h
1523tbl z0.b, { z0.b, z1.h }, z0.b
1524tbl z0.b, { z0.h, z0.b }, z0.b
1525tbl z0.h, { z0.b, z0.b }, z0.b
1526
1527tbx z32.h, z0.b, z0.b
1528tbx z0.h, z32.b, z0.b
1529tbx z0.h, z0.b, z32.b
1530tbx z0.s, z0.h, z0.x
1531tbx z0.h, z0.b, z0.h
1532
1533uaba z32.h, z0.b, z0.b
1534uaba z0.h, z32.b, z0.b
1535uaba z0.h, z0.b, z32.b
1536uaba z0.s, z0.h, z0.x
1537uaba z0.h, z0.b, z0.h
1538
1539uabalb z32.h, z0.b, z0.b
1540uabalb z0.h, z32.b, z0.b
1541uabalb z0.h, z0.b, z32.b
1542uabalb z0.s, z0.h, z0.x
1543uabalb z0.h, z0.b, z0.h
1544
1545uabalt z32.h, z0.b, z0.b
1546uabalt z0.h, z32.b, z0.b
1547uabalt z0.h, z0.b, z32.b
1548uabalt z0.s, z0.h, z0.x
1549uabalt z0.h, z0.b, z0.h
1550
1551uabdlb z32.h, z0.b, z0.b
1552uabdlb z0.h, z32.b, z0.b
1553uabdlb z0.h, z0.b, z32.b
1554uabdlb z0.s, z0.h, z0.x
1555uabdlb z0.h, z0.b, z0.h
1556
1557uabdlt z32.h, z0.b, z0.b
1558uabdlt z0.h, z32.b, z0.b
1559uabdlt z0.h, z0.b, z32.b
1560uabdlt z0.s, z0.h, z0.x
1561uabdlt z0.h, z0.b, z0.h
1562
1563uadalp z0.b, p0/m, z0.b
1564uadalp z0.h, p0/z, z0.b
1565uadalp z0.h, p8/m, z0.b
1566uadalp z32.h, p0/m, z0.b
1567uadalp z0.h, p0/m, z32.b
1568
1569uaddlb z32.h, z0.b, z0.b
1570uaddlb z0.h, z32.b, z0.b
1571uaddlb z0.h, z0.b, z32.b
1572uaddlb z0.s, z0.h, z0.x
1573uaddlb z0.h, z0.b, z0.h
1574
1575uaddlt z32.h, z0.b, z0.b
1576uaddlt z0.h, z32.b, z0.b
1577uaddlt z0.h, z0.b, z32.b
1578uaddlt z0.s, z0.h, z0.x
1579uaddlt z0.h, z0.b, z0.h
1580
1581uaddwb z32.h, z0.h, z0.b
1582uaddwb z0.h, z32.h, z0.b
1583uaddwb z0.h, z0.h, z32.b
1584uaddwb z0.s, z0.s, z0.x
1585uaddwb z0.h, z0.h, z0.h
1586
1587uaddwt z32.h, z0.h, z0.b
1588uaddwt z0.h, z32.h, z0.b
1589uaddwt z0.h, z0.h, z32.b
1590uaddwt z0.s, z0.s, z0.x
1591uaddwt z0.h, z0.h, z0.h
1592
1593uhadd z32.b, p0/m, z0.b, z0.b
1594uhadd z0.b, p0/m, z32.b, z0.b
1595uhadd z0.b, p0/m, z0.b, z32.b
1596uhadd z0.b, p0/m, z1.b, z0.b
1597uhadd z0.b, p8/m, z0.b, z0.b
1598uhadd z0.h, p0/m, z0.b, z0.b
1599uhadd z0.b, p0/z, z0.b, z0.b
1600
1601uhsub z32.b, p0/m, z0.b, z0.b
1602uhsub z0.b, p0/m, z32.b, z0.b
1603uhsub z0.b, p0/m, z0.b, z32.b
1604uhsub z0.b, p0/m, z1.b, z0.b
1605uhsub z0.b, p8/m, z0.b, z0.b
1606uhsub z0.h, p0/m, z0.b, z0.b
1607uhsub z0.b, p0/z, z0.b, z0.b
1608
1609uhsubr z32.b, p0/m, z0.b, z0.b
1610uhsubr z0.b, p0/m, z32.b, z0.b
1611uhsubr z0.b, p0/m, z0.b, z32.b
1612uhsubr z0.b, p0/m, z1.b, z0.b
1613uhsubr z0.b, p8/m, z0.b, z0.b
1614uhsubr z0.h, p0/m, z0.b, z0.b
1615uhsubr z0.b, p0/z, z0.b, z0.b
1616
1617umaxp z32.b, p0/m, z0.b, z0.b
1618umaxp z0.b, p0/m, z32.b, z0.b
1619umaxp z0.b, p0/m, z0.b, z32.b
1620umaxp z0.b, p0/m, z1.b, z0.b
1621umaxp z0.b, p8/m, z0.b, z0.b
1622umaxp z0.h, p0/m, z0.b, z0.b
1623umaxp z0.b, p0/z, z0.b, z0.b
1624
1625uminp z32.b, p0/m, z0.b, z0.b
1626uminp z0.b, p0/m, z32.b, z0.b
1627uminp z0.b, p0/m, z0.b, z32.b
1628uminp z0.b, p0/m, z1.b, z0.b
1629uminp z0.b, p8/m, z0.b, z0.b
1630uminp z0.h, p0/m, z0.b, z0.b
1631uminp z0.b, p0/z, z0.b, z0.b
1632
1633umlalb z32.s, z0.h, z0.h[0]
1634umlalb z0.s, z32.h, z0.h[0]
1635umlalb z0.s, z0.h, z8.h[0]
1636umlalb z0.s, z0.h, z0.h[8]
1637umlalb z0.h, z0.h, z0.h[0]
1638
1639umlalb z32.d, z0.s, z0.s[0]
1640umlalb z0.d, z32.s, z0.s[0]
1641umlalb z0.d, z0.s, z16.s[0]
1642umlalb z0.d, z0.s, z0.s[4]
1643umlalb z0.s, z0.s, z0.s[0]
1644
1645umlalb z32.h, z0.b, z0.b
1646umlalb z0.h, z32.b, z0.b
1647umlalb z0.h, z0.b, z32.b
1648umlalb z0.s, z0.h, z0.x
1649umlalb z0.h, z0.b, z0.h
1650
1651umlalt z32.s, z0.h, z0.h[0]
1652umlalt z0.s, z32.h, z0.h[0]
1653umlalt z0.s, z0.h, z8.h[0]
1654umlalt z0.s, z0.h, z0.h[8]
1655umlalt z0.h, z0.h, z0.h[0]
1656
1657umlalt z32.d, z0.s, z0.s[0]
1658umlalt z0.d, z32.s, z0.s[0]
1659umlalt z0.d, z0.s, z16.s[0]
1660umlalt z0.d, z0.s, z0.s[4]
1661umlalt z0.s, z0.s, z0.s[0]
1662
1663umlalt z32.h, z0.b, z0.b
1664umlalt z0.h, z32.b, z0.b
1665umlalt z0.h, z0.b, z32.b
1666umlalt z0.s, z0.h, z0.x
1667umlalt z0.h, z0.b, z0.h
1668
1669umlslb z32.s, z0.h, z0.h[0]
1670umlslb z0.s, z32.h, z0.h[0]
1671umlslb z0.s, z0.h, z8.h[0]
1672umlslb z0.s, z0.h, z0.h[8]
1673umlslb z0.h, z0.h, z0.h[0]
1674
1675umlslb z32.d, z0.s, z0.s[0]
1676umlslb z0.d, z32.s, z0.s[0]
1677umlslb z0.d, z0.s, z16.s[0]
1678umlslb z0.d, z0.s, z0.s[4]
1679umlslb z0.s, z0.s, z0.s[0]
1680
1681umlslb z32.h, z0.b, z0.b
1682umlslb z0.h, z32.b, z0.b
1683umlslb z0.h, z0.b, z32.b
1684umlslb z0.s, z0.h, z0.x
1685umlslb z0.h, z0.b, z0.h
1686
1687umlslt z32.s, z0.h, z0.h[0]
1688umlslt z0.s, z32.h, z0.h[0]
1689umlslt z0.s, z0.h, z8.h[0]
1690umlslt z0.s, z0.h, z0.h[8]
1691umlslt z0.h, z0.h, z0.h[0]
1692
1693umlslt z32.d, z0.s, z0.s[0]
1694umlslt z0.d, z32.s, z0.s[0]
1695umlslt z0.d, z0.s, z16.s[0]
1696umlslt z0.d, z0.s, z0.s[4]
1697umlslt z0.s, z0.s, z0.s[0]
1698
1699umlslt z32.h, z0.b, z0.b
1700umlslt z0.h, z32.b, z0.b
1701umlslt z0.h, z0.b, z32.b
1702umlslt z0.s, z0.h, z0.x
1703umlslt z0.h, z0.b, z0.h
1704
1705umulh z32.h, z0.b, z0.b
1706umulh z0.h, z32.b, z0.b
1707umulh z0.h, z0.b, z32.b
1708umulh z0.s, z0.h, z0.x
1709umulh z0.h, z0.b, z0.h
1710
1711umullb z32.s, z0.h, z0.h[0]
1712umullb z0.s, z32.h, z0.h[0]
1713umullb z0.s, z0.h, z8.h[0]
1714umullb z0.s, z0.h, z0.h[8]
1715umullb z0.h, z0.h, z0.h[0]
1716
1717umullb z32.d, z0.s, z0.s[0]
1718umullb z0.d, z32.s, z0.s[0]
1719umullb z0.d, z0.s, z16.s[0]
1720umullb z0.d, z0.s, z0.s[4]
1721umullb z0.s, z0.s, z0.s[0]
1722
1723umullb z32.h, z0.b, z0.b
1724umullb z0.h, z32.b, z0.b
1725umullb z0.h, z0.b, z32.b
1726umullb z0.s, z0.h, z0.x
1727umullb z0.h, z0.b, z0.h
1728
1729umullt z32.s, z0.h, z0.h[0]
1730umullt z0.s, z32.h, z0.h[0]
1731umullt z0.s, z0.h, z8.h[0]
1732umullt z0.s, z0.h, z0.h[8]
1733umullt z0.h, z0.h, z0.h[0]
1734
1735umullt z32.d, z0.s, z0.s[0]
1736umullt z0.d, z32.s, z0.s[0]
1737umullt z0.d, z0.s, z16.s[0]
1738umullt z0.d, z0.s, z0.s[4]
1739umullt z0.s, z0.s, z0.s[0]
1740
1741umullt z32.h, z0.b, z0.b
1742umullt z0.h, z32.b, z0.b
1743umullt z0.h, z0.b, z32.b
1744umullt z0.s, z0.h, z0.x
1745umullt z0.h, z0.b, z0.h
1746
1747uqadd z32.b, p0/m, z0.b, z0.b
1748uqadd z0.b, p0/m, z32.b, z0.b
1749uqadd z0.b, p0/m, z0.b, z32.b
1750uqadd z0.b, p0/m, z1.b, z0.b
1751uqadd z0.b, p8/m, z0.b, z0.b
1752uqadd z0.h, p0/m, z0.b, z0.b
1753uqadd z0.b, p0/z, z0.b, z0.b
1754
1755uqrshl z32.b, p0/m, z0.b, z0.b
1756uqrshl z0.b, p0/m, z32.b, z0.b
1757uqrshl z0.b, p0/m, z0.b, z32.b
1758uqrshl z0.b, p0/m, z1.b, z0.b
1759uqrshl z0.b, p8/m, z0.b, z0.b
1760uqrshl z0.h, p0/m, z0.b, z0.b
1761uqrshl z0.b, p0/z, z0.b, z0.b
1762
1763uqrshlr z32.b, p0/m, z0.b, z0.b
1764uqrshlr z0.b, p0/m, z32.b, z0.b
1765uqrshlr z0.b, p0/m, z0.b, z32.b
1766uqrshlr z0.b, p0/m, z1.b, z0.b
1767uqrshlr z0.b, p8/m, z0.b, z0.b
1768uqrshlr z0.h, p0/m, z0.b, z0.b
1769uqrshlr z0.b, p0/z, z0.b, z0.b
1770
1771uqrshrnb z32.b, z0.h, #8
1772uqrshrnb z0.b, z32.h, #8
1773uqrshrnb z0.b, z0.h, #9
1774uqrshrnb z0.b, z0.h, #0
1775uqrshrnb z0.h, z0.h, #8
1776uqrshrnb z0.h, z0.s, #0
1777uqrshrnb z0.h, z0.s, #17
1778uqrshrnb z0.s, z0.d, #0
1779uqrshrnb z0.s, z0.d, #33
1780
1781movprfx z0, z1
1782uqrshrnt z0.b, z0.h, #1
1783
1784uqrshrnt z32.b, z0.h, #8
1785uqrshrnt z0.b, z32.h, #8
1786uqrshrnt z0.b, z0.h, #9
1787uqrshrnt z0.b, z0.h, #0
1788uqrshrnt z0.h, z0.h, #8
1789uqrshrnt z0.h, z0.s, #0
1790uqrshrnt z0.h, z0.s, #17
1791uqrshrnt z0.s, z0.d, #0
1792uqrshrnt z0.s, z0.d, #33
1793
1794uqshl z0.h, p0/m, z0.b, #0
1795uqshl z32.b, p0/m, z32.b, #0
1796uqshl z0.b, p0/m, z1.b, #0
1797uqshl z0.b, p8/m, z0.b, #0
1798uqshl z0.b, p0/m, z0.b, #8
1799uqshl z0.h, p0/m, z0.h, #16
1800uqshl z0.s, p0/m, z0.s, #32
1801uqshl z0.d, p0/m, z0.d, #64
1802
1803uqshl z32.b, p0/m, z0.b, z0.b
1804uqshl z0.b, p0/m, z32.b, z0.b
1805uqshl z0.b, p0/m, z0.b, z32.b
1806uqshl z0.b, p0/m, z1.b, z0.b
1807uqshl z0.b, p8/m, z0.b, z0.b
1808uqshl z0.h, p0/m, z0.b, z0.b
1809uqshl z0.b, p0/z, z0.b, z0.b
1810
1811uqshlr z32.b, p0/m, z0.b, z0.b
1812uqshlr z0.b, p0/m, z32.b, z0.b
1813uqshlr z0.b, p0/m, z0.b, z32.b
1814uqshlr z0.b, p0/m, z1.b, z0.b
1815uqshlr z0.b, p8/m, z0.b, z0.b
1816uqshlr z0.h, p0/m, z0.b, z0.b
1817uqshlr z0.b, p0/z, z0.b, z0.b
1818
1819uqshrnb z32.b, z0.h, #8
1820uqshrnb z0.b, z32.h, #8
1821uqshrnb z0.b, z0.h, #9
1822uqshrnb z0.b, z0.h, #0
1823uqshrnb z0.h, z0.h, #8
1824uqshrnb z0.h, z0.s, #0
1825uqshrnb z0.h, z0.s, #17
1826uqshrnb z0.s, z0.d, #0
1827uqshrnb z0.s, z0.d, #33
1828
1829movprfx z0, z1
1830uqshrnt z0.b, z0.h, #1
1831
1832uqshrnt z32.b, z0.h, #8
1833uqshrnt z0.b, z32.h, #8
1834uqshrnt z0.b, z0.h, #9
1835uqshrnt z0.b, z0.h, #0
1836uqshrnt z0.h, z0.h, #8
1837uqshrnt z0.h, z0.s, #0
1838uqshrnt z0.h, z0.s, #17
1839uqshrnt z0.s, z0.d, #0
1840uqshrnt z0.s, z0.d, #33
1841
1842uqsub z32.b, p0/m, z0.b, z0.b
1843uqsub z0.b, p0/m, z32.b, z0.b
1844uqsub z0.b, p0/m, z0.b, z32.b
1845uqsub z0.b, p0/m, z1.b, z0.b
1846uqsub z0.b, p8/m, z0.b, z0.b
1847uqsub z0.h, p0/m, z0.b, z0.b
1848uqsub z0.b, p0/z, z0.b, z0.b
1849
1850uqsubr z32.b, p0/m, z0.b, z0.b
1851uqsubr z0.b, p0/m, z32.b, z0.b
1852uqsubr z0.b, p0/m, z0.b, z32.b
1853uqsubr z0.b, p0/m, z1.b, z0.b
1854uqsubr z0.b, p8/m, z0.b, z0.b
1855uqsubr z0.h, p0/m, z0.b, z0.b
1856uqsubr z0.b, p0/z, z0.b, z0.b
1857
1858uqxtnb z32.b, z0.h
1859uqxtnb z0.b, z32.h
1860uqxtnb z0.b, z0.s
1861
1862uqxtnt z32.b, z0.h
1863uqxtnt z0.b, z32.h
1864uqxtnt z0.b, z0.s
1865
1866urecpe z32.s, p0/m, z0.s
1867urecpe z0.s, p0/m, z32.s
1868urecpe z0.s, p8/m, z0.s
1869urecpe z0.d, p0/m, z0.s
1870
1871urhadd z32.b, p0/m, z0.b, z0.b
1872urhadd z0.b, p0/m, z32.b, z0.b
1873urhadd z0.b, p0/m, z0.b, z32.b
1874urhadd z0.b, p0/m, z1.b, z0.b
1875urhadd z0.b, p8/m, z0.b, z0.b
1876urhadd z0.h, p0/m, z0.b, z0.b
1877urhadd z0.b, p0/z, z0.b, z0.b
1878
1879urshl z32.b, p0/m, z0.b, z0.b
1880urshl z0.b, p0/m, z32.b, z0.b
1881urshl z0.b, p0/m, z0.b, z32.b
1882urshl z0.b, p0/m, z1.b, z0.b
1883urshl z0.b, p8/m, z0.b, z0.b
1884urshl z0.h, p0/m, z0.b, z0.b
1885urshl z0.b, p0/z, z0.b, z0.b
1886
1887urshlr z32.b, p0/m, z0.b, z0.b
1888urshlr z0.b, p0/m, z32.b, z0.b
1889urshlr z0.b, p0/m, z0.b, z32.b
1890urshlr z0.b, p0/m, z1.b, z0.b
1891urshlr z0.b, p8/m, z0.b, z0.b
1892urshlr z0.h, p0/m, z0.b, z0.b
1893urshlr z0.b, p0/z, z0.b, z0.b
1894
1895urshr z0.h, p0/m, z0.b, #1
1896urshr z32.b, p0/m, z32.b, #1
1897urshr z0.b, p0/m, z1.b, #1
1898urshr z0.b, p8/m, z0.b, #1
1899urshr z0.b, p0/m, z0.b, #0
1900urshr z0.b, p0/m, z0.b, #9
1901urshr z0.h, p0/m, z0.h, #0
1902urshr z0.h, p0/m, z0.h, #17
1903urshr z0.s, p0/m, z0.s, #0
1904urshr z0.s, p0/m, z0.s, #33
1905urshr z0.d, p0/m, z0.d, #0
1906urshr z0.d, p0/m, z0.d, #65
1907
1908ursqrte z32.s, p0/m, z0.s
1909ursqrte z0.s, p0/m, z32.s
1910ursqrte z0.s, p8/m, z0.s
1911ursqrte z0.d, p0/m, z0.s
1912
1913ursra z0.h, z0.b, #1
1914ursra z32.b, z0.b, #1
1915ursra z0.b, z32.b, #1
1916ursra z0.b, z0.b, #0
1917ursra z0.b, z0.b, #9
1918ursra z0.h, z0.h, #0
1919ursra z0.h, z0.h, #17
1920ursra z0.s, z0.s, #0
1921ursra z0.s, z0.s, #33
1922ursra z0.d, z0.d, #0
1923ursra z0.d, z0.d, #64
1924
1925ushllb z0.b, z0.b, #0
1926ushllb z32.h, z0.b, #0
1927ushllb z0.h, z32.b, #0
1928ushllb z0.h, z0.b, #8
1929ushllb z0.s, z0.h, #16
1930ushllb z0.d, z0.s, #32
1931
1932ushllt z0.b, z0.b, #0
1933ushllt z32.h, z0.b, #0
1934ushllt z0.h, z32.b, #0
1935ushllt z0.h, z0.b, #8
1936ushllt z0.s, z0.h, #16
1937ushllt z0.d, z0.s, #32
1938
1939usqadd z32.b, p0/m, z0.b, z0.b
1940usqadd z0.b, p0/m, z32.b, z0.b
1941usqadd z0.b, p0/m, z0.b, z32.b
1942usqadd z0.b, p0/m, z1.b, z0.b
1943usqadd z0.b, p8/m, z0.b, z0.b
1944usqadd z0.h, p0/m, z0.b, z0.b
1945usqadd z0.b, p0/z, z0.b, z0.b
1946
1947usra z0.h, z0.b, #1
1948usra z32.b, z0.b, #1
1949usra z0.b, z32.b, #1
1950usra z0.b, z0.b, #0
1951usra z0.b, z0.b, #9
1952usra z0.h, z0.h, #0
1953usra z0.h, z0.h, #17
1954usra z0.s, z0.s, #0
1955usra z0.s, z0.s, #33
1956usra z0.d, z0.d, #0
1957usra z0.d, z0.d, #64
1958
1959usublb z32.h, z0.b, z0.b
1960usublb z0.h, z32.b, z0.b
1961usublb z0.h, z0.b, z32.b
1962usublb z0.s, z0.h, z0.x
1963usublb z0.h, z0.b, z0.h
1964
1965usublt z32.h, z0.b, z0.b
1966usublt z0.h, z32.b, z0.b
1967usublt z0.h, z0.b, z32.b
1968usublt z0.s, z0.h, z0.x
1969usublt z0.h, z0.b, z0.h
1970
1971usubwb z32.h, z0.h, z0.b
1972usubwb z0.h, z32.h, z0.b
1973usubwb z0.h, z0.h, z32.b
1974usubwb z0.s, z0.s, z0.x
1975usubwb z0.h, z0.h, z0.h
1976
1977usubwt z32.h, z0.h, z0.b
1978usubwt z0.h, z32.h, z0.b
1979usubwt z0.h, z0.h, z32.b
1980usubwt z0.s, z0.s, z0.x
1981usubwt z0.h, z0.h, z0.h
1982
1983whilege p16.b, x0, x0
1984whilege p0.b, x32, x0
1985whilege p0.b, x0, x32
1986whilege p0/m, x0, x0
1987whilege p0.b, x31, x0
1988whilege p0.b, x0, x31
1989
1990whilege p0.b, x0, w0
1991whilege p0.b, w0, x0
1992
1993whilege p16.b, w0, w0
1994whilege p0.b, w32, w0
1995whilege p0.b, w0, w32
1996whilege p0/m, w0, w0
1997whilege p0.b, w31, w0
1998whilege p0.b, w0, w31
1999
2000whilegt p16.b, x0, x0
2001whilegt p0.b, x32, x0
2002whilegt p0.b, x0, x32
2003whilegt p0/m, x0, x0
2004whilegt p0.b, x31, x0
2005whilegt p0.b, x0, x31
2006
2007whilegt p0.b, x0, w0
2008whilegt p0.b, w0, x0
2009
2010whilegt p16.b, w0, w0
2011whilegt p0.b, w32, w0
2012whilegt p0.b, w0, w32
2013whilegt p0/m, w0, w0
2014whilegt p0.b, w31, w0
2015whilegt p0.b, w0, w31
2016
2017whilehi p16.b, x0, x0
2018whilehi p0.b, x32, x0
2019whilehi p0.b, x0, x32
2020whilehi p0/m, x0, x0
2021whilehi p0.b, x31, x0
2022whilehi p0.b, x0, x31
2023
2024whilehi p0.b, x0, w0
2025whilehi p0.b, w0, x0
2026
2027whilehi p16.b, w0, w0
2028whilehi p0.b, w32, w0
2029whilehi p0.b, w0, w32
2030whilehi p0/m, w0, w0
2031whilehi p0.b, w31, w0
2032whilehi p0.b, w0, w31
2033
2034whilehs p16.b, x0, x0
2035whilehs p0.b, x32, x0
2036whilehs p0.b, x0, x32
2037whilehs p0/m, x0, x0
2038whilehs p0.b, x31, x0
2039whilehs p0.b, x0, x31
2040
2041whilehs p0.b, x0, w0
2042whilehs p0.b, w0, x0
2043
2044whilehs p16.b, w0, w0
2045whilehs p0.b, w32, w0
2046whilehs p0.b, w0, w32
2047whilehs p0/m, w0, w0
2048whilehs p0.b, w31, w0
2049whilehs p0.b, w0, w31
2050
2051whilerw p0.b, w0, x0
2052whilerw p0/m, x0, x0
2053whilerw p0.b, x32, x0
2054whilerw p16.b, x0, x0
2055
2056whilewr p0.b, w0, x0
2057whilewr p0/m, x0, x0
2058whilewr p0.b, x32, x0
2059whilewr p16.b, x0, x0
2060
2061xar z0.h, z0.b, z0.b, #1
2062xar z0.b, z1.b, z0.b, #1
2063xar z32.b, z32.b, z0.b, #1
2064xar z0.b, z0.b, z32.b, #1
2065xar z0.b, z0.b, z0.b, #0
2066xar z0.b, z0.b, z0.b, #9
2067xar z0.h, z0.h, z0.h, #0
2068xar z0.h, z0.h, z0.h, #17
2069xar z0.s, z0.s, z0.s, #0
2070xar z0.s, z0.s, z0.s, #33
2071xar z0.d, z0.d, z0.d, #0
2072xar z0.d, z0.d, z0.d, #64
This page took 0.103819 seconds and 4 git commands to generate.