x86: make sure all PUSH/POP honor DefaultSize
[deliverable/binutils-gdb.git] / gas / testsuite / gas / i386 / x86-64-avx512vnni_vl.d
CommitLineData
8cfcb765
IT
1#as:
2#objdump: -dw
3#name: x86_64 AVX512VNNI/VL insns
4#source: x86-64-avx512vnni_vl.s
5
6.*: +file format .*
7
8
9Disassembly of section \.text:
10
110+ <_start>:
12[ ]*[a-f0-9]+:[ ]*62 22 4d 00 52 d4[ ]*vpdpwssd %xmm20,%xmm22,%xmm26
13[ ]*[a-f0-9]+:[ ]*62 22 4d 03 52 d4[ ]*vpdpwssd %xmm20,%xmm22,%xmm26\{%k3\}
14[ ]*[a-f0-9]+:[ ]*62 22 4d 83 52 d4[ ]*vpdpwssd %xmm20,%xmm22,%xmm26\{%k3\}\{z\}
15[ ]*[a-f0-9]+:[ ]*62 22 4d 00 52 94 f0 23 01 00 00[ ]*vpdpwssd 0x123\(%rax,%r14,8\),%xmm22,%xmm26
16[ ]*[a-f0-9]+:[ ]*62 62 4d 00 52 52 7f[ ]*vpdpwssd 0x7f0\(%rdx\),%xmm22,%xmm26
17[ ]*[a-f0-9]+:[ ]*62 62 4d 10 52 52 7f[ ]*vpdpwssd 0x1fc\(%rdx\)\{1to4\},%xmm22,%xmm26
18[ ]*[a-f0-9]+:[ ]*62 a2 5d 20 52 e2[ ]*vpdpwssd %ymm18,%ymm20,%ymm20
19[ ]*[a-f0-9]+:[ ]*62 a2 5d 25 52 e2[ ]*vpdpwssd %ymm18,%ymm20,%ymm20\{%k5\}
20[ ]*[a-f0-9]+:[ ]*62 a2 5d a5 52 e2[ ]*vpdpwssd %ymm18,%ymm20,%ymm20\{%k5\}\{z\}
21[ ]*[a-f0-9]+:[ ]*62 a2 5d 20 52 a4 f0 23 01 00 00[ ]*vpdpwssd 0x123\(%rax,%r14,8\),%ymm20,%ymm20
22[ ]*[a-f0-9]+:[ ]*62 e2 5d 20 52 62 7f[ ]*vpdpwssd 0xfe0\(%rdx\),%ymm20,%ymm20
23[ ]*[a-f0-9]+:[ ]*62 e2 5d 30 52 62 7f[ ]*vpdpwssd 0x1fc\(%rdx\)\{1to8\},%ymm20,%ymm20
24[ ]*[a-f0-9]+:[ ]*62 a2 65 00 53 f7[ ]*vpdpwssds %xmm23,%xmm19,%xmm22
25[ ]*[a-f0-9]+:[ ]*62 a2 65 07 53 f7[ ]*vpdpwssds %xmm23,%xmm19,%xmm22\{%k7\}
26[ ]*[a-f0-9]+:[ ]*62 a2 65 87 53 f7[ ]*vpdpwssds %xmm23,%xmm19,%xmm22\{%k7\}\{z\}
27[ ]*[a-f0-9]+:[ ]*62 a2 65 00 53 b4 f0 23 01 00 00[ ]*vpdpwssds 0x123\(%rax,%r14,8\),%xmm19,%xmm22
28[ ]*[a-f0-9]+:[ ]*62 e2 65 00 53 72 7f[ ]*vpdpwssds 0x7f0\(%rdx\),%xmm19,%xmm22
29[ ]*[a-f0-9]+:[ ]*62 e2 65 10 53 72 7f[ ]*vpdpwssds 0x1fc\(%rdx\)\{1to4\},%xmm19,%xmm22
30[ ]*[a-f0-9]+:[ ]*62 82 45 20 53 fc[ ]*vpdpwssds %ymm28,%ymm23,%ymm23
31[ ]*[a-f0-9]+:[ ]*62 82 45 23 53 fc[ ]*vpdpwssds %ymm28,%ymm23,%ymm23\{%k3\}
32[ ]*[a-f0-9]+:[ ]*62 82 45 a3 53 fc[ ]*vpdpwssds %ymm28,%ymm23,%ymm23\{%k3\}\{z\}
33[ ]*[a-f0-9]+:[ ]*62 a2 45 20 53 bc f0 23 01 00 00[ ]*vpdpwssds 0x123\(%rax,%r14,8\),%ymm23,%ymm23
34[ ]*[a-f0-9]+:[ ]*62 e2 45 20 53 7a 7f[ ]*vpdpwssds 0xfe0\(%rdx\),%ymm23,%ymm23
35[ ]*[a-f0-9]+:[ ]*62 e2 45 30 53 7a 7f[ ]*vpdpwssds 0x1fc\(%rdx\)\{1to8\},%ymm23,%ymm23
36[ ]*[a-f0-9]+:[ ]*62 82 15 00 50 d4[ ]*vpdpbusd %xmm28,%xmm29,%xmm18
37[ ]*[a-f0-9]+:[ ]*62 82 15 03 50 d4[ ]*vpdpbusd %xmm28,%xmm29,%xmm18\{%k3\}
38[ ]*[a-f0-9]+:[ ]*62 82 15 83 50 d4[ ]*vpdpbusd %xmm28,%xmm29,%xmm18\{%k3\}\{z\}
39[ ]*[a-f0-9]+:[ ]*62 a2 15 00 50 94 f0 23 01 00 00[ ]*vpdpbusd 0x123\(%rax,%r14,8\),%xmm29,%xmm18
40[ ]*[a-f0-9]+:[ ]*62 e2 15 00 50 52 7f[ ]*vpdpbusd 0x7f0\(%rdx\),%xmm29,%xmm18
41[ ]*[a-f0-9]+:[ ]*62 e2 15 10 50 52 7f[ ]*vpdpbusd 0x1fc\(%rdx\)\{1to4\},%xmm29,%xmm18
42[ ]*[a-f0-9]+:[ ]*62 a2 6d 20 50 e1[ ]*vpdpbusd %ymm17,%ymm18,%ymm20
43[ ]*[a-f0-9]+:[ ]*62 a2 6d 22 50 e1[ ]*vpdpbusd %ymm17,%ymm18,%ymm20\{%k2\}
44[ ]*[a-f0-9]+:[ ]*62 a2 6d a2 50 e1[ ]*vpdpbusd %ymm17,%ymm18,%ymm20\{%k2\}\{z\}
45[ ]*[a-f0-9]+:[ ]*62 a2 6d 20 50 a4 f0 23 01 00 00[ ]*vpdpbusd 0x123\(%rax,%r14,8\),%ymm18,%ymm20
46[ ]*[a-f0-9]+:[ ]*62 e2 6d 20 50 62 7f[ ]*vpdpbusd 0xfe0\(%rdx\),%ymm18,%ymm20
47[ ]*[a-f0-9]+:[ ]*62 e2 6d 30 50 62 7f[ ]*vpdpbusd 0x1fc\(%rdx\)\{1to8\},%ymm18,%ymm20
48[ ]*[a-f0-9]+:[ ]*62 02 2d 00 51 c3[ ]*vpdpbusds %xmm27,%xmm26,%xmm24
49[ ]*[a-f0-9]+:[ ]*62 02 2d 04 51 c3[ ]*vpdpbusds %xmm27,%xmm26,%xmm24\{%k4\}
50[ ]*[a-f0-9]+:[ ]*62 02 2d 84 51 c3[ ]*vpdpbusds %xmm27,%xmm26,%xmm24\{%k4\}\{z\}
51[ ]*[a-f0-9]+:[ ]*62 22 2d 00 51 84 f0 23 01 00 00[ ]*vpdpbusds 0x123\(%rax,%r14,8\),%xmm26,%xmm24
52[ ]*[a-f0-9]+:[ ]*62 62 2d 00 51 42 7f[ ]*vpdpbusds 0x7f0\(%rdx\),%xmm26,%xmm24
53[ ]*[a-f0-9]+:[ ]*62 62 2d 10 51 42 7f[ ]*vpdpbusds 0x1fc\(%rdx\)\{1to4\},%xmm26,%xmm24
54[ ]*[a-f0-9]+:[ ]*62 02 15 20 51 f1[ ]*vpdpbusds %ymm25,%ymm29,%ymm30
55[ ]*[a-f0-9]+:[ ]*62 02 15 21 51 f1[ ]*vpdpbusds %ymm25,%ymm29,%ymm30\{%k1\}
56[ ]*[a-f0-9]+:[ ]*62 02 15 a1 51 f1[ ]*vpdpbusds %ymm25,%ymm29,%ymm30\{%k1\}\{z\}
57[ ]*[a-f0-9]+:[ ]*62 22 15 20 51 b4 f0 23 01 00 00[ ]*vpdpbusds 0x123\(%rax,%r14,8\),%ymm29,%ymm30
58[ ]*[a-f0-9]+:[ ]*62 62 15 20 51 72 7f[ ]*vpdpbusds 0xfe0\(%rdx\),%ymm29,%ymm30
59[ ]*[a-f0-9]+:[ ]*62 62 15 30 51 72 7f[ ]*vpdpbusds 0x1fc\(%rdx\)\{1to8\},%ymm29,%ymm30
60[ ]*[a-f0-9]+:[ ]*62 a2 5d 00 52 ef[ ]*vpdpwssd %xmm23,%xmm20,%xmm21
61[ ]*[a-f0-9]+:[ ]*62 a2 5d 06 52 ef[ ]*vpdpwssd %xmm23,%xmm20,%xmm21\{%k6\}
62[ ]*[a-f0-9]+:[ ]*62 a2 5d 86 52 ef[ ]*vpdpwssd %xmm23,%xmm20,%xmm21\{%k6\}\{z\}
63[ ]*[a-f0-9]+:[ ]*62 a2 5d 00 52 ac f0 34 12 00 00[ ]*vpdpwssd 0x1234\(%rax,%r14,8\),%xmm20,%xmm21
64[ ]*[a-f0-9]+:[ ]*62 e2 5d 00 52 6a 7f[ ]*vpdpwssd 0x7f0\(%rdx\),%xmm20,%xmm21
65[ ]*[a-f0-9]+:[ ]*62 e2 5d 10 52 6a 7f[ ]*vpdpwssd 0x1fc\(%rdx\)\{1to4\},%xmm20,%xmm21
66[ ]*[a-f0-9]+:[ ]*62 22 25 20 52 c9[ ]*vpdpwssd %ymm17,%ymm27,%ymm25
67[ ]*[a-f0-9]+:[ ]*62 22 25 26 52 c9[ ]*vpdpwssd %ymm17,%ymm27,%ymm25\{%k6\}
68[ ]*[a-f0-9]+:[ ]*62 22 25 a6 52 c9[ ]*vpdpwssd %ymm17,%ymm27,%ymm25\{%k6\}\{z\}
69[ ]*[a-f0-9]+:[ ]*62 22 25 20 52 8c f0 34 12 00 00[ ]*vpdpwssd 0x1234\(%rax,%r14,8\),%ymm27,%ymm25
70[ ]*[a-f0-9]+:[ ]*62 62 25 20 52 4a 7f[ ]*vpdpwssd 0xfe0\(%rdx\),%ymm27,%ymm25
71[ ]*[a-f0-9]+:[ ]*62 62 25 30 52 4a 7f[ ]*vpdpwssd 0x1fc\(%rdx\)\{1to8\},%ymm27,%ymm25
72[ ]*[a-f0-9]+:[ ]*62 22 35 00 53 f5[ ]*vpdpwssds %xmm21,%xmm25,%xmm30
73[ ]*[a-f0-9]+:[ ]*62 22 35 06 53 f5[ ]*vpdpwssds %xmm21,%xmm25,%xmm30\{%k6\}
74[ ]*[a-f0-9]+:[ ]*62 22 35 86 53 f5[ ]*vpdpwssds %xmm21,%xmm25,%xmm30\{%k6\}\{z\}
75[ ]*[a-f0-9]+:[ ]*62 22 35 00 53 b4 f0 34 12 00 00[ ]*vpdpwssds 0x1234\(%rax,%r14,8\),%xmm25,%xmm30
76[ ]*[a-f0-9]+:[ ]*62 62 35 00 53 72 7f[ ]*vpdpwssds 0x7f0\(%rdx\),%xmm25,%xmm30
77[ ]*[a-f0-9]+:[ ]*62 62 35 10 53 72 7f[ ]*vpdpwssds 0x1fc\(%rdx\)\{1to4\},%xmm25,%xmm30
78[ ]*[a-f0-9]+:[ ]*62 02 25 20 53 e3[ ]*vpdpwssds %ymm27,%ymm27,%ymm28
79[ ]*[a-f0-9]+:[ ]*62 02 25 27 53 e3[ ]*vpdpwssds %ymm27,%ymm27,%ymm28\{%k7\}
80[ ]*[a-f0-9]+:[ ]*62 02 25 a7 53 e3[ ]*vpdpwssds %ymm27,%ymm27,%ymm28\{%k7\}\{z\}
81[ ]*[a-f0-9]+:[ ]*62 22 25 20 53 a4 f0 34 12 00 00[ ]*vpdpwssds 0x1234\(%rax,%r14,8\),%ymm27,%ymm28
82[ ]*[a-f0-9]+:[ ]*62 62 25 20 53 62 7f[ ]*vpdpwssds 0xfe0\(%rdx\),%ymm27,%ymm28
83[ ]*[a-f0-9]+:[ ]*62 62 25 30 53 62 7f[ ]*vpdpwssds 0x1fc\(%rdx\)\{1to8\},%ymm27,%ymm28
84[ ]*[a-f0-9]+:[ ]*62 22 6d 00 50 d3[ ]*vpdpbusd %xmm19,%xmm18,%xmm26
85[ ]*[a-f0-9]+:[ ]*62 22 6d 06 50 d3[ ]*vpdpbusd %xmm19,%xmm18,%xmm26\{%k6\}
86[ ]*[a-f0-9]+:[ ]*62 22 6d 86 50 d3[ ]*vpdpbusd %xmm19,%xmm18,%xmm26\{%k6\}\{z\}
87[ ]*[a-f0-9]+:[ ]*62 22 6d 00 50 94 f0 34 12 00 00[ ]*vpdpbusd 0x1234\(%rax,%r14,8\),%xmm18,%xmm26
88[ ]*[a-f0-9]+:[ ]*62 62 6d 00 50 52 7f[ ]*vpdpbusd 0x7f0\(%rdx\),%xmm18,%xmm26
89[ ]*[a-f0-9]+:[ ]*62 62 6d 10 50 52 7f[ ]*vpdpbusd 0x1fc\(%rdx\)\{1to4\},%xmm18,%xmm26
90[ ]*[a-f0-9]+:[ ]*62 82 75 20 50 eb[ ]*vpdpbusd %ymm27,%ymm17,%ymm21
91[ ]*[a-f0-9]+:[ ]*62 82 75 22 50 eb[ ]*vpdpbusd %ymm27,%ymm17,%ymm21\{%k2\}
92[ ]*[a-f0-9]+:[ ]*62 82 75 a2 50 eb[ ]*vpdpbusd %ymm27,%ymm17,%ymm21\{%k2\}\{z\}
93[ ]*[a-f0-9]+:[ ]*62 a2 75 20 50 ac f0 34 12 00 00[ ]*vpdpbusd 0x1234\(%rax,%r14,8\),%ymm17,%ymm21
94[ ]*[a-f0-9]+:[ ]*62 e2 75 20 50 6a 7f[ ]*vpdpbusd 0xfe0\(%rdx\),%ymm17,%ymm21
95[ ]*[a-f0-9]+:[ ]*62 e2 75 30 50 6a 7f[ ]*vpdpbusd 0x1fc\(%rdx\)\{1to8\},%ymm17,%ymm21
96[ ]*[a-f0-9]+:[ ]*62 02 2d 00 51 e0[ ]*vpdpbusds %xmm24,%xmm26,%xmm28
97[ ]*[a-f0-9]+:[ ]*62 02 2d 01 51 e0[ ]*vpdpbusds %xmm24,%xmm26,%xmm28\{%k1\}
98[ ]*[a-f0-9]+:[ ]*62 02 2d 81 51 e0[ ]*vpdpbusds %xmm24,%xmm26,%xmm28\{%k1\}\{z\}
99[ ]*[a-f0-9]+:[ ]*62 22 2d 00 51 a4 f0 34 12 00 00[ ]*vpdpbusds 0x1234\(%rax,%r14,8\),%xmm26,%xmm28
100[ ]*[a-f0-9]+:[ ]*62 62 2d 00 51 62 7f[ ]*vpdpbusds 0x7f0\(%rdx\),%xmm26,%xmm28
101[ ]*[a-f0-9]+:[ ]*62 62 2d 10 51 62 7f[ ]*vpdpbusds 0x1fc\(%rdx\)\{1to4\},%xmm26,%xmm28
102[ ]*[a-f0-9]+:[ ]*62 82 6d 20 51 fb[ ]*vpdpbusds %ymm27,%ymm18,%ymm23
103[ ]*[a-f0-9]+:[ ]*62 82 6d 26 51 fb[ ]*vpdpbusds %ymm27,%ymm18,%ymm23\{%k6\}
104[ ]*[a-f0-9]+:[ ]*62 82 6d a6 51 fb[ ]*vpdpbusds %ymm27,%ymm18,%ymm23\{%k6\}\{z\}
105[ ]*[a-f0-9]+:[ ]*62 a2 6d 20 51 bc f0 34 12 00 00[ ]*vpdpbusds 0x1234\(%rax,%r14,8\),%ymm18,%ymm23
106[ ]*[a-f0-9]+:[ ]*62 e2 6d 20 51 7a 7f[ ]*vpdpbusds 0xfe0\(%rdx\),%ymm18,%ymm23
107[ ]*[a-f0-9]+:[ ]*62 e2 6d 30 51 7a 7f[ ]*vpdpbusds 0x1fc\(%rdx\)\{1to8\},%ymm18,%ymm23
108#pass
This page took 0.1378 seconds and 4 git commands to generate.