i386: Also check R12-R15 registers when optimizing testq to testb
[deliverable/binutils-gdb.git] / gas / testsuite / gas / i386 / x86-64-xop.d
CommitLineData
5dd85c99
SP
1#objdump: -dw
2#name: x86-64 XOP
3
4.*: +file format .*
5
6Disassembly of section .text:
7
80+ <_start>:
9[ ]*[a-f0-9]+: 8f 69 78 81 fa[ ]+vfrczpd %xmm2,%xmm15
10[ ]*[a-f0-9]+: 8f 69 78 81 e0[ ]+vfrczpd %xmm0,%xmm12
11[ ]*[a-f0-9]+: 8f c9 78 81 04 24[ ]+vfrczpd \(%r12\),%xmm0
12[ ]*[a-f0-9]+: 8f 69 78 81 38[ ]+vfrczpd \(%rax\),%xmm15
13[ ]*[a-f0-9]+: 8f e9 78 81 c0[ ]+vfrczpd %xmm0,%xmm0
14[ ]*[a-f0-9]+: 8f 49 78 81 3a[ ]+vfrczpd \(%r10\),%xmm15
15[ ]*[a-f0-9]+: 8f e9 78 81 c2[ ]+vfrczpd %xmm2,%xmm0
16[ ]*[a-f0-9]+: 8f 49 78 81 e7[ ]+vfrczpd %xmm15,%xmm12
17[ ]*[a-f0-9]+: 8f c9 78 81 c7[ ]+vfrczpd %xmm15,%xmm0
18[ ]*[a-f0-9]+: 8f 69 78 81 f8[ ]+vfrczpd %xmm0,%xmm15
19[ ]*[a-f0-9]+: 8f 49 78 81 22[ ]+vfrczpd \(%r10\),%xmm12
20[ ]*[a-f0-9]+: 8f 49 78 81 ff[ ]+vfrczpd %xmm15,%xmm15
21[ ]*[a-f0-9]+: 8f e9 78 81 00[ ]+vfrczpd \(%rax\),%xmm0
22[ ]*[a-f0-9]+: 8f 49 78 81 3c 24[ ]+vfrczpd \(%r12\),%xmm15
23[ ]*[a-f0-9]+: 8f 69 78 81 20[ ]+vfrczpd \(%rax\),%xmm12
24[ ]*[a-f0-9]+: 8f c9 78 81 02[ ]+vfrczpd \(%r10\),%xmm0
25[ ]*[a-f0-9]+: 8f 69 7c 81 fa[ ]+vfrczpd %ymm2,%ymm15
26[ ]*[a-f0-9]+: 8f 69 7c 81 e0[ ]+vfrczpd %ymm0,%ymm12
27[ ]*[a-f0-9]+: 8f c9 7c 81 04 24[ ]+vfrczpd \(%r12\),%ymm0
28[ ]*[a-f0-9]+: 8f 69 7c 81 38[ ]+vfrczpd \(%rax\),%ymm15
29[ ]*[a-f0-9]+: 8f e9 7c 81 c0[ ]+vfrczpd %ymm0,%ymm0
30[ ]*[a-f0-9]+: 8f 49 7c 81 3a[ ]+vfrczpd \(%r10\),%ymm15
31[ ]*[a-f0-9]+: 8f e9 7c 81 c2[ ]+vfrczpd %ymm2,%ymm0
32[ ]*[a-f0-9]+: 8f 49 7c 81 e7[ ]+vfrczpd %ymm15,%ymm12
33[ ]*[a-f0-9]+: 8f c9 7c 81 c7[ ]+vfrczpd %ymm15,%ymm0
34[ ]*[a-f0-9]+: 8f 69 7c 81 f8[ ]+vfrczpd %ymm0,%ymm15
35[ ]*[a-f0-9]+: 8f 49 7c 81 22[ ]+vfrczpd \(%r10\),%ymm12
36[ ]*[a-f0-9]+: 8f 49 7c 81 ff[ ]+vfrczpd %ymm15,%ymm15
37[ ]*[a-f0-9]+: 8f e9 7c 81 00[ ]+vfrczpd \(%rax\),%ymm0
38[ ]*[a-f0-9]+: 8f 49 7c 81 3c 24[ ]+vfrczpd \(%r12\),%ymm15
39[ ]*[a-f0-9]+: 8f 69 7c 81 20[ ]+vfrczpd \(%rax\),%ymm12
40[ ]*[a-f0-9]+: 8f c9 7c 81 02[ ]+vfrczpd \(%r10\),%ymm0
41[ ]*[a-f0-9]+: 8f 69 78 80 fa[ ]+vfrczps %xmm2,%xmm15
42[ ]*[a-f0-9]+: 8f 69 78 80 e0[ ]+vfrczps %xmm0,%xmm12
43[ ]*[a-f0-9]+: 8f c9 78 80 04 24[ ]+vfrczps \(%r12\),%xmm0
44[ ]*[a-f0-9]+: 8f 69 78 80 38[ ]+vfrczps \(%rax\),%xmm15
45[ ]*[a-f0-9]+: 8f e9 78 80 c0[ ]+vfrczps %xmm0,%xmm0
46[ ]*[a-f0-9]+: 8f 49 78 80 3a[ ]+vfrczps \(%r10\),%xmm15
47[ ]*[a-f0-9]+: 8f e9 78 80 c2[ ]+vfrczps %xmm2,%xmm0
48[ ]*[a-f0-9]+: 8f 49 78 80 e7[ ]+vfrczps %xmm15,%xmm12
49[ ]*[a-f0-9]+: 8f c9 78 80 c7[ ]+vfrczps %xmm15,%xmm0
50[ ]*[a-f0-9]+: 8f 69 78 80 f8[ ]+vfrczps %xmm0,%xmm15
51[ ]*[a-f0-9]+: 8f 49 78 80 22[ ]+vfrczps \(%r10\),%xmm12
52[ ]*[a-f0-9]+: 8f 49 78 80 ff[ ]+vfrczps %xmm15,%xmm15
53[ ]*[a-f0-9]+: 8f e9 78 80 00[ ]+vfrczps \(%rax\),%xmm0
54[ ]*[a-f0-9]+: 8f 49 78 80 3c 24[ ]+vfrczps \(%r12\),%xmm15
55[ ]*[a-f0-9]+: 8f 69 78 80 20[ ]+vfrczps \(%rax\),%xmm12
56[ ]*[a-f0-9]+: 8f c9 78 80 02[ ]+vfrczps \(%r10\),%xmm0
57[ ]*[a-f0-9]+: 8f 69 7c 80 fa[ ]+vfrczps %ymm2,%ymm15
58[ ]*[a-f0-9]+: 8f 69 7c 80 e0[ ]+vfrczps %ymm0,%ymm12
59[ ]*[a-f0-9]+: 8f c9 7c 80 04 24[ ]+vfrczps \(%r12\),%ymm0
60[ ]*[a-f0-9]+: 8f 69 7c 80 38[ ]+vfrczps \(%rax\),%ymm15
61[ ]*[a-f0-9]+: 8f e9 7c 80 c0[ ]+vfrczps %ymm0,%ymm0
62[ ]*[a-f0-9]+: 8f 49 7c 80 3a[ ]+vfrczps \(%r10\),%ymm15
63[ ]*[a-f0-9]+: 8f e9 7c 80 c2[ ]+vfrczps %ymm2,%ymm0
64[ ]*[a-f0-9]+: 8f 49 7c 80 e7[ ]+vfrczps %ymm15,%ymm12
65[ ]*[a-f0-9]+: 8f c9 7c 80 c7[ ]+vfrczps %ymm15,%ymm0
66[ ]*[a-f0-9]+: 8f 69 7c 80 f8[ ]+vfrczps %ymm0,%ymm15
67[ ]*[a-f0-9]+: 8f 49 7c 80 22[ ]+vfrczps \(%r10\),%ymm12
68[ ]*[a-f0-9]+: 8f 49 7c 80 ff[ ]+vfrczps %ymm15,%ymm15
69[ ]*[a-f0-9]+: 8f e9 7c 80 00[ ]+vfrczps \(%rax\),%ymm0
70[ ]*[a-f0-9]+: 8f 49 7c 80 3c 24[ ]+vfrczps \(%r12\),%ymm15
71[ ]*[a-f0-9]+: 8f 69 7c 80 20[ ]+vfrczps \(%rax\),%ymm12
72[ ]*[a-f0-9]+: 8f c9 7c 80 02[ ]+vfrczps \(%r10\),%ymm0
73[ ]*[a-f0-9]+: 8f 69 78 83 fa[ ]+vfrczsd %xmm2,%xmm15
74[ ]*[a-f0-9]+: 8f 69 78 83 e0[ ]+vfrczsd %xmm0,%xmm12
75[ ]*[a-f0-9]+: 8f c9 78 83 04 24[ ]+vfrczsd \(%r12\),%xmm0
76[ ]*[a-f0-9]+: 8f 69 78 83 38[ ]+vfrczsd \(%rax\),%xmm15
77[ ]*[a-f0-9]+: 8f e9 78 83 c0[ ]+vfrczsd %xmm0,%xmm0
78[ ]*[a-f0-9]+: 8f 49 78 83 3a[ ]+vfrczsd \(%r10\),%xmm15
79[ ]*[a-f0-9]+: 8f e9 78 83 c2[ ]+vfrczsd %xmm2,%xmm0
80[ ]*[a-f0-9]+: 8f 49 78 83 e7[ ]+vfrczsd %xmm15,%xmm12
81[ ]*[a-f0-9]+: 8f c9 78 83 c7[ ]+vfrczsd %xmm15,%xmm0
82[ ]*[a-f0-9]+: 8f 69 78 83 f8[ ]+vfrczsd %xmm0,%xmm15
83[ ]*[a-f0-9]+: 8f 49 78 83 22[ ]+vfrczsd \(%r10\),%xmm12
84[ ]*[a-f0-9]+: 8f 49 78 83 ff[ ]+vfrczsd %xmm15,%xmm15
85[ ]*[a-f0-9]+: 8f e9 78 83 00[ ]+vfrczsd \(%rax\),%xmm0
86[ ]*[a-f0-9]+: 8f 49 78 83 3c 24[ ]+vfrczsd \(%r12\),%xmm15
87[ ]*[a-f0-9]+: 8f 69 78 83 20[ ]+vfrczsd \(%rax\),%xmm12
88[ ]*[a-f0-9]+: 8f c9 78 83 02[ ]+vfrczsd \(%r10\),%xmm0
89[ ]*[a-f0-9]+: 8f 69 78 82 fa[ ]+vfrczss %xmm2,%xmm15
90[ ]*[a-f0-9]+: 8f 69 78 82 e0[ ]+vfrczss %xmm0,%xmm12
91[ ]*[a-f0-9]+: 8f c9 78 82 04 24[ ]+vfrczss \(%r12\),%xmm0
92[ ]*[a-f0-9]+: 8f 69 78 82 38[ ]+vfrczss \(%rax\),%xmm15
93[ ]*[a-f0-9]+: 8f e9 78 82 c0[ ]+vfrczss %xmm0,%xmm0
94[ ]*[a-f0-9]+: 8f 49 78 82 3a[ ]+vfrczss \(%r10\),%xmm15
95[ ]*[a-f0-9]+: 8f e9 78 82 c2[ ]+vfrczss %xmm2,%xmm0
96[ ]*[a-f0-9]+: 8f 49 78 82 e7[ ]+vfrczss %xmm15,%xmm12
97[ ]*[a-f0-9]+: 8f c9 78 82 c7[ ]+vfrczss %xmm15,%xmm0
98[ ]*[a-f0-9]+: 8f 69 78 82 f8[ ]+vfrczss %xmm0,%xmm15
99[ ]*[a-f0-9]+: 8f 49 78 82 22[ ]+vfrczss \(%r10\),%xmm12
100[ ]*[a-f0-9]+: 8f 49 78 82 ff[ ]+vfrczss %xmm15,%xmm15
101[ ]*[a-f0-9]+: 8f e9 78 82 00[ ]+vfrczss \(%rax\),%xmm0
102[ ]*[a-f0-9]+: 8f 49 78 82 3c 24[ ]+vfrczss \(%r12\),%xmm15
103[ ]*[a-f0-9]+: 8f 69 78 82 20[ ]+vfrczss \(%rax\),%xmm12
104[ ]*[a-f0-9]+: 8f c9 78 82 02[ ]+vfrczss \(%r10\),%xmm0
105[ ]*[a-f0-9]+: 8f c8 40 a2 c7 00[ ]+vpcmov %xmm0,%xmm15,%xmm7,%xmm0
106[ ]*[a-f0-9]+: 8f c8 78 a2 01 20[ ]+vpcmov %xmm2,\(%r9\),%xmm0,%xmm0
107[ ]*[a-f0-9]+: 8f c8 00 a2 01 f0[ ]+vpcmov %xmm15,\(%r9\),%xmm15,%xmm0
108[ ]*[a-f0-9]+: 8f 68 78 a2 d8 f0[ ]+vpcmov %xmm15,%xmm0,%xmm0,%xmm11
109[ ]*[a-f0-9]+: 8f c8 78 a2 c4 f0[ ]+vpcmov %xmm15,%xmm12,%xmm0,%xmm0
110[ ]*[a-f0-9]+: 8f 48 78 a2 fc f0[ ]+vpcmov %xmm15,%xmm12,%xmm0,%xmm15
41effecb 111[ ]*[a-f0-9]+: 8f 48 78 a2 3c 24 f0[ ]+vpcmov %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
112[ ]*[a-f0-9]+: 8f 68 78 a2 f8 20[ ]+vpcmov %xmm2,%xmm0,%xmm0,%xmm15
113[ ]*[a-f0-9]+: 8f 48 00 a2 39 20[ ]+vpcmov %xmm2,\(%r9\),%xmm15,%xmm15
114[ ]*[a-f0-9]+: 8f 48 00 a2 fc 20[ ]+vpcmov %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
115[ ]*[a-f0-9]+: 8f c8 00 a2 04 24 20[ ]+vpcmov %xmm2,\(%r12\),%xmm15,%xmm0
116[ ]*[a-f0-9]+: 8f c8 00 a2 45 00 00[ ]+vpcmov %xmm0,0x0\(%r13\),%xmm15,%xmm0
117[ ]*[a-f0-9]+: 8f 48 40 a2 5d 00 f0[ ]+vpcmov %xmm15,0x0\(%r13\),%xmm7,%xmm11
118[ ]*[a-f0-9]+: 8f 48 40 a2 1c 24 f0[ ]+vpcmov %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
119[ ]*[a-f0-9]+: 8f c8 78 a2 c7 f0[ ]+vpcmov %xmm15,%xmm15,%xmm0,%xmm0
120[ ]*[a-f0-9]+: 8f 48 00 a2 19 20[ ]+vpcmov %xmm2,\(%r9\),%xmm15,%xmm11
121[ ]*[a-f0-9]+: 8f c8 44 a2 c7 00[ ]+vpcmov %ymm0,%ymm15,%ymm7,%ymm0
122[ ]*[a-f0-9]+: 8f c8 7c a2 01 20[ ]+vpcmov %ymm2,\(%r9\),%ymm0,%ymm0
123[ ]*[a-f0-9]+: 8f c8 04 a2 01 f0[ ]+vpcmov %ymm15,\(%r9\),%ymm15,%ymm0
124[ ]*[a-f0-9]+: 8f 68 7c a2 d8 f0[ ]+vpcmov %ymm15,%ymm0,%ymm0,%ymm11
125[ ]*[a-f0-9]+: 8f c8 7c a2 c4 f0[ ]+vpcmov %ymm15,%ymm12,%ymm0,%ymm0
126[ ]*[a-f0-9]+: 8f 48 7c a2 fc f0[ ]+vpcmov %ymm15,%ymm12,%ymm0,%ymm15
41effecb 127[ ]*[a-f0-9]+: 8f 48 7c a2 3c 24 f0[ ]+vpcmov %ymm15,\(%r12\),%ymm0,%ymm15
5dd85c99
SP
128[ ]*[a-f0-9]+: 8f 68 7c a2 f8 20[ ]+vpcmov %ymm2,%ymm0,%ymm0,%ymm15
129[ ]*[a-f0-9]+: 8f 48 04 a2 39 20[ ]+vpcmov %ymm2,\(%r9\),%ymm15,%ymm15
130[ ]*[a-f0-9]+: 8f 48 04 a2 fc 20[ ]+vpcmov %ymm2,%ymm12,%ymm15,%ymm15
41effecb
SP
131[ ]*[a-f0-9]+: 8f c8 04 a2 04 24 20[ ]+vpcmov %ymm2,\(%r12\),%ymm15,%ymm0
132[ ]*[a-f0-9]+: 8f c8 04 a2 45 00 00[ ]+vpcmov %ymm0,0x0\(%r13\),%ymm15,%ymm0
133[ ]*[a-f0-9]+: 8f 48 44 a2 5d 00 f0[ ]+vpcmov %ymm15,0x0\(%r13\),%ymm7,%ymm11
134[ ]*[a-f0-9]+: 8f 48 44 a2 1c 24 f0[ ]+vpcmov %ymm15,\(%r12\),%ymm7,%ymm11
5dd85c99
SP
135[ ]*[a-f0-9]+: 8f c8 7c a2 c7 f0[ ]+vpcmov %ymm15,%ymm15,%ymm0,%ymm0
136[ ]*[a-f0-9]+: 8f 48 04 a2 19 20[ ]+vpcmov %ymm2,\(%r9\),%ymm15,%ymm11
137[ ]*[a-f0-9]+: 8f c8 40 a2 c4 00[ ]+vpcmov %xmm0,%xmm12,%xmm7,%xmm0
138[ ]*[a-f0-9]+: 8f e8 f8 a2 00 f0[ ]+vpcmov \(%rax\),%xmm15,%xmm0,%xmm0
139[ ]*[a-f0-9]+: 8f c8 80 a2 02 f0[ ]+vpcmov \(%r10\),%xmm15,%xmm15,%xmm0
140[ ]*[a-f0-9]+: 8f 68 78 a2 d8 20[ ]+vpcmov %xmm2,%xmm0,%xmm0,%xmm11
141[ ]*[a-f0-9]+: 8f e8 78 a2 c0 20[ ]+vpcmov %xmm2,%xmm0,%xmm0,%xmm0
142[ ]*[a-f0-9]+: 8f 68 78 a2 f8 20[ ]+vpcmov %xmm2,%xmm0,%xmm0,%xmm15
143[ ]*[a-f0-9]+: 8f 48 f8 a2 3a c0[ ]+vpcmov \(%r10\),%xmm12,%xmm0,%xmm15
144[ ]*[a-f0-9]+: 8f 68 f8 a2 38 00[ ]+vpcmov \(%rax\),%xmm0,%xmm0,%xmm15
ccc5981b
SP
145[ ]*[a-f0-9]+: 8f 48 80 a2 3c 24 f0[ ]+vpcmov \(%r12\),%xmm15,%xmm15,%xmm15
146[ ]*[a-f0-9]+: 8f 48 80 a2 3c 24 00[ ]+vpcmov \(%r12\),%xmm0,%xmm15,%xmm15
5dd85c99
SP
147[ ]*[a-f0-9]+: 8f e8 80 a2 00 c0[ ]+vpcmov \(%rax\),%xmm12,%xmm15,%xmm0
148[ ]*[a-f0-9]+: 8f c8 00 a2 c7 f0[ ]+vpcmov %xmm15,%xmm15,%xmm15,%xmm0
149[ ]*[a-f0-9]+: 8f 48 c0 a2 1a f0[ ]+vpcmov \(%r10\),%xmm15,%xmm7,%xmm11
150[ ]*[a-f0-9]+: 8f 48 40 a2 dc 20[ ]+vpcmov %xmm2,%xmm12,%xmm7,%xmm11
151[ ]*[a-f0-9]+: 8f c8 78 a2 c4 20[ ]+vpcmov %xmm2,%xmm12,%xmm0,%xmm0
ccc5981b 152[ ]*[a-f0-9]+: 8f 48 80 a2 1c 24 f0[ ]+vpcmov \(%r12\),%xmm15,%xmm15,%xmm11
5dd85c99
SP
153[ ]*[a-f0-9]+: 8f c8 44 a2 c4 00[ ]+vpcmov %ymm0,%ymm12,%ymm7,%ymm0
154[ ]*[a-f0-9]+: 8f e8 fc a2 00 f0[ ]+vpcmov \(%rax\),%ymm15,%ymm0,%ymm0
155[ ]*[a-f0-9]+: 8f c8 84 a2 02 f0[ ]+vpcmov \(%r10\),%ymm15,%ymm15,%ymm0
156[ ]*[a-f0-9]+: 8f 68 7c a2 d8 20[ ]+vpcmov %ymm2,%ymm0,%ymm0,%ymm11
157[ ]*[a-f0-9]+: 8f e8 7c a2 c0 20[ ]+vpcmov %ymm2,%ymm0,%ymm0,%ymm0
158[ ]*[a-f0-9]+: 8f 68 7c a2 f8 20[ ]+vpcmov %ymm2,%ymm0,%ymm0,%ymm15
159[ ]*[a-f0-9]+: 8f 48 fc a2 3a c0[ ]+vpcmov \(%r10\),%ymm12,%ymm0,%ymm15
160[ ]*[a-f0-9]+: 8f 68 fc a2 38 00[ ]+vpcmov \(%rax\),%ymm0,%ymm0,%ymm15
ccc5981b
SP
161[ ]*[a-f0-9]+: 8f 48 84 a2 3c 24 f0[ ]+vpcmov \(%r12\),%ymm15,%ymm15,%ymm15
162[ ]*[a-f0-9]+: 8f 48 84 a2 3c 24 00[ ]+vpcmov \(%r12\),%ymm0,%ymm15,%ymm15
5dd85c99
SP
163[ ]*[a-f0-9]+: 8f e8 84 a2 00 c0[ ]+vpcmov \(%rax\),%ymm12,%ymm15,%ymm0
164[ ]*[a-f0-9]+: 8f c8 04 a2 c7 f0[ ]+vpcmov %ymm15,%ymm15,%ymm15,%ymm0
165[ ]*[a-f0-9]+: 8f 48 c4 a2 1a f0[ ]+vpcmov \(%r10\),%ymm15,%ymm7,%ymm11
166[ ]*[a-f0-9]+: 8f 48 44 a2 dc 20[ ]+vpcmov %ymm2,%ymm12,%ymm7,%ymm11
167[ ]*[a-f0-9]+: 8f c8 7c a2 c4 20[ ]+vpcmov %ymm2,%ymm12,%ymm0,%ymm0
ccc5981b 168[ ]*[a-f0-9]+: 8f 48 84 a2 1c 24 f0[ ]+vpcmov \(%r12\),%ymm15,%ymm15,%ymm11
be92cb14 169[ ]*[a-f0-9]+: 8f 68 78 cc 3f 03[ ]+vpcomgeb \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
170[ ]*[a-f0-9]+: 8f e8 78 cc c8 ff[ ]+vpcomb \$0xff,%xmm0,%xmm0,%xmm1
171[ ]*[a-f0-9]+: 8f c8 78 cc cf ff[ ]+vpcomb \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
172[ ]*[a-f0-9]+: 8f c8 20 cc cb 00[ ]+vpcomltb %xmm11,%xmm11,%xmm1
173[ ]*[a-f0-9]+: 8f c8 78 cc cb 00[ ]+vpcomltb %xmm11,%xmm0,%xmm1
174[ ]*[a-f0-9]+: 8f e8 00 cc c8 00[ ]+vpcomltb %xmm0,%xmm15,%xmm1
175[ ]*[a-f0-9]+: 8f 48 00 cc fb 03[ ]+vpcomgeb %xmm11,%xmm15,%xmm15
176[ ]*[a-f0-9]+: 8f 48 00 cc fb 00[ ]+vpcomltb %xmm11,%xmm15,%xmm15
5dd85c99 177[ ]*[a-f0-9]+: 8f 48 00 cc ff ff[ ]+vpcomb \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
178[ ]*[a-f0-9]+: 8f 68 00 cc 39 00[ ]+vpcomltb \(%rcx\),%xmm15,%xmm15
179[ ]*[a-f0-9]+: 8f e8 78 cc 01 03[ ]+vpcomgeb \(%rcx\),%xmm0,%xmm0
5dd85c99 180[ ]*[a-f0-9]+: 8f e8 20 cc 0f ff[ ]+vpcomb \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
181[ ]*[a-f0-9]+: 8f e8 20 cc 0f 03[ ]+vpcomgeb \(%rdi\),%xmm11,%xmm1
182[ ]*[a-f0-9]+: 8f 68 78 cc f8 03[ ]+vpcomgeb %xmm0,%xmm0,%xmm15
5dd85c99 183[ ]*[a-f0-9]+: 8f e8 20 cc 01 ff[ ]+vpcomb \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
184[ ]*[a-f0-9]+: 8f e8 00 cc 0e 03[ ]+vpcomgeb \(%rsi\),%xmm15,%xmm1
185[ ]*[a-f0-9]+: 8f 68 78 ce 3f 03[ ]+vpcomged \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
186[ ]*[a-f0-9]+: 8f e8 78 ce c8 ff[ ]+vpcomd \$0xff,%xmm0,%xmm0,%xmm1
187[ ]*[a-f0-9]+: 8f c8 78 ce cf ff[ ]+vpcomd \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
188[ ]*[a-f0-9]+: 8f c8 20 ce cb 00[ ]+vpcomltd %xmm11,%xmm11,%xmm1
189[ ]*[a-f0-9]+: 8f c8 78 ce cb 00[ ]+vpcomltd %xmm11,%xmm0,%xmm1
190[ ]*[a-f0-9]+: 8f e8 00 ce c8 00[ ]+vpcomltd %xmm0,%xmm15,%xmm1
191[ ]*[a-f0-9]+: 8f 48 00 ce fb 03[ ]+vpcomged %xmm11,%xmm15,%xmm15
192[ ]*[a-f0-9]+: 8f 48 00 ce fb 00[ ]+vpcomltd %xmm11,%xmm15,%xmm15
5dd85c99 193[ ]*[a-f0-9]+: 8f 48 00 ce ff ff[ ]+vpcomd \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
194[ ]*[a-f0-9]+: 8f 68 00 ce 39 00[ ]+vpcomltd \(%rcx\),%xmm15,%xmm15
195[ ]*[a-f0-9]+: 8f e8 78 ce 01 03[ ]+vpcomged \(%rcx\),%xmm0,%xmm0
5dd85c99 196[ ]*[a-f0-9]+: 8f e8 20 ce 0f ff[ ]+vpcomd \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
197[ ]*[a-f0-9]+: 8f e8 20 ce 0f 03[ ]+vpcomged \(%rdi\),%xmm11,%xmm1
198[ ]*[a-f0-9]+: 8f 68 78 ce f8 03[ ]+vpcomged %xmm0,%xmm0,%xmm15
5dd85c99 199[ ]*[a-f0-9]+: 8f e8 20 ce 01 ff[ ]+vpcomd \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
200[ ]*[a-f0-9]+: 8f e8 00 ce 0e 03[ ]+vpcomged \(%rsi\),%xmm15,%xmm1
201[ ]*[a-f0-9]+: 8f 68 78 cf 3f 03[ ]+vpcomgeq \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
202[ ]*[a-f0-9]+: 8f e8 78 cf c8 ff[ ]+vpcomq \$0xff,%xmm0,%xmm0,%xmm1
203[ ]*[a-f0-9]+: 8f c8 78 cf cf ff[ ]+vpcomq \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
204[ ]*[a-f0-9]+: 8f c8 20 cf cb 00[ ]+vpcomltq %xmm11,%xmm11,%xmm1
205[ ]*[a-f0-9]+: 8f c8 78 cf cb 00[ ]+vpcomltq %xmm11,%xmm0,%xmm1
206[ ]*[a-f0-9]+: 8f e8 00 cf c8 00[ ]+vpcomltq %xmm0,%xmm15,%xmm1
207[ ]*[a-f0-9]+: 8f 48 00 cf fb 03[ ]+vpcomgeq %xmm11,%xmm15,%xmm15
208[ ]*[a-f0-9]+: 8f 48 00 cf fb 00[ ]+vpcomltq %xmm11,%xmm15,%xmm15
5dd85c99 209[ ]*[a-f0-9]+: 8f 48 00 cf ff ff[ ]+vpcomq \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
210[ ]*[a-f0-9]+: 8f 68 00 cf 39 00[ ]+vpcomltq \(%rcx\),%xmm15,%xmm15
211[ ]*[a-f0-9]+: 8f e8 78 cf 01 03[ ]+vpcomgeq \(%rcx\),%xmm0,%xmm0
5dd85c99 212[ ]*[a-f0-9]+: 8f e8 20 cf 0f ff[ ]+vpcomq \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
213[ ]*[a-f0-9]+: 8f e8 20 cf 0f 03[ ]+vpcomgeq \(%rdi\),%xmm11,%xmm1
214[ ]*[a-f0-9]+: 8f 68 78 cf f8 03[ ]+vpcomgeq %xmm0,%xmm0,%xmm15
5dd85c99 215[ ]*[a-f0-9]+: 8f e8 20 cf 01 ff[ ]+vpcomq \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
216[ ]*[a-f0-9]+: 8f e8 00 cf 0e 03[ ]+vpcomgeq \(%rsi\),%xmm15,%xmm1
217[ ]*[a-f0-9]+: 8f 68 78 ec 3f 03[ ]+vpcomgeub \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
218[ ]*[a-f0-9]+: 8f e8 78 ec c8 ff[ ]+vpcomub \$0xff,%xmm0,%xmm0,%xmm1
219[ ]*[a-f0-9]+: 8f c8 78 ec cf ff[ ]+vpcomub \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
220[ ]*[a-f0-9]+: 8f c8 20 ec cb 00[ ]+vpcomltub %xmm11,%xmm11,%xmm1
221[ ]*[a-f0-9]+: 8f c8 78 ec cb 00[ ]+vpcomltub %xmm11,%xmm0,%xmm1
222[ ]*[a-f0-9]+: 8f e8 00 ec c8 00[ ]+vpcomltub %xmm0,%xmm15,%xmm1
223[ ]*[a-f0-9]+: 8f 48 00 ec fb 03[ ]+vpcomgeub %xmm11,%xmm15,%xmm15
224[ ]*[a-f0-9]+: 8f 48 00 ec fb 00[ ]+vpcomltub %xmm11,%xmm15,%xmm15
5dd85c99 225[ ]*[a-f0-9]+: 8f 48 00 ec ff ff[ ]+vpcomub \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
226[ ]*[a-f0-9]+: 8f 68 00 ec 39 00[ ]+vpcomltub \(%rcx\),%xmm15,%xmm15
227[ ]*[a-f0-9]+: 8f e8 78 ec 01 03[ ]+vpcomgeub \(%rcx\),%xmm0,%xmm0
5dd85c99 228[ ]*[a-f0-9]+: 8f e8 20 ec 0f ff[ ]+vpcomub \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
229[ ]*[a-f0-9]+: 8f e8 20 ec 0f 03[ ]+vpcomgeub \(%rdi\),%xmm11,%xmm1
230[ ]*[a-f0-9]+: 8f 68 78 ec f8 03[ ]+vpcomgeub %xmm0,%xmm0,%xmm15
5dd85c99 231[ ]*[a-f0-9]+: 8f e8 20 ec 01 ff[ ]+vpcomub \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
232[ ]*[a-f0-9]+: 8f e8 00 ec 0e 03[ ]+vpcomgeub \(%rsi\),%xmm15,%xmm1
233[ ]*[a-f0-9]+: 8f 68 78 ee 3f 03[ ]+vpcomgeud \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
234[ ]*[a-f0-9]+: 8f e8 78 ee c8 ff[ ]+vpcomud \$0xff,%xmm0,%xmm0,%xmm1
235[ ]*[a-f0-9]+: 8f c8 78 ee cf ff[ ]+vpcomud \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
236[ ]*[a-f0-9]+: 8f c8 20 ee cb 00[ ]+vpcomltud %xmm11,%xmm11,%xmm1
237[ ]*[a-f0-9]+: 8f c8 78 ee cb 00[ ]+vpcomltud %xmm11,%xmm0,%xmm1
238[ ]*[a-f0-9]+: 8f e8 00 ee c8 00[ ]+vpcomltud %xmm0,%xmm15,%xmm1
239[ ]*[a-f0-9]+: 8f 48 00 ee fb 03[ ]+vpcomgeud %xmm11,%xmm15,%xmm15
240[ ]*[a-f0-9]+: 8f 48 00 ee fb 00[ ]+vpcomltud %xmm11,%xmm15,%xmm15
5dd85c99 241[ ]*[a-f0-9]+: 8f 48 00 ee ff ff[ ]+vpcomud \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
242[ ]*[a-f0-9]+: 8f 68 00 ee 39 00[ ]+vpcomltud \(%rcx\),%xmm15,%xmm15
243[ ]*[a-f0-9]+: 8f e8 78 ee 01 03[ ]+vpcomgeud \(%rcx\),%xmm0,%xmm0
5dd85c99 244[ ]*[a-f0-9]+: 8f e8 20 ee 0f ff[ ]+vpcomud \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
245[ ]*[a-f0-9]+: 8f e8 20 ee 0f 03[ ]+vpcomgeud \(%rdi\),%xmm11,%xmm1
246[ ]*[a-f0-9]+: 8f 68 78 ee f8 03[ ]+vpcomgeud %xmm0,%xmm0,%xmm15
5dd85c99 247[ ]*[a-f0-9]+: 8f e8 20 ee 01 ff[ ]+vpcomud \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
248[ ]*[a-f0-9]+: 8f e8 00 ee 0e 03[ ]+vpcomgeud \(%rsi\),%xmm15,%xmm1
249[ ]*[a-f0-9]+: 8f 68 78 ef 3f 03[ ]+vpcomgeuq \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
250[ ]*[a-f0-9]+: 8f e8 78 ef c8 ff[ ]+vpcomuq \$0xff,%xmm0,%xmm0,%xmm1
251[ ]*[a-f0-9]+: 8f c8 78 ef cf ff[ ]+vpcomuq \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
252[ ]*[a-f0-9]+: 8f c8 20 ef cb 00[ ]+vpcomltuq %xmm11,%xmm11,%xmm1
253[ ]*[a-f0-9]+: 8f c8 78 ef cb 00[ ]+vpcomltuq %xmm11,%xmm0,%xmm1
254[ ]*[a-f0-9]+: 8f e8 00 ef c8 00[ ]+vpcomltuq %xmm0,%xmm15,%xmm1
255[ ]*[a-f0-9]+: 8f 48 00 ef fb 03[ ]+vpcomgeuq %xmm11,%xmm15,%xmm15
256[ ]*[a-f0-9]+: 8f 48 00 ef fb 00[ ]+vpcomltuq %xmm11,%xmm15,%xmm15
5dd85c99 257[ ]*[a-f0-9]+: 8f 48 00 ef ff ff[ ]+vpcomuq \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
258[ ]*[a-f0-9]+: 8f 68 00 ef 39 00[ ]+vpcomltuq \(%rcx\),%xmm15,%xmm15
259[ ]*[a-f0-9]+: 8f e8 78 ef 01 03[ ]+vpcomgeuq \(%rcx\),%xmm0,%xmm0
5dd85c99 260[ ]*[a-f0-9]+: 8f e8 20 ef 0f ff[ ]+vpcomuq \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
261[ ]*[a-f0-9]+: 8f e8 20 ef 0f 03[ ]+vpcomgeuq \(%rdi\),%xmm11,%xmm1
262[ ]*[a-f0-9]+: 8f 68 78 ef f8 03[ ]+vpcomgeuq %xmm0,%xmm0,%xmm15
5dd85c99 263[ ]*[a-f0-9]+: 8f e8 20 ef 01 ff[ ]+vpcomuq \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
264[ ]*[a-f0-9]+: 8f e8 00 ef 0e 03[ ]+vpcomgeuq \(%rsi\),%xmm15,%xmm1
265[ ]*[a-f0-9]+: 8f 68 78 ed 3f 03[ ]+vpcomgeuw \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
266[ ]*[a-f0-9]+: 8f e8 78 ed c8 ff[ ]+vpcomuw \$0xff,%xmm0,%xmm0,%xmm1
267[ ]*[a-f0-9]+: 8f c8 78 ed cf ff[ ]+vpcomuw \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
268[ ]*[a-f0-9]+: 8f c8 20 ed cb 00[ ]+vpcomltuw %xmm11,%xmm11,%xmm1
269[ ]*[a-f0-9]+: 8f c8 78 ed cb 00[ ]+vpcomltuw %xmm11,%xmm0,%xmm1
270[ ]*[a-f0-9]+: 8f e8 00 ed c8 00[ ]+vpcomltuw %xmm0,%xmm15,%xmm1
271[ ]*[a-f0-9]+: 8f 48 00 ed fb 03[ ]+vpcomgeuw %xmm11,%xmm15,%xmm15
272[ ]*[a-f0-9]+: 8f 48 00 ed fb 00[ ]+vpcomltuw %xmm11,%xmm15,%xmm15
5dd85c99 273[ ]*[a-f0-9]+: 8f 48 00 ed ff ff[ ]+vpcomuw \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
274[ ]*[a-f0-9]+: 8f 68 00 ed 39 00[ ]+vpcomltuw \(%rcx\),%xmm15,%xmm15
275[ ]*[a-f0-9]+: 8f e8 78 ed 01 03[ ]+vpcomgeuw \(%rcx\),%xmm0,%xmm0
5dd85c99 276[ ]*[a-f0-9]+: 8f e8 20 ed 0f ff[ ]+vpcomuw \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
277[ ]*[a-f0-9]+: 8f e8 20 ed 0f 03[ ]+vpcomgeuw \(%rdi\),%xmm11,%xmm1
278[ ]*[a-f0-9]+: 8f 68 78 ed f8 03[ ]+vpcomgeuw %xmm0,%xmm0,%xmm15
5dd85c99 279[ ]*[a-f0-9]+: 8f e8 20 ed 01 ff[ ]+vpcomuw \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14
JB
280[ ]*[a-f0-9]+: 8f e8 00 ed 0e 03[ ]+vpcomgeuw \(%rsi\),%xmm15,%xmm1
281[ ]*[a-f0-9]+: 8f 68 78 cd 3f 03[ ]+vpcomgew \(%rdi\),%xmm0,%xmm15
5dd85c99
SP
282[ ]*[a-f0-9]+: 8f e8 78 cd c8 ff[ ]+vpcomw \$0xff,%xmm0,%xmm0,%xmm1
283[ ]*[a-f0-9]+: 8f c8 78 cd cf ff[ ]+vpcomw \$0xff,%xmm15,%xmm0,%xmm1
be92cb14
JB
284[ ]*[a-f0-9]+: 8f c8 20 cd cb 00[ ]+vpcomltw %xmm11,%xmm11,%xmm1
285[ ]*[a-f0-9]+: 8f c8 78 cd cb 00[ ]+vpcomltw %xmm11,%xmm0,%xmm1
286[ ]*[a-f0-9]+: 8f e8 00 cd c8 00[ ]+vpcomltw %xmm0,%xmm15,%xmm1
287[ ]*[a-f0-9]+: 8f 48 00 cd fb 03[ ]+vpcomgew %xmm11,%xmm15,%xmm15
288[ ]*[a-f0-9]+: 8f 48 00 cd fb 00[ ]+vpcomltw %xmm11,%xmm15,%xmm15
5dd85c99 289[ ]*[a-f0-9]+: 8f 48 00 cd ff ff[ ]+vpcomw \$0xff,%xmm15,%xmm15,%xmm15
be92cb14
JB
290[ ]*[a-f0-9]+: 8f 68 00 cd 39 00[ ]+vpcomltw \(%rcx\),%xmm15,%xmm15
291[ ]*[a-f0-9]+: 8f e8 78 cd 01 03[ ]+vpcomgew \(%rcx\),%xmm0,%xmm0
5dd85c99 292[ ]*[a-f0-9]+: 8f e8 20 cd 0f ff[ ]+vpcomw \$0xff,\(%rdi\),%xmm11,%xmm1
be92cb14
JB
293[ ]*[a-f0-9]+: 8f e8 20 cd 0f 03[ ]+vpcomgew \(%rdi\),%xmm11,%xmm1
294[ ]*[a-f0-9]+: 8f 68 78 cd f8 03[ ]+vpcomgew %xmm0,%xmm0,%xmm15
5dd85c99 295[ ]*[a-f0-9]+: 8f e8 20 cd 01 ff[ ]+vpcomw \$0xff,\(%rcx\),%xmm11,%xmm0
be92cb14 296[ ]*[a-f0-9]+: 8f e8 00 cd 0e 03[ ]+vpcomgew \(%rsi\),%xmm15,%xmm1
a683cc34
SP
297[ ]*[a-f0-9]+: c4 43 21 49 d5 e3[ ]+vpermil2pd \$0x3,%xmm14,%xmm13,%xmm11,%xmm10
298[ ]*[a-f0-9]+: c4 a3 71 49 04 07 f2[ ]+vpermil2pd \$0x2,%xmm15,\(%rdi,%r8,1\),%xmm1,%xmm0
299[ ]*[a-f0-9]+: c4 83 79 49 54 e4 23 01[ ]+vpermil2pd \$0x1,%xmm0,0x23\(%r12,%r12,8\),%xmm0,%xmm2
300[ ]*[a-f0-9]+: c4 c3 11 49 d7 30[ ]+vpermil2pd \$0x0,%xmm3,%xmm15,%xmm13,%xmm2
301[ ]*[a-f0-9]+: c4 c3 21 49 c6 32[ ]+vpermil2pd \$0x2,%xmm3,%xmm14,%xmm11,%xmm0
302[ ]*[a-f0-9]+: c4 e3 71 49 02 01[ ]+vpermil2pd \$0x1,%xmm0,\(%rdx\),%xmm1,%xmm0
303[ ]*[a-f0-9]+: c4 63 79 49 c8 33[ ]+vpermil2pd \$0x3,%xmm3,%xmm0,%xmm0,%xmm9
304[ ]*[a-f0-9]+: c4 83 79 49 5c e4 23 20[ ]+vpermil2pd \$0x0,%xmm2,0x23\(%r12,%r12,8\),%xmm0,%xmm3
305[ ]*[a-f0-9]+: c4 e3 21 49 c7 00[ ]+vpermil2pd \$0x0,%xmm0,%xmm7,%xmm11,%xmm0
306[ ]*[a-f0-9]+: c4 e3 01 49 c5 41[ ]+vpermil2pd \$0x1,%xmm4,%xmm5,%xmm15,%xmm0
307[ ]*[a-f0-9]+: c4 43 f9 49 54 9c 04 83[ ]+vpermil2pd \$0x3,0x4\(%r12,%rbx,4\),%xmm8,%xmm0,%xmm10
308[ ]*[a-f0-9]+: c4 e3 41 49 f0 12[ ]+vpermil2pd \$0x2,%xmm1,%xmm0,%xmm7,%xmm6
309[ ]*[a-f0-9]+: c4 43 c9 49 54 1d 00 c1[ ]+vpermil2pd \$0x1,0x0\(%r13,%rbx,1\),%xmm12,%xmm6,%xmm10
310[ ]*[a-f0-9]+: c4 63 79 49 ce 42[ ]+vpermil2pd \$0x2,%xmm4,%xmm6,%xmm0,%xmm9
311[ ]*[a-f0-9]+: c4 63 c9 49 1c db 80[ ]+vpermil2pd \$0x0,\(%rbx,%rbx,8\),%xmm8,%xmm6,%xmm11
312[ ]*[a-f0-9]+: c4 c3 49 49 c5 53[ ]+vpermil2pd \$0x3,%xmm5,%xmm13,%xmm6,%xmm0
313[ ]*[a-f0-9]+: c4 63 7d 49 ed 71[ ]+vpermil2pd \$0x1,%ymm7,%ymm5,%ymm0,%ymm13
314[ ]*[a-f0-9]+: c4 23 5d 49 24 49 70[ ]+vpermil2pd \$0x0,%ymm7,\(%rcx,%r9,2\),%ymm4,%ymm12
315[ ]*[a-f0-9]+: c4 03 7d 49 04 1e 33[ ]+vpermil2pd \$0x3,%ymm3,\(%r14,%r11,1\),%ymm0,%ymm8
316[ ]*[a-f0-9]+: c4 43 7d 49 8c 81 07 01 00 00 72[ ]+vpermil2pd \$0x2,%ymm7,0x107\(%r9,%rax,4\),%ymm0,%ymm9
317[ ]*[a-f0-9]+: c4 03 7d 49 04 1e 72[ ]+vpermil2pd \$0x2,%ymm7,\(%r14,%r11,1\),%ymm0,%ymm8
318[ ]*[a-f0-9]+: c4 a3 5d 49 04 49 03[ ]+vpermil2pd \$0x3,%ymm0,\(%rcx,%r9,2\),%ymm4,%ymm0
319[ ]*[a-f0-9]+: c4 83 25 49 2c 1e 81[ ]+vpermil2pd \$0x1,%ymm8,\(%r14,%r11,1\),%ymm11,%ymm5
320[ ]*[a-f0-9]+: c4 63 7d 49 2e 20[ ]+vpermil2pd \$0x0,%ymm2,\(%rsi\),%ymm0,%ymm13
321[ ]*[a-f0-9]+: c4 63 ad 49 3c 31 01[ ]+vpermil2pd \$0x1,\(%rcx,%rsi,1\),%ymm0,%ymm10,%ymm15
322[ ]*[a-f0-9]+: c4 c3 fd 49 01 c2[ ]+vpermil2pd \$0x2,\(%r9\),%ymm12,%ymm0,%ymm0
323[ ]*[a-f0-9]+: c4 a3 a5 49 a4 31 d9 d8 15 00 80[ ]+vpermil2pd \$0x0,0x15d8d9\(%rcx,%r14,1\),%ymm8,%ymm11,%ymm4
324[ ]*[a-f0-9]+: c4 c3 7d 49 c4 93[ ]+vpermil2pd \$0x3,%ymm9,%ymm12,%ymm0,%ymm0
325[ ]*[a-f0-9]+: c4 03 8d 49 44 1d 00 13[ ]+vpermil2pd \$0x3,0x0\(%r13,%r11,1\),%ymm1,%ymm14,%ymm8
326[ ]*[a-f0-9]+: c4 23 fd 49 9c 31 d9 d8 15 00 00[ ]+vpermil2pd \$0x0,0x15d8d9\(%rcx,%r14,1\),%ymm0,%ymm0,%ymm11
327[ ]*[a-f0-9]+: c4 83 85 49 44 1d 00 11[ ]+vpermil2pd \$0x1,0x0\(%r13,%r11,1\),%ymm1,%ymm15,%ymm0
328[ ]*[a-f0-9]+: c4 c3 25 49 ed 92[ ]+vpermil2pd \$0x2,%ymm9,%ymm13,%ymm11,%ymm5
329[ ]*[a-f0-9]+: c4 03 79 48 7c e4 23 02[ ]+vpermil2ps \$0x2,%xmm0,0x23\(%r12,%r12,8\),%xmm0,%xmm15
330[ ]*[a-f0-9]+: c4 03 61 48 4c e4 23 00[ ]+vpermil2ps \$0x0,%xmm0,0x23\(%r12,%r12,8\),%xmm3,%xmm9
331[ ]*[a-f0-9]+: c4 43 41 48 dc 03[ ]+vpermil2ps \$0x3,%xmm0,%xmm12,%xmm7,%xmm11
332[ ]*[a-f0-9]+: c4 e3 79 48 02 31[ ]+vpermil2ps \$0x1,%xmm3,\(%rdx\),%xmm0,%xmm0
333[ ]*[a-f0-9]+: c4 83 79 48 04 0e 32[ ]+vpermil2ps \$0x2,%xmm3,\(%r14,%r9,1\),%xmm0,%xmm0
334[ ]*[a-f0-9]+: c4 e3 71 48 02 31[ ]+vpermil2ps \$0x1,%xmm3,\(%rdx\),%xmm1,%xmm0
335[ ]*[a-f0-9]+: c4 43 79 48 e0 30[ ]+vpermil2ps \$0x0,%xmm3,%xmm8,%xmm0,%xmm12
336[ ]*[a-f0-9]+: c4 83 71 48 14 0e 33[ ]+vpermil2ps \$0x3,%xmm3,\(%r14,%r9,1\),%xmm1,%xmm2
337[ ]*[a-f0-9]+: c4 43 f9 48 5c 05 00 01[ ]+vpermil2ps \$0x1,0x0\(%r13,%rax,1\),%xmm0,%xmm0,%xmm11
338[ ]*[a-f0-9]+: c4 63 c9 48 17 f3[ ]+vpermil2ps \$0x3,\(%rdi\),%xmm15,%xmm6,%xmm10
339[ ]*[a-f0-9]+: c4 c3 79 48 c5 02[ ]+vpermil2ps \$0x2,%xmm0,%xmm13,%xmm0,%xmm0
340[ ]*[a-f0-9]+: c4 63 09 48 d0 40[ ]+vpermil2ps \$0x0,%xmm4,%xmm0,%xmm14,%xmm10
341[ ]*[a-f0-9]+: c4 63 61 48 d8 01[ ]+vpermil2ps \$0x1,%xmm0,%xmm0,%xmm3,%xmm11
342[ ]*[a-f0-9]+: c4 63 c9 48 14 db b2[ ]+vpermil2ps \$0x2,\(%rbx,%rbx,8\),%xmm11,%xmm6,%xmm10
343[ ]*[a-f0-9]+: c4 63 49 48 fd 43[ ]+vpermil2ps \$0x3,%xmm4,%xmm5,%xmm6,%xmm15
344[ ]*[a-f0-9]+: c4 43 f9 48 54 9c 04 00[ ]+vpermil2ps \$0x0,0x4\(%r12,%rbx,4\),%xmm0,%xmm0,%xmm10
345[ ]*[a-f0-9]+: c4 e3 7d 48 06 01[ ]+vpermil2ps \$0x1,%ymm0,\(%rsi\),%ymm0,%ymm0
346[ ]*[a-f0-9]+: c4 c3 25 48 84 81 07 01 00 00 f2[ ]+vpermil2ps \$0x2,%ymm15,0x107\(%r9,%rax,4\),%ymm11,%ymm0
347[ ]*[a-f0-9]+: c4 e3 5d 48 c0 70[ ]+vpermil2ps \$0x0,%ymm7,%ymm0,%ymm4,%ymm0
348[ ]*[a-f0-9]+: c4 23 5d 48 3c 49 73[ ]+vpermil2ps \$0x3,%ymm7,\(%rcx,%r9,2\),%ymm4,%ymm15
349[ ]*[a-f0-9]+: c4 63 5d 48 f8 02[ ]+vpermil2ps \$0x2,%ymm0,%ymm0,%ymm4,%ymm15
350[ ]*[a-f0-9]+: c4 43 05 48 ac 81 07 01 00 00 03[ ]+vpermil2ps \$0x3,%ymm0,0x107\(%r9,%rax,4\),%ymm15,%ymm13
351[ ]*[a-f0-9]+: c4 43 0d 48 e8 70[ ]+vpermil2ps \$0x0,%ymm7,%ymm8,%ymm14,%ymm13
352[ ]*[a-f0-9]+: c4 43 5d 48 ef 71[ ]+vpermil2ps \$0x1,%ymm7,%ymm15,%ymm4,%ymm13
353[ ]*[a-f0-9]+: c4 23 fd 48 bc 31 d9 d8 15 00 00[ ]+vpermil2ps \$0x0,0x15d8d9\(%rcx,%r14,1\),%ymm0,%ymm0,%ymm15
354[ ]*[a-f0-9]+: c4 83 85 48 64 1d 00 c3[ ]+vpermil2ps \$0x3,0x0\(%r13,%r11,1\),%ymm12,%ymm15,%ymm4
355[ ]*[a-f0-9]+: c4 a3 fd 48 84 31 d9 d8 15 00 02[ ]+vpermil2ps \$0x2,0x15d8d9\(%rcx,%r14,1\),%ymm0,%ymm0,%ymm0
356[ ]*[a-f0-9]+: c4 e3 65 48 e2 01[ ]+vpermil2ps \$0x1,%ymm0,%ymm2,%ymm3,%ymm4
357[ ]*[a-f0-9]+: c4 e3 fd 48 24 31 c3[ ]+vpermil2ps \$0x3,\(%rcx,%rsi,1\),%ymm12,%ymm0,%ymm4
358[ ]*[a-f0-9]+: c4 e3 fd 48 24 31 12[ ]+vpermil2ps \$0x2,\(%rcx,%rsi,1\),%ymm1,%ymm0,%ymm4
359[ ]*[a-f0-9]+: c4 83 fd 48 64 1d 00 00[ ]+vpermil2ps \$0x0,0x0\(%r13,%r11,1\),%ymm0,%ymm0,%ymm4
360[ ]*[a-f0-9]+: c4 e3 5d 48 c7 81[ ]+vpermil2ps \$0x1,%ymm8,%ymm7,%ymm4,%ymm0
5dd85c99
SP
361[ ]*[a-f0-9]+: 8f 69 78 c2 fa[ ]+vphaddbd %xmm2,%xmm15
362[ ]*[a-f0-9]+: 8f 69 78 c2 e0[ ]+vphaddbd %xmm0,%xmm12
363[ ]*[a-f0-9]+: 8f c9 78 c2 04 24[ ]+vphaddbd \(%r12\),%xmm0
364[ ]*[a-f0-9]+: 8f 69 78 c2 38[ ]+vphaddbd \(%rax\),%xmm15
365[ ]*[a-f0-9]+: 8f e9 78 c2 c0[ ]+vphaddbd %xmm0,%xmm0
366[ ]*[a-f0-9]+: 8f 49 78 c2 3a[ ]+vphaddbd \(%r10\),%xmm15
367[ ]*[a-f0-9]+: 8f e9 78 c2 c2[ ]+vphaddbd %xmm2,%xmm0
368[ ]*[a-f0-9]+: 8f 49 78 c2 e7[ ]+vphaddbd %xmm15,%xmm12
369[ ]*[a-f0-9]+: 8f c9 78 c2 c7[ ]+vphaddbd %xmm15,%xmm0
370[ ]*[a-f0-9]+: 8f 69 78 c2 f8[ ]+vphaddbd %xmm0,%xmm15
371[ ]*[a-f0-9]+: 8f 49 78 c2 22[ ]+vphaddbd \(%r10\),%xmm12
372[ ]*[a-f0-9]+: 8f 49 78 c2 ff[ ]+vphaddbd %xmm15,%xmm15
373[ ]*[a-f0-9]+: 8f e9 78 c2 00[ ]+vphaddbd \(%rax\),%xmm0
374[ ]*[a-f0-9]+: 8f 49 78 c2 3c 24[ ]+vphaddbd \(%r12\),%xmm15
375[ ]*[a-f0-9]+: 8f 69 78 c2 20[ ]+vphaddbd \(%rax\),%xmm12
376[ ]*[a-f0-9]+: 8f c9 78 c2 02[ ]+vphaddbd \(%r10\),%xmm0
377[ ]*[a-f0-9]+: 8f 69 78 c3 fa[ ]+vphaddbq %xmm2,%xmm15
378[ ]*[a-f0-9]+: 8f 69 78 c3 e0[ ]+vphaddbq %xmm0,%xmm12
379[ ]*[a-f0-9]+: 8f c9 78 c3 04 24[ ]+vphaddbq \(%r12\),%xmm0
380[ ]*[a-f0-9]+: 8f 69 78 c3 38[ ]+vphaddbq \(%rax\),%xmm15
381[ ]*[a-f0-9]+: 8f e9 78 c3 c0[ ]+vphaddbq %xmm0,%xmm0
382[ ]*[a-f0-9]+: 8f 49 78 c3 3a[ ]+vphaddbq \(%r10\),%xmm15
383[ ]*[a-f0-9]+: 8f e9 78 c3 c2[ ]+vphaddbq %xmm2,%xmm0
384[ ]*[a-f0-9]+: 8f 49 78 c3 e7[ ]+vphaddbq %xmm15,%xmm12
385[ ]*[a-f0-9]+: 8f c9 78 c3 c7[ ]+vphaddbq %xmm15,%xmm0
386[ ]*[a-f0-9]+: 8f 69 78 c3 f8[ ]+vphaddbq %xmm0,%xmm15
387[ ]*[a-f0-9]+: 8f 49 78 c3 22[ ]+vphaddbq \(%r10\),%xmm12
388[ ]*[a-f0-9]+: 8f 49 78 c3 ff[ ]+vphaddbq %xmm15,%xmm15
389[ ]*[a-f0-9]+: 8f e9 78 c3 00[ ]+vphaddbq \(%rax\),%xmm0
390[ ]*[a-f0-9]+: 8f 49 78 c3 3c 24[ ]+vphaddbq \(%r12\),%xmm15
391[ ]*[a-f0-9]+: 8f 69 78 c3 20[ ]+vphaddbq \(%rax\),%xmm12
392[ ]*[a-f0-9]+: 8f c9 78 c3 02[ ]+vphaddbq \(%r10\),%xmm0
393[ ]*[a-f0-9]+: 8f 69 78 c1 fa[ ]+vphaddbw %xmm2,%xmm15
394[ ]*[a-f0-9]+: 8f 69 78 c1 e0[ ]+vphaddbw %xmm0,%xmm12
395[ ]*[a-f0-9]+: 8f c9 78 c1 04 24[ ]+vphaddbw \(%r12\),%xmm0
396[ ]*[a-f0-9]+: 8f 69 78 c1 38[ ]+vphaddbw \(%rax\),%xmm15
397[ ]*[a-f0-9]+: 8f e9 78 c1 c0[ ]+vphaddbw %xmm0,%xmm0
398[ ]*[a-f0-9]+: 8f 49 78 c1 3a[ ]+vphaddbw \(%r10\),%xmm15
399[ ]*[a-f0-9]+: 8f e9 78 c1 c2[ ]+vphaddbw %xmm2,%xmm0
400[ ]*[a-f0-9]+: 8f 49 78 c1 e7[ ]+vphaddbw %xmm15,%xmm12
401[ ]*[a-f0-9]+: 8f c9 78 c1 c7[ ]+vphaddbw %xmm15,%xmm0
402[ ]*[a-f0-9]+: 8f 69 78 c1 f8[ ]+vphaddbw %xmm0,%xmm15
403[ ]*[a-f0-9]+: 8f 49 78 c1 22[ ]+vphaddbw \(%r10\),%xmm12
404[ ]*[a-f0-9]+: 8f 49 78 c1 ff[ ]+vphaddbw %xmm15,%xmm15
405[ ]*[a-f0-9]+: 8f e9 78 c1 00[ ]+vphaddbw \(%rax\),%xmm0
406[ ]*[a-f0-9]+: 8f 49 78 c1 3c 24[ ]+vphaddbw \(%r12\),%xmm15
407[ ]*[a-f0-9]+: 8f 69 78 c1 20[ ]+vphaddbw \(%rax\),%xmm12
408[ ]*[a-f0-9]+: 8f c9 78 c1 02[ ]+vphaddbw \(%r10\),%xmm0
409[ ]*[a-f0-9]+: 8f 69 78 cb fa[ ]+vphadddq %xmm2,%xmm15
410[ ]*[a-f0-9]+: 8f 69 78 cb e0[ ]+vphadddq %xmm0,%xmm12
411[ ]*[a-f0-9]+: 8f c9 78 cb 04 24[ ]+vphadddq \(%r12\),%xmm0
412[ ]*[a-f0-9]+: 8f 69 78 cb 38[ ]+vphadddq \(%rax\),%xmm15
413[ ]*[a-f0-9]+: 8f e9 78 cb c0[ ]+vphadddq %xmm0,%xmm0
414[ ]*[a-f0-9]+: 8f 49 78 cb 3a[ ]+vphadddq \(%r10\),%xmm15
415[ ]*[a-f0-9]+: 8f e9 78 cb c2[ ]+vphadddq %xmm2,%xmm0
416[ ]*[a-f0-9]+: 8f 49 78 cb e7[ ]+vphadddq %xmm15,%xmm12
417[ ]*[a-f0-9]+: 8f c9 78 cb c7[ ]+vphadddq %xmm15,%xmm0
418[ ]*[a-f0-9]+: 8f 69 78 cb f8[ ]+vphadddq %xmm0,%xmm15
419[ ]*[a-f0-9]+: 8f 49 78 cb 22[ ]+vphadddq \(%r10\),%xmm12
420[ ]*[a-f0-9]+: 8f 49 78 cb ff[ ]+vphadddq %xmm15,%xmm15
421[ ]*[a-f0-9]+: 8f e9 78 cb 00[ ]+vphadddq \(%rax\),%xmm0
422[ ]*[a-f0-9]+: 8f 49 78 cb 3c 24[ ]+vphadddq \(%r12\),%xmm15
423[ ]*[a-f0-9]+: 8f 69 78 cb 20[ ]+vphadddq \(%rax\),%xmm12
424[ ]*[a-f0-9]+: 8f c9 78 cb 02[ ]+vphadddq \(%r10\),%xmm0
425[ ]*[a-f0-9]+: 8f 69 78 d2 fa[ ]+vphaddubd %xmm2,%xmm15
426[ ]*[a-f0-9]+: 8f 69 78 d2 e0[ ]+vphaddubd %xmm0,%xmm12
427[ ]*[a-f0-9]+: 8f c9 78 d2 04 24[ ]+vphaddubd \(%r12\),%xmm0
428[ ]*[a-f0-9]+: 8f 69 78 d2 38[ ]+vphaddubd \(%rax\),%xmm15
429[ ]*[a-f0-9]+: 8f e9 78 d2 c0[ ]+vphaddubd %xmm0,%xmm0
430[ ]*[a-f0-9]+: 8f 49 78 d2 3a[ ]+vphaddubd \(%r10\),%xmm15
431[ ]*[a-f0-9]+: 8f e9 78 d2 c2[ ]+vphaddubd %xmm2,%xmm0
432[ ]*[a-f0-9]+: 8f 49 78 d2 e7[ ]+vphaddubd %xmm15,%xmm12
433[ ]*[a-f0-9]+: 8f c9 78 d2 c7[ ]+vphaddubd %xmm15,%xmm0
434[ ]*[a-f0-9]+: 8f 69 78 d2 f8[ ]+vphaddubd %xmm0,%xmm15
435[ ]*[a-f0-9]+: 8f 49 78 d2 22[ ]+vphaddubd \(%r10\),%xmm12
436[ ]*[a-f0-9]+: 8f 49 78 d2 ff[ ]+vphaddubd %xmm15,%xmm15
437[ ]*[a-f0-9]+: 8f e9 78 d2 00[ ]+vphaddubd \(%rax\),%xmm0
438[ ]*[a-f0-9]+: 8f 49 78 d2 3c 24[ ]+vphaddubd \(%r12\),%xmm15
439[ ]*[a-f0-9]+: 8f 69 78 d2 20[ ]+vphaddubd \(%rax\),%xmm12
440[ ]*[a-f0-9]+: 8f c9 78 d2 02[ ]+vphaddubd \(%r10\),%xmm0
441[ ]*[a-f0-9]+: 8f 69 78 d3 fa[ ]+vphaddubq %xmm2,%xmm15
442[ ]*[a-f0-9]+: 8f 69 78 d3 e0[ ]+vphaddubq %xmm0,%xmm12
443[ ]*[a-f0-9]+: 8f c9 78 d3 04 24[ ]+vphaddubq \(%r12\),%xmm0
444[ ]*[a-f0-9]+: 8f 69 78 d3 38[ ]+vphaddubq \(%rax\),%xmm15
445[ ]*[a-f0-9]+: 8f e9 78 d3 c0[ ]+vphaddubq %xmm0,%xmm0
446[ ]*[a-f0-9]+: 8f 49 78 d3 3a[ ]+vphaddubq \(%r10\),%xmm15
447[ ]*[a-f0-9]+: 8f e9 78 d3 c2[ ]+vphaddubq %xmm2,%xmm0
448[ ]*[a-f0-9]+: 8f 49 78 d3 e7[ ]+vphaddubq %xmm15,%xmm12
449[ ]*[a-f0-9]+: 8f c9 78 d3 c7[ ]+vphaddubq %xmm15,%xmm0
450[ ]*[a-f0-9]+: 8f 69 78 d3 f8[ ]+vphaddubq %xmm0,%xmm15
451[ ]*[a-f0-9]+: 8f 49 78 d3 22[ ]+vphaddubq \(%r10\),%xmm12
452[ ]*[a-f0-9]+: 8f 49 78 d3 ff[ ]+vphaddubq %xmm15,%xmm15
453[ ]*[a-f0-9]+: 8f e9 78 d3 00[ ]+vphaddubq \(%rax\),%xmm0
454[ ]*[a-f0-9]+: 8f 49 78 d3 3c 24[ ]+vphaddubq \(%r12\),%xmm15
455[ ]*[a-f0-9]+: 8f 69 78 d3 20[ ]+vphaddubq \(%rax\),%xmm12
456[ ]*[a-f0-9]+: 8f c9 78 d3 02[ ]+vphaddubq \(%r10\),%xmm0
457[ ]*[a-f0-9]+: 8f 69 78 d1 fa[ ]+vphaddubw %xmm2,%xmm15
458[ ]*[a-f0-9]+: 8f 69 78 d1 e0[ ]+vphaddubw %xmm0,%xmm12
459[ ]*[a-f0-9]+: 8f c9 78 d1 04 24[ ]+vphaddubw \(%r12\),%xmm0
460[ ]*[a-f0-9]+: 8f 69 78 d1 38[ ]+vphaddubw \(%rax\),%xmm15
461[ ]*[a-f0-9]+: 8f e9 78 d1 c0[ ]+vphaddubw %xmm0,%xmm0
462[ ]*[a-f0-9]+: 8f 49 78 d1 3a[ ]+vphaddubw \(%r10\),%xmm15
463[ ]*[a-f0-9]+: 8f e9 78 d1 c2[ ]+vphaddubw %xmm2,%xmm0
464[ ]*[a-f0-9]+: 8f 49 78 d1 e7[ ]+vphaddubw %xmm15,%xmm12
465[ ]*[a-f0-9]+: 8f c9 78 d1 c7[ ]+vphaddubw %xmm15,%xmm0
466[ ]*[a-f0-9]+: 8f 69 78 d1 f8[ ]+vphaddubw %xmm0,%xmm15
467[ ]*[a-f0-9]+: 8f 49 78 d1 22[ ]+vphaddubw \(%r10\),%xmm12
468[ ]*[a-f0-9]+: 8f 49 78 d1 ff[ ]+vphaddubw %xmm15,%xmm15
469[ ]*[a-f0-9]+: 8f e9 78 d1 00[ ]+vphaddubw \(%rax\),%xmm0
470[ ]*[a-f0-9]+: 8f 49 78 d1 3c 24[ ]+vphaddubw \(%r12\),%xmm15
471[ ]*[a-f0-9]+: 8f 69 78 d1 20[ ]+vphaddubw \(%rax\),%xmm12
472[ ]*[a-f0-9]+: 8f c9 78 d1 02[ ]+vphaddubw \(%r10\),%xmm0
473[ ]*[a-f0-9]+: 8f 69 78 db fa[ ]+vphaddudq %xmm2,%xmm15
474[ ]*[a-f0-9]+: 8f 69 78 db e0[ ]+vphaddudq %xmm0,%xmm12
475[ ]*[a-f0-9]+: 8f c9 78 db 04 24[ ]+vphaddudq \(%r12\),%xmm0
476[ ]*[a-f0-9]+: 8f 69 78 db 38[ ]+vphaddudq \(%rax\),%xmm15
477[ ]*[a-f0-9]+: 8f e9 78 db c0[ ]+vphaddudq %xmm0,%xmm0
478[ ]*[a-f0-9]+: 8f 49 78 db 3a[ ]+vphaddudq \(%r10\),%xmm15
479[ ]*[a-f0-9]+: 8f e9 78 db c2[ ]+vphaddudq %xmm2,%xmm0
480[ ]*[a-f0-9]+: 8f 49 78 db e7[ ]+vphaddudq %xmm15,%xmm12
481[ ]*[a-f0-9]+: 8f c9 78 db c7[ ]+vphaddudq %xmm15,%xmm0
482[ ]*[a-f0-9]+: 8f 69 78 db f8[ ]+vphaddudq %xmm0,%xmm15
483[ ]*[a-f0-9]+: 8f 49 78 db 22[ ]+vphaddudq \(%r10\),%xmm12
484[ ]*[a-f0-9]+: 8f 49 78 db ff[ ]+vphaddudq %xmm15,%xmm15
485[ ]*[a-f0-9]+: 8f e9 78 db 00[ ]+vphaddudq \(%rax\),%xmm0
486[ ]*[a-f0-9]+: 8f 49 78 db 3c 24[ ]+vphaddudq \(%r12\),%xmm15
487[ ]*[a-f0-9]+: 8f 69 78 db 20[ ]+vphaddudq \(%rax\),%xmm12
488[ ]*[a-f0-9]+: 8f c9 78 db 02[ ]+vphaddudq \(%r10\),%xmm0
489[ ]*[a-f0-9]+: 8f 69 78 d6 fa[ ]+vphadduwd %xmm2,%xmm15
490[ ]*[a-f0-9]+: 8f 69 78 d6 e0[ ]+vphadduwd %xmm0,%xmm12
491[ ]*[a-f0-9]+: 8f c9 78 d6 04 24[ ]+vphadduwd \(%r12\),%xmm0
492[ ]*[a-f0-9]+: 8f 69 78 d6 38[ ]+vphadduwd \(%rax\),%xmm15
493[ ]*[a-f0-9]+: 8f e9 78 d6 c0[ ]+vphadduwd %xmm0,%xmm0
494[ ]*[a-f0-9]+: 8f 49 78 d6 3a[ ]+vphadduwd \(%r10\),%xmm15
495[ ]*[a-f0-9]+: 8f e9 78 d6 c2[ ]+vphadduwd %xmm2,%xmm0
496[ ]*[a-f0-9]+: 8f 49 78 d6 e7[ ]+vphadduwd %xmm15,%xmm12
497[ ]*[a-f0-9]+: 8f c9 78 d6 c7[ ]+vphadduwd %xmm15,%xmm0
498[ ]*[a-f0-9]+: 8f 69 78 d6 f8[ ]+vphadduwd %xmm0,%xmm15
499[ ]*[a-f0-9]+: 8f 49 78 d6 22[ ]+vphadduwd \(%r10\),%xmm12
500[ ]*[a-f0-9]+: 8f 49 78 d6 ff[ ]+vphadduwd %xmm15,%xmm15
501[ ]*[a-f0-9]+: 8f e9 78 d6 00[ ]+vphadduwd \(%rax\),%xmm0
502[ ]*[a-f0-9]+: 8f 49 78 d6 3c 24[ ]+vphadduwd \(%r12\),%xmm15
503[ ]*[a-f0-9]+: 8f 69 78 d6 20[ ]+vphadduwd \(%rax\),%xmm12
504[ ]*[a-f0-9]+: 8f c9 78 d6 02[ ]+vphadduwd \(%r10\),%xmm0
505[ ]*[a-f0-9]+: 8f 69 78 d7 fa[ ]+vphadduwq %xmm2,%xmm15
506[ ]*[a-f0-9]+: 8f 69 78 d7 e0[ ]+vphadduwq %xmm0,%xmm12
507[ ]*[a-f0-9]+: 8f c9 78 d7 04 24[ ]+vphadduwq \(%r12\),%xmm0
508[ ]*[a-f0-9]+: 8f 69 78 d7 38[ ]+vphadduwq \(%rax\),%xmm15
509[ ]*[a-f0-9]+: 8f e9 78 d7 c0[ ]+vphadduwq %xmm0,%xmm0
510[ ]*[a-f0-9]+: 8f 49 78 d7 3a[ ]+vphadduwq \(%r10\),%xmm15
511[ ]*[a-f0-9]+: 8f e9 78 d7 c2[ ]+vphadduwq %xmm2,%xmm0
512[ ]*[a-f0-9]+: 8f 49 78 d7 e7[ ]+vphadduwq %xmm15,%xmm12
513[ ]*[a-f0-9]+: 8f c9 78 d7 c7[ ]+vphadduwq %xmm15,%xmm0
514[ ]*[a-f0-9]+: 8f 69 78 d7 f8[ ]+vphadduwq %xmm0,%xmm15
515[ ]*[a-f0-9]+: 8f 49 78 d7 22[ ]+vphadduwq \(%r10\),%xmm12
516[ ]*[a-f0-9]+: 8f 49 78 d7 ff[ ]+vphadduwq %xmm15,%xmm15
517[ ]*[a-f0-9]+: 8f e9 78 d7 00[ ]+vphadduwq \(%rax\),%xmm0
518[ ]*[a-f0-9]+: 8f 49 78 d7 3c 24[ ]+vphadduwq \(%r12\),%xmm15
519[ ]*[a-f0-9]+: 8f 69 78 d7 20[ ]+vphadduwq \(%rax\),%xmm12
520[ ]*[a-f0-9]+: 8f c9 78 d7 02[ ]+vphadduwq \(%r10\),%xmm0
521[ ]*[a-f0-9]+: 8f 69 78 c6 fa[ ]+vphaddwd %xmm2,%xmm15
522[ ]*[a-f0-9]+: 8f 69 78 c6 e0[ ]+vphaddwd %xmm0,%xmm12
523[ ]*[a-f0-9]+: 8f c9 78 c6 04 24[ ]+vphaddwd \(%r12\),%xmm0
524[ ]*[a-f0-9]+: 8f 69 78 c6 38[ ]+vphaddwd \(%rax\),%xmm15
525[ ]*[a-f0-9]+: 8f e9 78 c6 c0[ ]+vphaddwd %xmm0,%xmm0
526[ ]*[a-f0-9]+: 8f 49 78 c6 3a[ ]+vphaddwd \(%r10\),%xmm15
527[ ]*[a-f0-9]+: 8f e9 78 c6 c2[ ]+vphaddwd %xmm2,%xmm0
528[ ]*[a-f0-9]+: 8f 49 78 c6 e7[ ]+vphaddwd %xmm15,%xmm12
529[ ]*[a-f0-9]+: 8f c9 78 c6 c7[ ]+vphaddwd %xmm15,%xmm0
530[ ]*[a-f0-9]+: 8f 69 78 c6 f8[ ]+vphaddwd %xmm0,%xmm15
531[ ]*[a-f0-9]+: 8f 49 78 c6 22[ ]+vphaddwd \(%r10\),%xmm12
532[ ]*[a-f0-9]+: 8f 49 78 c6 ff[ ]+vphaddwd %xmm15,%xmm15
533[ ]*[a-f0-9]+: 8f e9 78 c6 00[ ]+vphaddwd \(%rax\),%xmm0
534[ ]*[a-f0-9]+: 8f 49 78 c6 3c 24[ ]+vphaddwd \(%r12\),%xmm15
535[ ]*[a-f0-9]+: 8f 69 78 c6 20[ ]+vphaddwd \(%rax\),%xmm12
536[ ]*[a-f0-9]+: 8f c9 78 c6 02[ ]+vphaddwd \(%r10\),%xmm0
537[ ]*[a-f0-9]+: 8f 69 78 c7 fa[ ]+vphaddwq %xmm2,%xmm15
538[ ]*[a-f0-9]+: 8f 69 78 c7 e0[ ]+vphaddwq %xmm0,%xmm12
539[ ]*[a-f0-9]+: 8f c9 78 c7 04 24[ ]+vphaddwq \(%r12\),%xmm0
540[ ]*[a-f0-9]+: 8f 69 78 c7 38[ ]+vphaddwq \(%rax\),%xmm15
541[ ]*[a-f0-9]+: 8f e9 78 c7 c0[ ]+vphaddwq %xmm0,%xmm0
542[ ]*[a-f0-9]+: 8f 49 78 c7 3a[ ]+vphaddwq \(%r10\),%xmm15
543[ ]*[a-f0-9]+: 8f e9 78 c7 c2[ ]+vphaddwq %xmm2,%xmm0
544[ ]*[a-f0-9]+: 8f 49 78 c7 e7[ ]+vphaddwq %xmm15,%xmm12
545[ ]*[a-f0-9]+: 8f c9 78 c7 c7[ ]+vphaddwq %xmm15,%xmm0
546[ ]*[a-f0-9]+: 8f 69 78 c7 f8[ ]+vphaddwq %xmm0,%xmm15
547[ ]*[a-f0-9]+: 8f 49 78 c7 22[ ]+vphaddwq \(%r10\),%xmm12
548[ ]*[a-f0-9]+: 8f 49 78 c7 ff[ ]+vphaddwq %xmm15,%xmm15
549[ ]*[a-f0-9]+: 8f e9 78 c7 00[ ]+vphaddwq \(%rax\),%xmm0
550[ ]*[a-f0-9]+: 8f 49 78 c7 3c 24[ ]+vphaddwq \(%r12\),%xmm15
551[ ]*[a-f0-9]+: 8f 69 78 c7 20[ ]+vphaddwq \(%rax\),%xmm12
552[ ]*[a-f0-9]+: 8f c9 78 c7 02[ ]+vphaddwq \(%r10\),%xmm0
553[ ]*[a-f0-9]+: 8f 69 78 e1 fa[ ]+vphsubbw %xmm2,%xmm15
554[ ]*[a-f0-9]+: 8f 69 78 e1 e0[ ]+vphsubbw %xmm0,%xmm12
555[ ]*[a-f0-9]+: 8f c9 78 e1 04 24[ ]+vphsubbw \(%r12\),%xmm0
556[ ]*[a-f0-9]+: 8f 69 78 e1 38[ ]+vphsubbw \(%rax\),%xmm15
557[ ]*[a-f0-9]+: 8f e9 78 e1 c0[ ]+vphsubbw %xmm0,%xmm0
558[ ]*[a-f0-9]+: 8f 49 78 e1 3a[ ]+vphsubbw \(%r10\),%xmm15
559[ ]*[a-f0-9]+: 8f e9 78 e1 c2[ ]+vphsubbw %xmm2,%xmm0
560[ ]*[a-f0-9]+: 8f 49 78 e1 e7[ ]+vphsubbw %xmm15,%xmm12
561[ ]*[a-f0-9]+: 8f c9 78 e1 c7[ ]+vphsubbw %xmm15,%xmm0
562[ ]*[a-f0-9]+: 8f 69 78 e1 f8[ ]+vphsubbw %xmm0,%xmm15
563[ ]*[a-f0-9]+: 8f 49 78 e1 22[ ]+vphsubbw \(%r10\),%xmm12
564[ ]*[a-f0-9]+: 8f 49 78 e1 ff[ ]+vphsubbw %xmm15,%xmm15
565[ ]*[a-f0-9]+: 8f e9 78 e1 00[ ]+vphsubbw \(%rax\),%xmm0
566[ ]*[a-f0-9]+: 8f 49 78 e1 3c 24[ ]+vphsubbw \(%r12\),%xmm15
567[ ]*[a-f0-9]+: 8f 69 78 e1 20[ ]+vphsubbw \(%rax\),%xmm12
568[ ]*[a-f0-9]+: 8f c9 78 e1 02[ ]+vphsubbw \(%r10\),%xmm0
569[ ]*[a-f0-9]+: 8f 69 78 e3 fa[ ]+vphsubdq %xmm2,%xmm15
570[ ]*[a-f0-9]+: 8f 69 78 e3 e0[ ]+vphsubdq %xmm0,%xmm12
571[ ]*[a-f0-9]+: 8f c9 78 e3 04 24[ ]+vphsubdq \(%r12\),%xmm0
572[ ]*[a-f0-9]+: 8f 69 78 e3 38[ ]+vphsubdq \(%rax\),%xmm15
573[ ]*[a-f0-9]+: 8f e9 78 e3 c0[ ]+vphsubdq %xmm0,%xmm0
574[ ]*[a-f0-9]+: 8f 49 78 e3 3a[ ]+vphsubdq \(%r10\),%xmm15
575[ ]*[a-f0-9]+: 8f e9 78 e3 c2[ ]+vphsubdq %xmm2,%xmm0
576[ ]*[a-f0-9]+: 8f 49 78 e3 e7[ ]+vphsubdq %xmm15,%xmm12
577[ ]*[a-f0-9]+: 8f c9 78 e3 c7[ ]+vphsubdq %xmm15,%xmm0
578[ ]*[a-f0-9]+: 8f 69 78 e3 f8[ ]+vphsubdq %xmm0,%xmm15
579[ ]*[a-f0-9]+: 8f 49 78 e3 22[ ]+vphsubdq \(%r10\),%xmm12
580[ ]*[a-f0-9]+: 8f 49 78 e3 ff[ ]+vphsubdq %xmm15,%xmm15
581[ ]*[a-f0-9]+: 8f e9 78 e3 00[ ]+vphsubdq \(%rax\),%xmm0
582[ ]*[a-f0-9]+: 8f 49 78 e3 3c 24[ ]+vphsubdq \(%r12\),%xmm15
583[ ]*[a-f0-9]+: 8f 69 78 e3 20[ ]+vphsubdq \(%rax\),%xmm12
584[ ]*[a-f0-9]+: 8f c9 78 e3 02[ ]+vphsubdq \(%r10\),%xmm0
585[ ]*[a-f0-9]+: 8f 69 78 e2 fa[ ]+vphsubwd %xmm2,%xmm15
586[ ]*[a-f0-9]+: 8f 69 78 e2 e0[ ]+vphsubwd %xmm0,%xmm12
587[ ]*[a-f0-9]+: 8f c9 78 e2 04 24[ ]+vphsubwd \(%r12\),%xmm0
588[ ]*[a-f0-9]+: 8f 69 78 e2 38[ ]+vphsubwd \(%rax\),%xmm15
589[ ]*[a-f0-9]+: 8f e9 78 e2 c0[ ]+vphsubwd %xmm0,%xmm0
590[ ]*[a-f0-9]+: 8f 49 78 e2 3a[ ]+vphsubwd \(%r10\),%xmm15
591[ ]*[a-f0-9]+: 8f e9 78 e2 c2[ ]+vphsubwd %xmm2,%xmm0
592[ ]*[a-f0-9]+: 8f 49 78 e2 e7[ ]+vphsubwd %xmm15,%xmm12
593[ ]*[a-f0-9]+: 8f c9 78 e2 c7[ ]+vphsubwd %xmm15,%xmm0
594[ ]*[a-f0-9]+: 8f 69 78 e2 f8[ ]+vphsubwd %xmm0,%xmm15
595[ ]*[a-f0-9]+: 8f 49 78 e2 22[ ]+vphsubwd \(%r10\),%xmm12
596[ ]*[a-f0-9]+: 8f 49 78 e2 ff[ ]+vphsubwd %xmm15,%xmm15
597[ ]*[a-f0-9]+: 8f e9 78 e2 00[ ]+vphsubwd \(%rax\),%xmm0
598[ ]*[a-f0-9]+: 8f 49 78 e2 3c 24[ ]+vphsubwd \(%r12\),%xmm15
599[ ]*[a-f0-9]+: 8f 69 78 e2 20[ ]+vphsubwd \(%rax\),%xmm12
600[ ]*[a-f0-9]+: 8f c9 78 e2 02[ ]+vphsubwd \(%r10\),%xmm0
601[ ]*[a-f0-9]+: 8f c8 40 9e c7 00[ ]+vpmacsdd %xmm0,%xmm15,%xmm7,%xmm0
602[ ]*[a-f0-9]+: 8f c8 78 9e 01 20[ ]+vpmacsdd %xmm2,\(%r9\),%xmm0,%xmm0
603[ ]*[a-f0-9]+: 8f c8 00 9e 01 f0[ ]+vpmacsdd %xmm15,\(%r9\),%xmm15,%xmm0
604[ ]*[a-f0-9]+: 8f 68 78 9e d8 f0[ ]+vpmacsdd %xmm15,%xmm0,%xmm0,%xmm11
605[ ]*[a-f0-9]+: 8f c8 78 9e c4 f0[ ]+vpmacsdd %xmm15,%xmm12,%xmm0,%xmm0
606[ ]*[a-f0-9]+: 8f 48 78 9e fc f0[ ]+vpmacsdd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 607[ ]*[a-f0-9]+: 8f 48 78 9e 3c 24 f0[ ]+vpmacsdd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
608[ ]*[a-f0-9]+: 8f 68 78 9e f8 20[ ]+vpmacsdd %xmm2,%xmm0,%xmm0,%xmm15
609[ ]*[a-f0-9]+: 8f 48 00 9e 39 20[ ]+vpmacsdd %xmm2,\(%r9\),%xmm15,%xmm15
610[ ]*[a-f0-9]+: 8f 48 00 9e fc 20[ ]+vpmacsdd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
611[ ]*[a-f0-9]+: 8f c8 00 9e 04 24 20[ ]+vpmacsdd %xmm2,\(%r12\),%xmm15,%xmm0
612[ ]*[a-f0-9]+: 8f c8 00 9e 45 00 00[ ]+vpmacsdd %xmm0,0x0\(%r13\),%xmm15,%xmm0
613[ ]*[a-f0-9]+: 8f 48 40 9e 5d 00 f0[ ]+vpmacsdd %xmm15,0x0\(%r13\),%xmm7,%xmm11
614[ ]*[a-f0-9]+: 8f 48 40 9e 1c 24 f0[ ]+vpmacsdd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
615[ ]*[a-f0-9]+: 8f c8 78 9e c7 f0[ ]+vpmacsdd %xmm15,%xmm15,%xmm0,%xmm0
616[ ]*[a-f0-9]+: 8f 48 00 9e 19 20[ ]+vpmacsdd %xmm2,\(%r9\),%xmm15,%xmm11
617[ ]*[a-f0-9]+: 8f c8 40 9f c7 00[ ]+vpmacsdqh %xmm0,%xmm15,%xmm7,%xmm0
618[ ]*[a-f0-9]+: 8f c8 78 9f 01 20[ ]+vpmacsdqh %xmm2,\(%r9\),%xmm0,%xmm0
619[ ]*[a-f0-9]+: 8f c8 00 9f 01 f0[ ]+vpmacsdqh %xmm15,\(%r9\),%xmm15,%xmm0
620[ ]*[a-f0-9]+: 8f 68 78 9f d8 f0[ ]+vpmacsdqh %xmm15,%xmm0,%xmm0,%xmm11
621[ ]*[a-f0-9]+: 8f c8 78 9f c4 f0[ ]+vpmacsdqh %xmm15,%xmm12,%xmm0,%xmm0
622[ ]*[a-f0-9]+: 8f 48 78 9f fc f0[ ]+vpmacsdqh %xmm15,%xmm12,%xmm0,%xmm15
41effecb 623[ ]*[a-f0-9]+: 8f 48 78 9f 3c 24 f0[ ]+vpmacsdqh %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
624[ ]*[a-f0-9]+: 8f 68 78 9f f8 20[ ]+vpmacsdqh %xmm2,%xmm0,%xmm0,%xmm15
625[ ]*[a-f0-9]+: 8f 48 00 9f 39 20[ ]+vpmacsdqh %xmm2,\(%r9\),%xmm15,%xmm15
626[ ]*[a-f0-9]+: 8f 48 00 9f fc 20[ ]+vpmacsdqh %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
627[ ]*[a-f0-9]+: 8f c8 00 9f 04 24 20[ ]+vpmacsdqh %xmm2,\(%r12\),%xmm15,%xmm0
628[ ]*[a-f0-9]+: 8f c8 00 9f 45 00 00[ ]+vpmacsdqh %xmm0,0x0\(%r13\),%xmm15,%xmm0
629[ ]*[a-f0-9]+: 8f 48 40 9f 5d 00 f0[ ]+vpmacsdqh %xmm15,0x0\(%r13\),%xmm7,%xmm11
630[ ]*[a-f0-9]+: 8f 48 40 9f 1c 24 f0[ ]+vpmacsdqh %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
631[ ]*[a-f0-9]+: 8f c8 78 9f c7 f0[ ]+vpmacsdqh %xmm15,%xmm15,%xmm0,%xmm0
632[ ]*[a-f0-9]+: 8f 48 00 9f 19 20[ ]+vpmacsdqh %xmm2,\(%r9\),%xmm15,%xmm11
633[ ]*[a-f0-9]+: 8f c8 40 97 c7 00[ ]+vpmacsdql %xmm0,%xmm15,%xmm7,%xmm0
634[ ]*[a-f0-9]+: 8f c8 78 97 01 20[ ]+vpmacsdql %xmm2,\(%r9\),%xmm0,%xmm0
635[ ]*[a-f0-9]+: 8f c8 00 97 01 f0[ ]+vpmacsdql %xmm15,\(%r9\),%xmm15,%xmm0
636[ ]*[a-f0-9]+: 8f 68 78 97 d8 f0[ ]+vpmacsdql %xmm15,%xmm0,%xmm0,%xmm11
637[ ]*[a-f0-9]+: 8f c8 78 97 c4 f0[ ]+vpmacsdql %xmm15,%xmm12,%xmm0,%xmm0
638[ ]*[a-f0-9]+: 8f 48 78 97 fc f0[ ]+vpmacsdql %xmm15,%xmm12,%xmm0,%xmm15
41effecb 639[ ]*[a-f0-9]+: 8f 48 78 97 3c 24 f0[ ]+vpmacsdql %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
640[ ]*[a-f0-9]+: 8f 68 78 97 f8 20[ ]+vpmacsdql %xmm2,%xmm0,%xmm0,%xmm15
641[ ]*[a-f0-9]+: 8f 48 00 97 39 20[ ]+vpmacsdql %xmm2,\(%r9\),%xmm15,%xmm15
642[ ]*[a-f0-9]+: 8f 48 00 97 fc 20[ ]+vpmacsdql %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
643[ ]*[a-f0-9]+: 8f c8 00 97 04 24 20[ ]+vpmacsdql %xmm2,\(%r12\),%xmm15,%xmm0
644[ ]*[a-f0-9]+: 8f c8 00 97 45 00 00[ ]+vpmacsdql %xmm0,0x0\(%r13\),%xmm15,%xmm0
645[ ]*[a-f0-9]+: 8f 48 40 97 5d 00 f0[ ]+vpmacsdql %xmm15,0x0\(%r13\),%xmm7,%xmm11
646[ ]*[a-f0-9]+: 8f 48 40 97 1c 24 f0[ ]+vpmacsdql %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
647[ ]*[a-f0-9]+: 8f c8 78 97 c7 f0[ ]+vpmacsdql %xmm15,%xmm15,%xmm0,%xmm0
648[ ]*[a-f0-9]+: 8f 48 00 97 19 20[ ]+vpmacsdql %xmm2,\(%r9\),%xmm15,%xmm11
649[ ]*[a-f0-9]+: 8f c8 40 8e c7 00[ ]+vpmacssdd %xmm0,%xmm15,%xmm7,%xmm0
650[ ]*[a-f0-9]+: 8f c8 78 8e 01 20[ ]+vpmacssdd %xmm2,\(%r9\),%xmm0,%xmm0
651[ ]*[a-f0-9]+: 8f c8 00 8e 01 f0[ ]+vpmacssdd %xmm15,\(%r9\),%xmm15,%xmm0
652[ ]*[a-f0-9]+: 8f 68 78 8e d8 f0[ ]+vpmacssdd %xmm15,%xmm0,%xmm0,%xmm11
653[ ]*[a-f0-9]+: 8f c8 78 8e c4 f0[ ]+vpmacssdd %xmm15,%xmm12,%xmm0,%xmm0
654[ ]*[a-f0-9]+: 8f 48 78 8e fc f0[ ]+vpmacssdd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 655[ ]*[a-f0-9]+: 8f 48 78 8e 3c 24 f0[ ]+vpmacssdd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
656[ ]*[a-f0-9]+: 8f 68 78 8e f8 20[ ]+vpmacssdd %xmm2,%xmm0,%xmm0,%xmm15
657[ ]*[a-f0-9]+: 8f 48 00 8e 39 20[ ]+vpmacssdd %xmm2,\(%r9\),%xmm15,%xmm15
658[ ]*[a-f0-9]+: 8f 48 00 8e fc 20[ ]+vpmacssdd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
659[ ]*[a-f0-9]+: 8f c8 00 8e 04 24 20[ ]+vpmacssdd %xmm2,\(%r12\),%xmm15,%xmm0
660[ ]*[a-f0-9]+: 8f c8 00 8e 45 00 00[ ]+vpmacssdd %xmm0,0x0\(%r13\),%xmm15,%xmm0
661[ ]*[a-f0-9]+: 8f 48 40 8e 5d 00 f0[ ]+vpmacssdd %xmm15,0x0\(%r13\),%xmm7,%xmm11
662[ ]*[a-f0-9]+: 8f 48 40 8e 1c 24 f0[ ]+vpmacssdd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
663[ ]*[a-f0-9]+: 8f c8 78 8e c7 f0[ ]+vpmacssdd %xmm15,%xmm15,%xmm0,%xmm0
664[ ]*[a-f0-9]+: 8f 48 00 8e 19 20[ ]+vpmacssdd %xmm2,\(%r9\),%xmm15,%xmm11
665[ ]*[a-f0-9]+: 8f c8 40 8f c7 00[ ]+vpmacssdqh %xmm0,%xmm15,%xmm7,%xmm0
666[ ]*[a-f0-9]+: 8f c8 78 8f 01 20[ ]+vpmacssdqh %xmm2,\(%r9\),%xmm0,%xmm0
667[ ]*[a-f0-9]+: 8f c8 00 8f 01 f0[ ]+vpmacssdqh %xmm15,\(%r9\),%xmm15,%xmm0
668[ ]*[a-f0-9]+: 8f 68 78 8f d8 f0[ ]+vpmacssdqh %xmm15,%xmm0,%xmm0,%xmm11
669[ ]*[a-f0-9]+: 8f c8 78 8f c4 f0[ ]+vpmacssdqh %xmm15,%xmm12,%xmm0,%xmm0
670[ ]*[a-f0-9]+: 8f 48 78 8f fc f0[ ]+vpmacssdqh %xmm15,%xmm12,%xmm0,%xmm15
41effecb 671[ ]*[a-f0-9]+: 8f 48 78 8f 3c 24 f0[ ]+vpmacssdqh %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
672[ ]*[a-f0-9]+: 8f 68 78 8f f8 20[ ]+vpmacssdqh %xmm2,%xmm0,%xmm0,%xmm15
673[ ]*[a-f0-9]+: 8f 48 00 8f 39 20[ ]+vpmacssdqh %xmm2,\(%r9\),%xmm15,%xmm15
674[ ]*[a-f0-9]+: 8f 48 00 8f fc 20[ ]+vpmacssdqh %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
675[ ]*[a-f0-9]+: 8f c8 00 8f 04 24 20[ ]+vpmacssdqh %xmm2,\(%r12\),%xmm15,%xmm0
676[ ]*[a-f0-9]+: 8f c8 00 8f 45 00 00[ ]+vpmacssdqh %xmm0,0x0\(%r13\),%xmm15,%xmm0
677[ ]*[a-f0-9]+: 8f 48 40 8f 5d 00 f0[ ]+vpmacssdqh %xmm15,0x0\(%r13\),%xmm7,%xmm11
678[ ]*[a-f0-9]+: 8f 48 40 8f 1c 24 f0[ ]+vpmacssdqh %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
679[ ]*[a-f0-9]+: 8f c8 78 8f c7 f0[ ]+vpmacssdqh %xmm15,%xmm15,%xmm0,%xmm0
680[ ]*[a-f0-9]+: 8f 48 00 8f 19 20[ ]+vpmacssdqh %xmm2,\(%r9\),%xmm15,%xmm11
681[ ]*[a-f0-9]+: 8f c8 40 87 c7 00[ ]+vpmacssdql %xmm0,%xmm15,%xmm7,%xmm0
682[ ]*[a-f0-9]+: 8f c8 78 87 01 20[ ]+vpmacssdql %xmm2,\(%r9\),%xmm0,%xmm0
683[ ]*[a-f0-9]+: 8f c8 00 87 01 f0[ ]+vpmacssdql %xmm15,\(%r9\),%xmm15,%xmm0
684[ ]*[a-f0-9]+: 8f 68 78 87 d8 f0[ ]+vpmacssdql %xmm15,%xmm0,%xmm0,%xmm11
685[ ]*[a-f0-9]+: 8f c8 78 87 c4 f0[ ]+vpmacssdql %xmm15,%xmm12,%xmm0,%xmm0
686[ ]*[a-f0-9]+: 8f 48 78 87 fc f0[ ]+vpmacssdql %xmm15,%xmm12,%xmm0,%xmm15
41effecb 687[ ]*[a-f0-9]+: 8f 48 78 87 3c 24 f0[ ]+vpmacssdql %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
688[ ]*[a-f0-9]+: 8f 68 78 87 f8 20[ ]+vpmacssdql %xmm2,%xmm0,%xmm0,%xmm15
689[ ]*[a-f0-9]+: 8f 48 00 87 39 20[ ]+vpmacssdql %xmm2,\(%r9\),%xmm15,%xmm15
690[ ]*[a-f0-9]+: 8f 48 00 87 fc 20[ ]+vpmacssdql %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
691[ ]*[a-f0-9]+: 8f c8 00 87 04 24 20[ ]+vpmacssdql %xmm2,\(%r12\),%xmm15,%xmm0
692[ ]*[a-f0-9]+: 8f c8 00 87 45 00 00[ ]+vpmacssdql %xmm0,0x0\(%r13\),%xmm15,%xmm0
693[ ]*[a-f0-9]+: 8f 48 40 87 5d 00 f0[ ]+vpmacssdql %xmm15,0x0\(%r13\),%xmm7,%xmm11
694[ ]*[a-f0-9]+: 8f 48 40 87 1c 24 f0[ ]+vpmacssdql %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
695[ ]*[a-f0-9]+: 8f c8 78 87 c7 f0[ ]+vpmacssdql %xmm15,%xmm15,%xmm0,%xmm0
696[ ]*[a-f0-9]+: 8f 48 00 87 19 20[ ]+vpmacssdql %xmm2,\(%r9\),%xmm15,%xmm11
697[ ]*[a-f0-9]+: 8f c8 40 86 c7 00[ ]+vpmacsswd %xmm0,%xmm15,%xmm7,%xmm0
698[ ]*[a-f0-9]+: 8f c8 78 86 01 20[ ]+vpmacsswd %xmm2,\(%r9\),%xmm0,%xmm0
699[ ]*[a-f0-9]+: 8f c8 00 86 01 f0[ ]+vpmacsswd %xmm15,\(%r9\),%xmm15,%xmm0
700[ ]*[a-f0-9]+: 8f 68 78 86 d8 f0[ ]+vpmacsswd %xmm15,%xmm0,%xmm0,%xmm11
701[ ]*[a-f0-9]+: 8f c8 78 86 c4 f0[ ]+vpmacsswd %xmm15,%xmm12,%xmm0,%xmm0
702[ ]*[a-f0-9]+: 8f 48 78 86 fc f0[ ]+vpmacsswd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 703[ ]*[a-f0-9]+: 8f 48 78 86 3c 24 f0[ ]+vpmacsswd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
704[ ]*[a-f0-9]+: 8f 68 78 86 f8 20[ ]+vpmacsswd %xmm2,%xmm0,%xmm0,%xmm15
705[ ]*[a-f0-9]+: 8f 48 00 86 39 20[ ]+vpmacsswd %xmm2,\(%r9\),%xmm15,%xmm15
706[ ]*[a-f0-9]+: 8f 48 00 86 fc 20[ ]+vpmacsswd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
707[ ]*[a-f0-9]+: 8f c8 00 86 04 24 20[ ]+vpmacsswd %xmm2,\(%r12\),%xmm15,%xmm0
708[ ]*[a-f0-9]+: 8f c8 00 86 45 00 00[ ]+vpmacsswd %xmm0,0x0\(%r13\),%xmm15,%xmm0
709[ ]*[a-f0-9]+: 8f 48 40 86 5d 00 f0[ ]+vpmacsswd %xmm15,0x0\(%r13\),%xmm7,%xmm11
710[ ]*[a-f0-9]+: 8f 48 40 86 1c 24 f0[ ]+vpmacsswd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
711[ ]*[a-f0-9]+: 8f c8 78 86 c7 f0[ ]+vpmacsswd %xmm15,%xmm15,%xmm0,%xmm0
712[ ]*[a-f0-9]+: 8f 48 00 86 19 20[ ]+vpmacsswd %xmm2,\(%r9\),%xmm15,%xmm11
713[ ]*[a-f0-9]+: 8f c8 40 85 c7 00[ ]+vpmacssww %xmm0,%xmm15,%xmm7,%xmm0
714[ ]*[a-f0-9]+: 8f c8 78 85 01 20[ ]+vpmacssww %xmm2,\(%r9\),%xmm0,%xmm0
715[ ]*[a-f0-9]+: 8f c8 00 85 01 f0[ ]+vpmacssww %xmm15,\(%r9\),%xmm15,%xmm0
716[ ]*[a-f0-9]+: 8f 68 78 85 d8 f0[ ]+vpmacssww %xmm15,%xmm0,%xmm0,%xmm11
717[ ]*[a-f0-9]+: 8f c8 78 85 c4 f0[ ]+vpmacssww %xmm15,%xmm12,%xmm0,%xmm0
718[ ]*[a-f0-9]+: 8f 48 78 85 fc f0[ ]+vpmacssww %xmm15,%xmm12,%xmm0,%xmm15
41effecb 719[ ]*[a-f0-9]+: 8f 48 78 85 3c 24 f0[ ]+vpmacssww %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
720[ ]*[a-f0-9]+: 8f 68 78 85 f8 20[ ]+vpmacssww %xmm2,%xmm0,%xmm0,%xmm15
721[ ]*[a-f0-9]+: 8f 48 00 85 39 20[ ]+vpmacssww %xmm2,\(%r9\),%xmm15,%xmm15
722[ ]*[a-f0-9]+: 8f 48 00 85 fc 20[ ]+vpmacssww %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
723[ ]*[a-f0-9]+: 8f c8 00 85 04 24 20[ ]+vpmacssww %xmm2,\(%r12\),%xmm15,%xmm0
724[ ]*[a-f0-9]+: 8f c8 00 85 45 00 00[ ]+vpmacssww %xmm0,0x0\(%r13\),%xmm15,%xmm0
725[ ]*[a-f0-9]+: 8f 48 40 85 5d 00 f0[ ]+vpmacssww %xmm15,0x0\(%r13\),%xmm7,%xmm11
726[ ]*[a-f0-9]+: 8f 48 40 85 1c 24 f0[ ]+vpmacssww %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
727[ ]*[a-f0-9]+: 8f c8 78 85 c7 f0[ ]+vpmacssww %xmm15,%xmm15,%xmm0,%xmm0
728[ ]*[a-f0-9]+: 8f 48 00 85 19 20[ ]+vpmacssww %xmm2,\(%r9\),%xmm15,%xmm11
729[ ]*[a-f0-9]+: 8f c8 40 96 c7 00[ ]+vpmacswd %xmm0,%xmm15,%xmm7,%xmm0
730[ ]*[a-f0-9]+: 8f c8 78 96 01 20[ ]+vpmacswd %xmm2,\(%r9\),%xmm0,%xmm0
731[ ]*[a-f0-9]+: 8f c8 00 96 01 f0[ ]+vpmacswd %xmm15,\(%r9\),%xmm15,%xmm0
732[ ]*[a-f0-9]+: 8f 68 78 96 d8 f0[ ]+vpmacswd %xmm15,%xmm0,%xmm0,%xmm11
733[ ]*[a-f0-9]+: 8f c8 78 96 c4 f0[ ]+vpmacswd %xmm15,%xmm12,%xmm0,%xmm0
734[ ]*[a-f0-9]+: 8f 48 78 96 fc f0[ ]+vpmacswd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 735[ ]*[a-f0-9]+: 8f 48 78 96 3c 24 f0[ ]+vpmacswd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
736[ ]*[a-f0-9]+: 8f 68 78 96 f8 20[ ]+vpmacswd %xmm2,%xmm0,%xmm0,%xmm15
737[ ]*[a-f0-9]+: 8f 48 00 96 39 20[ ]+vpmacswd %xmm2,\(%r9\),%xmm15,%xmm15
738[ ]*[a-f0-9]+: 8f 48 00 96 fc 20[ ]+vpmacswd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
739[ ]*[a-f0-9]+: 8f c8 00 96 04 24 20[ ]+vpmacswd %xmm2,\(%r12\),%xmm15,%xmm0
740[ ]*[a-f0-9]+: 8f c8 00 96 45 00 00[ ]+vpmacswd %xmm0,0x0\(%r13\),%xmm15,%xmm0
741[ ]*[a-f0-9]+: 8f 48 40 96 5d 00 f0[ ]+vpmacswd %xmm15,0x0\(%r13\),%xmm7,%xmm11
742[ ]*[a-f0-9]+: 8f 48 40 96 1c 24 f0[ ]+vpmacswd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
743[ ]*[a-f0-9]+: 8f c8 78 96 c7 f0[ ]+vpmacswd %xmm15,%xmm15,%xmm0,%xmm0
744[ ]*[a-f0-9]+: 8f 48 00 96 19 20[ ]+vpmacswd %xmm2,\(%r9\),%xmm15,%xmm11
745[ ]*[a-f0-9]+: 8f c8 40 95 c7 00[ ]+vpmacsww %xmm0,%xmm15,%xmm7,%xmm0
746[ ]*[a-f0-9]+: 8f c8 78 95 01 20[ ]+vpmacsww %xmm2,\(%r9\),%xmm0,%xmm0
747[ ]*[a-f0-9]+: 8f c8 00 95 01 f0[ ]+vpmacsww %xmm15,\(%r9\),%xmm15,%xmm0
748[ ]*[a-f0-9]+: 8f 68 78 95 d8 f0[ ]+vpmacsww %xmm15,%xmm0,%xmm0,%xmm11
749[ ]*[a-f0-9]+: 8f c8 78 95 c4 f0[ ]+vpmacsww %xmm15,%xmm12,%xmm0,%xmm0
750[ ]*[a-f0-9]+: 8f 48 78 95 fc f0[ ]+vpmacsww %xmm15,%xmm12,%xmm0,%xmm15
41effecb 751[ ]*[a-f0-9]+: 8f 48 78 95 3c 24 f0[ ]+vpmacsww %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
752[ ]*[a-f0-9]+: 8f 68 78 95 f8 20[ ]+vpmacsww %xmm2,%xmm0,%xmm0,%xmm15
753[ ]*[a-f0-9]+: 8f 48 00 95 39 20[ ]+vpmacsww %xmm2,\(%r9\),%xmm15,%xmm15
754[ ]*[a-f0-9]+: 8f 48 00 95 fc 20[ ]+vpmacsww %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
755[ ]*[a-f0-9]+: 8f c8 00 95 04 24 20[ ]+vpmacsww %xmm2,\(%r12\),%xmm15,%xmm0
756[ ]*[a-f0-9]+: 8f c8 00 95 45 00 00[ ]+vpmacsww %xmm0,0x0\(%r13\),%xmm15,%xmm0
757[ ]*[a-f0-9]+: 8f 48 40 95 5d 00 f0[ ]+vpmacsww %xmm15,0x0\(%r13\),%xmm7,%xmm11
758[ ]*[a-f0-9]+: 8f 48 40 95 1c 24 f0[ ]+vpmacsww %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
759[ ]*[a-f0-9]+: 8f c8 78 95 c7 f0[ ]+vpmacsww %xmm15,%xmm15,%xmm0,%xmm0
760[ ]*[a-f0-9]+: 8f 48 00 95 19 20[ ]+vpmacsww %xmm2,\(%r9\),%xmm15,%xmm11
761[ ]*[a-f0-9]+: 8f c8 40 a6 c7 00[ ]+vpmadcsswd %xmm0,%xmm15,%xmm7,%xmm0
762[ ]*[a-f0-9]+: 8f c8 78 a6 01 20[ ]+vpmadcsswd %xmm2,\(%r9\),%xmm0,%xmm0
763[ ]*[a-f0-9]+: 8f c8 00 a6 01 f0[ ]+vpmadcsswd %xmm15,\(%r9\),%xmm15,%xmm0
764[ ]*[a-f0-9]+: 8f 68 78 a6 d8 f0[ ]+vpmadcsswd %xmm15,%xmm0,%xmm0,%xmm11
765[ ]*[a-f0-9]+: 8f c8 78 a6 c4 f0[ ]+vpmadcsswd %xmm15,%xmm12,%xmm0,%xmm0
766[ ]*[a-f0-9]+: 8f 48 78 a6 fc f0[ ]+vpmadcsswd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 767[ ]*[a-f0-9]+: 8f 48 78 a6 3c 24 f0[ ]+vpmadcsswd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
768[ ]*[a-f0-9]+: 8f 68 78 a6 f8 20[ ]+vpmadcsswd %xmm2,%xmm0,%xmm0,%xmm15
769[ ]*[a-f0-9]+: 8f 48 00 a6 39 20[ ]+vpmadcsswd %xmm2,\(%r9\),%xmm15,%xmm15
770[ ]*[a-f0-9]+: 8f 48 00 a6 fc 20[ ]+vpmadcsswd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
771[ ]*[a-f0-9]+: 8f c8 00 a6 04 24 20[ ]+vpmadcsswd %xmm2,\(%r12\),%xmm15,%xmm0
772[ ]*[a-f0-9]+: 8f c8 00 a6 45 00 00[ ]+vpmadcsswd %xmm0,0x0\(%r13\),%xmm15,%xmm0
773[ ]*[a-f0-9]+: 8f 48 40 a6 5d 00 f0[ ]+vpmadcsswd %xmm15,0x0\(%r13\),%xmm7,%xmm11
774[ ]*[a-f0-9]+: 8f 48 40 a6 1c 24 f0[ ]+vpmadcsswd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
775[ ]*[a-f0-9]+: 8f c8 78 a6 c7 f0[ ]+vpmadcsswd %xmm15,%xmm15,%xmm0,%xmm0
776[ ]*[a-f0-9]+: 8f 48 00 a6 19 20[ ]+vpmadcsswd %xmm2,\(%r9\),%xmm15,%xmm11
777[ ]*[a-f0-9]+: 8f c8 40 b6 c7 00[ ]+vpmadcswd %xmm0,%xmm15,%xmm7,%xmm0
778[ ]*[a-f0-9]+: 8f c8 78 b6 01 20[ ]+vpmadcswd %xmm2,\(%r9\),%xmm0,%xmm0
779[ ]*[a-f0-9]+: 8f c8 00 b6 01 f0[ ]+vpmadcswd %xmm15,\(%r9\),%xmm15,%xmm0
780[ ]*[a-f0-9]+: 8f 68 78 b6 d8 f0[ ]+vpmadcswd %xmm15,%xmm0,%xmm0,%xmm11
781[ ]*[a-f0-9]+: 8f c8 78 b6 c4 f0[ ]+vpmadcswd %xmm15,%xmm12,%xmm0,%xmm0
782[ ]*[a-f0-9]+: 8f 48 78 b6 fc f0[ ]+vpmadcswd %xmm15,%xmm12,%xmm0,%xmm15
41effecb 783[ ]*[a-f0-9]+: 8f 48 78 b6 3c 24 f0[ ]+vpmadcswd %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
784[ ]*[a-f0-9]+: 8f 68 78 b6 f8 20[ ]+vpmadcswd %xmm2,%xmm0,%xmm0,%xmm15
785[ ]*[a-f0-9]+: 8f 48 00 b6 39 20[ ]+vpmadcswd %xmm2,\(%r9\),%xmm15,%xmm15
786[ ]*[a-f0-9]+: 8f 48 00 b6 fc 20[ ]+vpmadcswd %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
787[ ]*[a-f0-9]+: 8f c8 00 b6 04 24 20[ ]+vpmadcswd %xmm2,\(%r12\),%xmm15,%xmm0
788[ ]*[a-f0-9]+: 8f c8 00 b6 45 00 00[ ]+vpmadcswd %xmm0,0x0\(%r13\),%xmm15,%xmm0
789[ ]*[a-f0-9]+: 8f 48 40 b6 5d 00 f0[ ]+vpmadcswd %xmm15,0x0\(%r13\),%xmm7,%xmm11
790[ ]*[a-f0-9]+: 8f 48 40 b6 1c 24 f0[ ]+vpmadcswd %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
791[ ]*[a-f0-9]+: 8f c8 78 b6 c7 f0[ ]+vpmadcswd %xmm15,%xmm15,%xmm0,%xmm0
792[ ]*[a-f0-9]+: 8f 48 00 b6 19 20[ ]+vpmadcswd %xmm2,\(%r9\),%xmm15,%xmm11
793[ ]*[a-f0-9]+: 8f c8 40 a3 c4 00[ ]+vpperm %xmm0,%xmm12,%xmm7,%xmm0
794[ ]*[a-f0-9]+: 8f e8 f8 a3 00 f0[ ]+vpperm \(%rax\),%xmm15,%xmm0,%xmm0
795[ ]*[a-f0-9]+: 8f c8 80 a3 02 f0[ ]+vpperm \(%r10\),%xmm15,%xmm15,%xmm0
796[ ]*[a-f0-9]+: 8f 68 78 a3 d8 20[ ]+vpperm %xmm2,%xmm0,%xmm0,%xmm11
797[ ]*[a-f0-9]+: 8f e8 78 a3 c0 20[ ]+vpperm %xmm2,%xmm0,%xmm0,%xmm0
798[ ]*[a-f0-9]+: 8f 68 78 a3 f8 20[ ]+vpperm %xmm2,%xmm0,%xmm0,%xmm15
799[ ]*[a-f0-9]+: 8f 48 f8 a3 3a c0[ ]+vpperm \(%r10\),%xmm12,%xmm0,%xmm15
800[ ]*[a-f0-9]+: 8f 68 f8 a3 38 00[ ]+vpperm \(%rax\),%xmm0,%xmm0,%xmm15
ccc5981b
SP
801[ ]*[a-f0-9]+: 8f 48 80 a3 3c 24 f0[ ]+vpperm \(%r12\),%xmm15,%xmm15,%xmm15
802[ ]*[a-f0-9]+: 8f 48 80 a3 3c 24 00[ ]+vpperm \(%r12\),%xmm0,%xmm15,%xmm15
5dd85c99
SP
803[ ]*[a-f0-9]+: 8f e8 80 a3 00 c0[ ]+vpperm \(%rax\),%xmm12,%xmm15,%xmm0
804[ ]*[a-f0-9]+: 8f c8 00 a3 c7 f0[ ]+vpperm %xmm15,%xmm15,%xmm15,%xmm0
805[ ]*[a-f0-9]+: 8f 48 c0 a3 1a f0[ ]+vpperm \(%r10\),%xmm15,%xmm7,%xmm11
806[ ]*[a-f0-9]+: 8f 48 40 a3 dc 20[ ]+vpperm %xmm2,%xmm12,%xmm7,%xmm11
807[ ]*[a-f0-9]+: 8f c8 78 a3 c4 20[ ]+vpperm %xmm2,%xmm12,%xmm0,%xmm0
ccc5981b 808[ ]*[a-f0-9]+: 8f 48 80 a3 1c 24 f0[ ]+vpperm \(%r12\),%xmm15,%xmm15,%xmm11
5dd85c99
SP
809[ ]*[a-f0-9]+: 8f c8 40 a3 c7 00[ ]+vpperm %xmm0,%xmm15,%xmm7,%xmm0
810[ ]*[a-f0-9]+: 8f c8 78 a3 01 20[ ]+vpperm %xmm2,\(%r9\),%xmm0,%xmm0
811[ ]*[a-f0-9]+: 8f c8 00 a3 01 f0[ ]+vpperm %xmm15,\(%r9\),%xmm15,%xmm0
812[ ]*[a-f0-9]+: 8f 68 78 a3 d8 f0[ ]+vpperm %xmm15,%xmm0,%xmm0,%xmm11
813[ ]*[a-f0-9]+: 8f c8 78 a3 c4 f0[ ]+vpperm %xmm15,%xmm12,%xmm0,%xmm0
814[ ]*[a-f0-9]+: 8f 48 78 a3 fc f0[ ]+vpperm %xmm15,%xmm12,%xmm0,%xmm15
41effecb 815[ ]*[a-f0-9]+: 8f 48 78 a3 3c 24 f0[ ]+vpperm %xmm15,\(%r12\),%xmm0,%xmm15
5dd85c99
SP
816[ ]*[a-f0-9]+: 8f 68 78 a3 f8 20[ ]+vpperm %xmm2,%xmm0,%xmm0,%xmm15
817[ ]*[a-f0-9]+: 8f 48 00 a3 39 20[ ]+vpperm %xmm2,\(%r9\),%xmm15,%xmm15
818[ ]*[a-f0-9]+: 8f 48 00 a3 fc 20[ ]+vpperm %xmm2,%xmm12,%xmm15,%xmm15
41effecb
SP
819[ ]*[a-f0-9]+: 8f c8 00 a3 04 24 20[ ]+vpperm %xmm2,\(%r12\),%xmm15,%xmm0
820[ ]*[a-f0-9]+: 8f c8 00 a3 45 00 00[ ]+vpperm %xmm0,0x0\(%r13\),%xmm15,%xmm0
821[ ]*[a-f0-9]+: 8f 48 40 a3 5d 00 f0[ ]+vpperm %xmm15,0x0\(%r13\),%xmm7,%xmm11
822[ ]*[a-f0-9]+: 8f 48 40 a3 1c 24 f0[ ]+vpperm %xmm15,\(%r12\),%xmm7,%xmm11
5dd85c99
SP
823[ ]*[a-f0-9]+: 8f c8 78 a3 c7 f0[ ]+vpperm %xmm15,%xmm15,%xmm0,%xmm0
824[ ]*[a-f0-9]+: 8f 48 00 a3 19 20[ ]+vpperm %xmm2,\(%r9\),%xmm15,%xmm11
825[ ]*[a-f0-9]+: 8f 69 68 90 f8[ ]+vprotb %xmm2,%xmm0,%xmm15
826[ ]*[a-f0-9]+: 8f c9 68 90 fc[ ]+vprotb %xmm2,%xmm12,%xmm7
827[ ]*[a-f0-9]+: 8f e9 68 90 c0[ ]+vprotb %xmm2,%xmm0,%xmm0
828[ ]*[a-f0-9]+: 8f 49 00 90 39[ ]+vprotb %xmm15,\(%r9\),%xmm15
829[ ]*[a-f0-9]+: 8f c9 78 90 c7[ ]+vprotb %xmm0,%xmm15,%xmm0
830[ ]*[a-f0-9]+: 8f 49 78 90 ff[ ]+vprotb %xmm0,%xmm15,%xmm15
831[ ]*[a-f0-9]+: 8f c9 78 90 c4[ ]+vprotb %xmm0,%xmm12,%xmm0
832[ ]*[a-f0-9]+: 8f c9 00 90 c4[ ]+vprotb %xmm15,%xmm12,%xmm0
833[ ]*[a-f0-9]+: 8f 49 68 90 3c 24[ ]+vprotb %xmm2,\(%r12\),%xmm15
834[ ]*[a-f0-9]+: 8f c9 78 90 39[ ]+vprotb %xmm0,\(%r9\),%xmm7
835[ ]*[a-f0-9]+: 8f c9 78 90 fc[ ]+vprotb %xmm0,%xmm12,%xmm7
836[ ]*[a-f0-9]+: 8f 49 68 90 39[ ]+vprotb %xmm2,\(%r9\),%xmm15
837[ ]*[a-f0-9]+: 8f c9 68 90 45 00[ ]+vprotb %xmm2,0x0\(%r13\),%xmm0
838[ ]*[a-f0-9]+: 8f c9 00 90 39[ ]+vprotb %xmm15,\(%r9\),%xmm7
839[ ]*[a-f0-9]+: 8f c9 00 90 04 24[ ]+vprotb %xmm15,\(%r12\),%xmm0
840[ ]*[a-f0-9]+: 8f 49 78 90 7d 00[ ]+vprotb %xmm0,0x0\(%r13\),%xmm15
841[ ]*[a-f0-9]+: 8f 49 f8 90 3c 24[ ]+vprotb \(%r12\),%xmm0,%xmm15
842[ ]*[a-f0-9]+: 8f c9 f8 90 3c 24[ ]+vprotb \(%r12\),%xmm0,%xmm7
843[ ]*[a-f0-9]+: 8f e9 f8 90 00[ ]+vprotb \(%rax\),%xmm0,%xmm0
844[ ]*[a-f0-9]+: 8f 49 80 90 3a[ ]+vprotb \(%r10\),%xmm15,%xmm15
845[ ]*[a-f0-9]+: 8f c9 00 90 c4[ ]+vprotb %xmm15,%xmm12,%xmm0
846[ ]*[a-f0-9]+: 8f 49 00 90 fc[ ]+vprotb %xmm15,%xmm12,%xmm15
847[ ]*[a-f0-9]+: 8f e9 00 90 c0[ ]+vprotb %xmm15,%xmm0,%xmm0
848[ ]*[a-f0-9]+: 8f e9 68 90 c0[ ]+vprotb %xmm2,%xmm0,%xmm0
849[ ]*[a-f0-9]+: 8f 69 98 90 38[ ]+vprotb \(%rax\),%xmm12,%xmm15
850[ ]*[a-f0-9]+: 8f c9 00 90 ff[ ]+vprotb %xmm15,%xmm15,%xmm7
851[ ]*[a-f0-9]+: 8f e9 78 90 f8[ ]+vprotb %xmm0,%xmm0,%xmm7
852[ ]*[a-f0-9]+: 8f 49 80 90 3c 24[ ]+vprotb \(%r12\),%xmm15,%xmm15
853[ ]*[a-f0-9]+: 8f c9 80 90 04 24[ ]+vprotb \(%r12\),%xmm15,%xmm0
854[ ]*[a-f0-9]+: 8f c9 80 90 3a[ ]+vprotb \(%r10\),%xmm15,%xmm7
855[ ]*[a-f0-9]+: 8f c9 98 90 02[ ]+vprotb \(%r10\),%xmm12,%xmm0
856[ ]*[a-f0-9]+: 8f 49 00 90 ff[ ]+vprotb %xmm15,%xmm15,%xmm15
857[ ]*[a-f0-9]+: 8f 48 78 c0 fb 03[ ]+vprotb \$0x3,%xmm11,%xmm15
858[ ]*[a-f0-9]+: 8f e8 78 c0 c0 ff[ ]+vprotb \$0xff,%xmm0,%xmm0
859[ ]*[a-f0-9]+: 8f c8 78 c0 e3 ff[ ]+vprotb \$0xff,%xmm11,%xmm4
860[ ]*[a-f0-9]+: 8f c8 78 c0 e3 00[ ]+vprotb \$0x0,%xmm11,%xmm4
861[ ]*[a-f0-9]+: 8f c8 78 c0 e7 00[ ]+vprotb \$0x0,%xmm15,%xmm4
862[ ]*[a-f0-9]+: 8f 68 78 c0 f8 00[ ]+vprotb \$0x0,%xmm0,%xmm15
863[ ]*[a-f0-9]+: 8f c8 78 c0 c3 ff[ ]+vprotb \$0xff,%xmm11,%xmm0
864[ ]*[a-f0-9]+: 8f e8 78 c0 c0 03[ ]+vprotb \$0x3,%xmm0,%xmm0
865[ ]*[a-f0-9]+: 8f c8 78 c0 c3 03[ ]+vprotb \$0x3,%xmm11,%xmm0
866[ ]*[a-f0-9]+: 8f e8 78 c0 e0 00[ ]+vprotb \$0x0,%xmm0,%xmm4
867[ ]*[a-f0-9]+: 8f c8 78 c0 c7 ff[ ]+vprotb \$0xff,%xmm15,%xmm0
868[ ]*[a-f0-9]+: 8f 68 78 c0 f8 ff[ ]+vprotb \$0xff,%xmm0,%xmm15
869[ ]*[a-f0-9]+: 8f 48 78 c0 ff ff[ ]+vprotb \$0xff,%xmm15,%xmm15
870[ ]*[a-f0-9]+: 8f c8 78 c0 e7 03[ ]+vprotb \$0x3,%xmm15,%xmm4
871[ ]*[a-f0-9]+: 8f 48 78 c0 fb ff[ ]+vprotb \$0xff,%xmm11,%xmm15
872[ ]*[a-f0-9]+: 8f 68 78 c0 f8 03[ ]+vprotb \$0x3,%xmm0,%xmm15
873[ ]*[a-f0-9]+: 8f 69 68 92 f8[ ]+vprotd %xmm2,%xmm0,%xmm15
874[ ]*[a-f0-9]+: 8f c9 68 92 fc[ ]+vprotd %xmm2,%xmm12,%xmm7
875[ ]*[a-f0-9]+: 8f e9 68 92 c0[ ]+vprotd %xmm2,%xmm0,%xmm0
876[ ]*[a-f0-9]+: 8f 49 00 92 39[ ]+vprotd %xmm15,\(%r9\),%xmm15
877[ ]*[a-f0-9]+: 8f c9 78 92 c7[ ]+vprotd %xmm0,%xmm15,%xmm0
878[ ]*[a-f0-9]+: 8f 49 78 92 ff[ ]+vprotd %xmm0,%xmm15,%xmm15
879[ ]*[a-f0-9]+: 8f c9 78 92 c4[ ]+vprotd %xmm0,%xmm12,%xmm0
880[ ]*[a-f0-9]+: 8f c9 00 92 c4[ ]+vprotd %xmm15,%xmm12,%xmm0
881[ ]*[a-f0-9]+: 8f 49 68 92 3c 24[ ]+vprotd %xmm2,\(%r12\),%xmm15
882[ ]*[a-f0-9]+: 8f c9 78 92 39[ ]+vprotd %xmm0,\(%r9\),%xmm7
883[ ]*[a-f0-9]+: 8f c9 78 92 fc[ ]+vprotd %xmm0,%xmm12,%xmm7
884[ ]*[a-f0-9]+: 8f 49 68 92 39[ ]+vprotd %xmm2,\(%r9\),%xmm15
885[ ]*[a-f0-9]+: 8f c9 68 92 45 00[ ]+vprotd %xmm2,0x0\(%r13\),%xmm0
886[ ]*[a-f0-9]+: 8f c9 00 92 39[ ]+vprotd %xmm15,\(%r9\),%xmm7
887[ ]*[a-f0-9]+: 8f c9 00 92 04 24[ ]+vprotd %xmm15,\(%r12\),%xmm0
888[ ]*[a-f0-9]+: 8f 49 78 92 7d 00[ ]+vprotd %xmm0,0x0\(%r13\),%xmm15
889[ ]*[a-f0-9]+: 8f 49 f8 92 3c 24[ ]+vprotd \(%r12\),%xmm0,%xmm15
890[ ]*[a-f0-9]+: 8f c9 f8 92 3c 24[ ]+vprotd \(%r12\),%xmm0,%xmm7
891[ ]*[a-f0-9]+: 8f e9 f8 92 00[ ]+vprotd \(%rax\),%xmm0,%xmm0
892[ ]*[a-f0-9]+: 8f 49 80 92 3a[ ]+vprotd \(%r10\),%xmm15,%xmm15
893[ ]*[a-f0-9]+: 8f c9 00 92 c4[ ]+vprotd %xmm15,%xmm12,%xmm0
894[ ]*[a-f0-9]+: 8f 49 00 92 fc[ ]+vprotd %xmm15,%xmm12,%xmm15
895[ ]*[a-f0-9]+: 8f e9 00 92 c0[ ]+vprotd %xmm15,%xmm0,%xmm0
896[ ]*[a-f0-9]+: 8f e9 68 92 c0[ ]+vprotd %xmm2,%xmm0,%xmm0
897[ ]*[a-f0-9]+: 8f 69 98 92 38[ ]+vprotd \(%rax\),%xmm12,%xmm15
898[ ]*[a-f0-9]+: 8f c9 00 92 ff[ ]+vprotd %xmm15,%xmm15,%xmm7
899[ ]*[a-f0-9]+: 8f e9 78 92 f8[ ]+vprotd %xmm0,%xmm0,%xmm7
900[ ]*[a-f0-9]+: 8f 49 80 92 3c 24[ ]+vprotd \(%r12\),%xmm15,%xmm15
901[ ]*[a-f0-9]+: 8f c9 80 92 04 24[ ]+vprotd \(%r12\),%xmm15,%xmm0
902[ ]*[a-f0-9]+: 8f c9 80 92 3a[ ]+vprotd \(%r10\),%xmm15,%xmm7
903[ ]*[a-f0-9]+: 8f c9 98 92 02[ ]+vprotd \(%r10\),%xmm12,%xmm0
904[ ]*[a-f0-9]+: 8f 49 00 92 ff[ ]+vprotd %xmm15,%xmm15,%xmm15
905[ ]*[a-f0-9]+: 8f 48 78 c2 ff 00[ ]+vprotd \$0x0,%xmm15,%xmm15
906[ ]*[a-f0-9]+: 8f 68 78 c2 3e 00[ ]+vprotd \$0x0,\(%rsi\),%xmm15
907[ ]*[a-f0-9]+: 8f 68 78 c2 d8 00[ ]+vprotd \$0x0,%xmm0,%xmm11
908[ ]*[a-f0-9]+: 8f c8 78 c2 c7 ff[ ]+vprotd \$0xff,%xmm15,%xmm0
909[ ]*[a-f0-9]+: 8f e8 78 c2 c0 03[ ]+vprotd \$0x3,%xmm0,%xmm0
910[ ]*[a-f0-9]+: 8f c8 78 c2 c7 03[ ]+vprotd \$0x3,%xmm15,%xmm0
911[ ]*[a-f0-9]+: 8f 48 78 c2 db 00[ ]+vprotd \$0x0,%xmm11,%xmm11
912[ ]*[a-f0-9]+: 8f 68 78 c2 f8 00[ ]+vprotd \$0x0,%xmm0,%xmm15
913[ ]*[a-f0-9]+: 8f e8 78 c2 01 03[ ]+vprotd \$0x3,\(%rcx\),%xmm0
914[ ]*[a-f0-9]+: 8f e8 78 c2 06 ff[ ]+vprotd \$0xff,\(%rsi\),%xmm0
915[ ]*[a-f0-9]+: 8f 68 78 c2 3f 00[ ]+vprotd \$0x0,\(%rdi\),%xmm15
916[ ]*[a-f0-9]+: 8f 48 78 c2 ff ff[ ]+vprotd \$0xff,%xmm15,%xmm15
917[ ]*[a-f0-9]+: 8f 48 78 c2 db ff[ ]+vprotd \$0xff,%xmm11,%xmm11
918[ ]*[a-f0-9]+: 8f 68 78 c2 1e ff[ ]+vprotd \$0xff,\(%rsi\),%xmm11
919[ ]*[a-f0-9]+: 8f 68 78 c2 3f 03[ ]+vprotd \$0x3,\(%rdi\),%xmm15
920[ ]*[a-f0-9]+: 8f 48 78 c2 df 03[ ]+vprotd \$0x3,%xmm15,%xmm11
921[ ]*[a-f0-9]+: 8f 69 68 93 f8[ ]+vprotq %xmm2,%xmm0,%xmm15
922[ ]*[a-f0-9]+: 8f c9 68 93 fc[ ]+vprotq %xmm2,%xmm12,%xmm7
923[ ]*[a-f0-9]+: 8f e9 68 93 c0[ ]+vprotq %xmm2,%xmm0,%xmm0
924[ ]*[a-f0-9]+: 8f 49 00 93 39[ ]+vprotq %xmm15,\(%r9\),%xmm15
925[ ]*[a-f0-9]+: 8f c9 78 93 c7[ ]+vprotq %xmm0,%xmm15,%xmm0
926[ ]*[a-f0-9]+: 8f 49 78 93 ff[ ]+vprotq %xmm0,%xmm15,%xmm15
927[ ]*[a-f0-9]+: 8f c9 78 93 c4[ ]+vprotq %xmm0,%xmm12,%xmm0
928[ ]*[a-f0-9]+: 8f c9 00 93 c4[ ]+vprotq %xmm15,%xmm12,%xmm0
929[ ]*[a-f0-9]+: 8f 49 68 93 3c 24[ ]+vprotq %xmm2,\(%r12\),%xmm15
930[ ]*[a-f0-9]+: 8f c9 78 93 39[ ]+vprotq %xmm0,\(%r9\),%xmm7
931[ ]*[a-f0-9]+: 8f c9 78 93 fc[ ]+vprotq %xmm0,%xmm12,%xmm7
932[ ]*[a-f0-9]+: 8f 49 68 93 39[ ]+vprotq %xmm2,\(%r9\),%xmm15
933[ ]*[a-f0-9]+: 8f c9 68 93 45 00[ ]+vprotq %xmm2,0x0\(%r13\),%xmm0
934[ ]*[a-f0-9]+: 8f c9 00 93 39[ ]+vprotq %xmm15,\(%r9\),%xmm7
935[ ]*[a-f0-9]+: 8f c9 00 93 04 24[ ]+vprotq %xmm15,\(%r12\),%xmm0
936[ ]*[a-f0-9]+: 8f 49 78 93 7d 00[ ]+vprotq %xmm0,0x0\(%r13\),%xmm15
937[ ]*[a-f0-9]+: 8f 49 f8 93 3c 24[ ]+vprotq \(%r12\),%xmm0,%xmm15
938[ ]*[a-f0-9]+: 8f c9 f8 93 3c 24[ ]+vprotq \(%r12\),%xmm0,%xmm7
939[ ]*[a-f0-9]+: 8f e9 f8 93 00[ ]+vprotq \(%rax\),%xmm0,%xmm0
940[ ]*[a-f0-9]+: 8f 49 80 93 3a[ ]+vprotq \(%r10\),%xmm15,%xmm15
941[ ]*[a-f0-9]+: 8f c9 00 93 c4[ ]+vprotq %xmm15,%xmm12,%xmm0
942[ ]*[a-f0-9]+: 8f 49 00 93 fc[ ]+vprotq %xmm15,%xmm12,%xmm15
943[ ]*[a-f0-9]+: 8f e9 00 93 c0[ ]+vprotq %xmm15,%xmm0,%xmm0
944[ ]*[a-f0-9]+: 8f e9 68 93 c0[ ]+vprotq %xmm2,%xmm0,%xmm0
945[ ]*[a-f0-9]+: 8f 69 98 93 38[ ]+vprotq \(%rax\),%xmm12,%xmm15
946[ ]*[a-f0-9]+: 8f c9 00 93 ff[ ]+vprotq %xmm15,%xmm15,%xmm7
947[ ]*[a-f0-9]+: 8f e9 78 93 f8[ ]+vprotq %xmm0,%xmm0,%xmm7
948[ ]*[a-f0-9]+: 8f 49 80 93 3c 24[ ]+vprotq \(%r12\),%xmm15,%xmm15
949[ ]*[a-f0-9]+: 8f c9 80 93 04 24[ ]+vprotq \(%r12\),%xmm15,%xmm0
950[ ]*[a-f0-9]+: 8f c9 80 93 3a[ ]+vprotq \(%r10\),%xmm15,%xmm7
951[ ]*[a-f0-9]+: 8f c9 98 93 02[ ]+vprotq \(%r10\),%xmm12,%xmm0
952[ ]*[a-f0-9]+: 8f 49 00 93 ff[ ]+vprotq %xmm15,%xmm15,%xmm15
953[ ]*[a-f0-9]+: 8f 48 78 c3 ff 00[ ]+vprotq \$0x0,%xmm15,%xmm15
954[ ]*[a-f0-9]+: 8f 68 78 c3 3e 00[ ]+vprotq \$0x0,\(%rsi\),%xmm15
955[ ]*[a-f0-9]+: 8f 68 78 c3 d8 00[ ]+vprotq \$0x0,%xmm0,%xmm11
956[ ]*[a-f0-9]+: 8f c8 78 c3 c7 ff[ ]+vprotq \$0xff,%xmm15,%xmm0
957[ ]*[a-f0-9]+: 8f e8 78 c3 c0 03[ ]+vprotq \$0x3,%xmm0,%xmm0
958[ ]*[a-f0-9]+: 8f c8 78 c3 c7 03[ ]+vprotq \$0x3,%xmm15,%xmm0
959[ ]*[a-f0-9]+: 8f 48 78 c3 db 00[ ]+vprotq \$0x0,%xmm11,%xmm11
960[ ]*[a-f0-9]+: 8f 68 78 c3 f8 00[ ]+vprotq \$0x0,%xmm0,%xmm15
961[ ]*[a-f0-9]+: 8f e8 78 c3 01 03[ ]+vprotq \$0x3,\(%rcx\),%xmm0
962[ ]*[a-f0-9]+: 8f e8 78 c3 06 ff[ ]+vprotq \$0xff,\(%rsi\),%xmm0
963[ ]*[a-f0-9]+: 8f 68 78 c3 3f 00[ ]+vprotq \$0x0,\(%rdi\),%xmm15
964[ ]*[a-f0-9]+: 8f 48 78 c3 ff ff[ ]+vprotq \$0xff,%xmm15,%xmm15
965[ ]*[a-f0-9]+: 8f 48 78 c3 db ff[ ]+vprotq \$0xff,%xmm11,%xmm11
966[ ]*[a-f0-9]+: 8f 68 78 c3 1e ff[ ]+vprotq \$0xff,\(%rsi\),%xmm11
967[ ]*[a-f0-9]+: 8f 68 78 c3 3f 03[ ]+vprotq \$0x3,\(%rdi\),%xmm15
968[ ]*[a-f0-9]+: 8f 48 78 c3 df 03[ ]+vprotq \$0x3,%xmm15,%xmm11
969[ ]*[a-f0-9]+: 8f 69 68 91 f8[ ]+vprotw %xmm2,%xmm0,%xmm15
970[ ]*[a-f0-9]+: 8f c9 68 91 fc[ ]+vprotw %xmm2,%xmm12,%xmm7
971[ ]*[a-f0-9]+: 8f e9 68 91 c0[ ]+vprotw %xmm2,%xmm0,%xmm0
972[ ]*[a-f0-9]+: 8f 49 00 91 39[ ]+vprotw %xmm15,\(%r9\),%xmm15
973[ ]*[a-f0-9]+: 8f c9 78 91 c7[ ]+vprotw %xmm0,%xmm15,%xmm0
974[ ]*[a-f0-9]+: 8f 49 78 91 ff[ ]+vprotw %xmm0,%xmm15,%xmm15
975[ ]*[a-f0-9]+: 8f c9 78 91 c4[ ]+vprotw %xmm0,%xmm12,%xmm0
976[ ]*[a-f0-9]+: 8f c9 00 91 c4[ ]+vprotw %xmm15,%xmm12,%xmm0
977[ ]*[a-f0-9]+: 8f 49 68 91 3c 24[ ]+vprotw %xmm2,\(%r12\),%xmm15
978[ ]*[a-f0-9]+: 8f c9 78 91 39[ ]+vprotw %xmm0,\(%r9\),%xmm7
979[ ]*[a-f0-9]+: 8f c9 78 91 fc[ ]+vprotw %xmm0,%xmm12,%xmm7
980[ ]*[a-f0-9]+: 8f 49 68 91 39[ ]+vprotw %xmm2,\(%r9\),%xmm15
981[ ]*[a-f0-9]+: 8f c9 68 91 45 00[ ]+vprotw %xmm2,0x0\(%r13\),%xmm0
982[ ]*[a-f0-9]+: 8f c9 00 91 39[ ]+vprotw %xmm15,\(%r9\),%xmm7
983[ ]*[a-f0-9]+: 8f c9 00 91 04 24[ ]+vprotw %xmm15,\(%r12\),%xmm0
984[ ]*[a-f0-9]+: 8f 49 78 91 7d 00[ ]+vprotw %xmm0,0x0\(%r13\),%xmm15
985[ ]*[a-f0-9]+: 8f 49 f8 91 3c 24[ ]+vprotw \(%r12\),%xmm0,%xmm15
986[ ]*[a-f0-9]+: 8f c9 f8 91 3c 24[ ]+vprotw \(%r12\),%xmm0,%xmm7
987[ ]*[a-f0-9]+: 8f e9 f8 91 00[ ]+vprotw \(%rax\),%xmm0,%xmm0
988[ ]*[a-f0-9]+: 8f 49 80 91 3a[ ]+vprotw \(%r10\),%xmm15,%xmm15
989[ ]*[a-f0-9]+: 8f c9 00 91 c4[ ]+vprotw %xmm15,%xmm12,%xmm0
990[ ]*[a-f0-9]+: 8f 49 00 91 fc[ ]+vprotw %xmm15,%xmm12,%xmm15
991[ ]*[a-f0-9]+: 8f e9 00 91 c0[ ]+vprotw %xmm15,%xmm0,%xmm0
992[ ]*[a-f0-9]+: 8f e9 68 91 c0[ ]+vprotw %xmm2,%xmm0,%xmm0
993[ ]*[a-f0-9]+: 8f 69 98 91 38[ ]+vprotw \(%rax\),%xmm12,%xmm15
994[ ]*[a-f0-9]+: 8f c9 00 91 ff[ ]+vprotw %xmm15,%xmm15,%xmm7
995[ ]*[a-f0-9]+: 8f e9 78 91 f8[ ]+vprotw %xmm0,%xmm0,%xmm7
996[ ]*[a-f0-9]+: 8f 49 80 91 3c 24[ ]+vprotw \(%r12\),%xmm15,%xmm15
997[ ]*[a-f0-9]+: 8f c9 80 91 04 24[ ]+vprotw \(%r12\),%xmm15,%xmm0
998[ ]*[a-f0-9]+: 8f c9 80 91 3a[ ]+vprotw \(%r10\),%xmm15,%xmm7
999[ ]*[a-f0-9]+: 8f c9 98 91 02[ ]+vprotw \(%r10\),%xmm12,%xmm0
1000[ ]*[a-f0-9]+: 8f 49 00 91 ff[ ]+vprotw %xmm15,%xmm15,%xmm15
1001[ ]*[a-f0-9]+: 8f 48 78 c1 ff 00[ ]+vprotw \$0x0,%xmm15,%xmm15
1002[ ]*[a-f0-9]+: 8f 68 78 c1 3e 00[ ]+vprotw \$0x0,\(%rsi\),%xmm15
1003[ ]*[a-f0-9]+: 8f 68 78 c1 d8 00[ ]+vprotw \$0x0,%xmm0,%xmm11
1004[ ]*[a-f0-9]+: 8f c8 78 c1 c7 ff[ ]+vprotw \$0xff,%xmm15,%xmm0
1005[ ]*[a-f0-9]+: 8f e8 78 c1 c0 03[ ]+vprotw \$0x3,%xmm0,%xmm0
1006[ ]*[a-f0-9]+: 8f c8 78 c1 c7 03[ ]+vprotw \$0x3,%xmm15,%xmm0
1007[ ]*[a-f0-9]+: 8f 48 78 c1 db 00[ ]+vprotw \$0x0,%xmm11,%xmm11
1008[ ]*[a-f0-9]+: 8f 68 78 c1 f8 00[ ]+vprotw \$0x0,%xmm0,%xmm15
1009[ ]*[a-f0-9]+: 8f e8 78 c1 01 03[ ]+vprotw \$0x3,\(%rcx\),%xmm0
1010[ ]*[a-f0-9]+: 8f e8 78 c1 06 ff[ ]+vprotw \$0xff,\(%rsi\),%xmm0
1011[ ]*[a-f0-9]+: 8f 68 78 c1 3f 00[ ]+vprotw \$0x0,\(%rdi\),%xmm15
1012[ ]*[a-f0-9]+: 8f 48 78 c1 ff ff[ ]+vprotw \$0xff,%xmm15,%xmm15
1013[ ]*[a-f0-9]+: 8f 48 78 c1 db ff[ ]+vprotw \$0xff,%xmm11,%xmm11
1014[ ]*[a-f0-9]+: 8f 68 78 c1 1e ff[ ]+vprotw \$0xff,\(%rsi\),%xmm11
1015[ ]*[a-f0-9]+: 8f 68 78 c1 3f 03[ ]+vprotw \$0x3,\(%rdi\),%xmm15
1016[ ]*[a-f0-9]+: 8f 48 78 c1 df 03[ ]+vprotw \$0x3,%xmm15,%xmm11
1017[ ]*[a-f0-9]+: 8f 69 68 98 f8[ ]+vpshab %xmm2,%xmm0,%xmm15
1018[ ]*[a-f0-9]+: 8f c9 68 98 fc[ ]+vpshab %xmm2,%xmm12,%xmm7
1019[ ]*[a-f0-9]+: 8f e9 68 98 c0[ ]+vpshab %xmm2,%xmm0,%xmm0
1020[ ]*[a-f0-9]+: 8f 49 00 98 39[ ]+vpshab %xmm15,\(%r9\),%xmm15
1021[ ]*[a-f0-9]+: 8f c9 78 98 c7[ ]+vpshab %xmm0,%xmm15,%xmm0
1022[ ]*[a-f0-9]+: 8f 49 78 98 ff[ ]+vpshab %xmm0,%xmm15,%xmm15
1023[ ]*[a-f0-9]+: 8f c9 78 98 c4[ ]+vpshab %xmm0,%xmm12,%xmm0
1024[ ]*[a-f0-9]+: 8f c9 00 98 c4[ ]+vpshab %xmm15,%xmm12,%xmm0
1025[ ]*[a-f0-9]+: 8f 49 68 98 3c 24[ ]+vpshab %xmm2,\(%r12\),%xmm15
1026[ ]*[a-f0-9]+: 8f c9 78 98 39[ ]+vpshab %xmm0,\(%r9\),%xmm7
1027[ ]*[a-f0-9]+: 8f c9 78 98 fc[ ]+vpshab %xmm0,%xmm12,%xmm7
1028[ ]*[a-f0-9]+: 8f 49 68 98 39[ ]+vpshab %xmm2,\(%r9\),%xmm15
1029[ ]*[a-f0-9]+: 8f c9 68 98 45 00[ ]+vpshab %xmm2,0x0\(%r13\),%xmm0
1030[ ]*[a-f0-9]+: 8f c9 00 98 39[ ]+vpshab %xmm15,\(%r9\),%xmm7
1031[ ]*[a-f0-9]+: 8f c9 00 98 04 24[ ]+vpshab %xmm15,\(%r12\),%xmm0
1032[ ]*[a-f0-9]+: 8f 49 78 98 7d 00[ ]+vpshab %xmm0,0x0\(%r13\),%xmm15
1033[ ]*[a-f0-9]+: 8f 49 f8 98 3c 24[ ]+vpshab \(%r12\),%xmm0,%xmm15
1034[ ]*[a-f0-9]+: 8f c9 f8 98 3c 24[ ]+vpshab \(%r12\),%xmm0,%xmm7
1035[ ]*[a-f0-9]+: 8f e9 f8 98 00[ ]+vpshab \(%rax\),%xmm0,%xmm0
1036[ ]*[a-f0-9]+: 8f 49 80 98 3a[ ]+vpshab \(%r10\),%xmm15,%xmm15
1037[ ]*[a-f0-9]+: 8f c9 00 98 c4[ ]+vpshab %xmm15,%xmm12,%xmm0
1038[ ]*[a-f0-9]+: 8f 49 00 98 fc[ ]+vpshab %xmm15,%xmm12,%xmm15
1039[ ]*[a-f0-9]+: 8f e9 00 98 c0[ ]+vpshab %xmm15,%xmm0,%xmm0
1040[ ]*[a-f0-9]+: 8f e9 68 98 c0[ ]+vpshab %xmm2,%xmm0,%xmm0
1041[ ]*[a-f0-9]+: 8f 69 98 98 38[ ]+vpshab \(%rax\),%xmm12,%xmm15
1042[ ]*[a-f0-9]+: 8f c9 00 98 ff[ ]+vpshab %xmm15,%xmm15,%xmm7
1043[ ]*[a-f0-9]+: 8f e9 78 98 f8[ ]+vpshab %xmm0,%xmm0,%xmm7
1044[ ]*[a-f0-9]+: 8f 49 80 98 3c 24[ ]+vpshab \(%r12\),%xmm15,%xmm15
1045[ ]*[a-f0-9]+: 8f c9 80 98 04 24[ ]+vpshab \(%r12\),%xmm15,%xmm0
1046[ ]*[a-f0-9]+: 8f c9 80 98 3a[ ]+vpshab \(%r10\),%xmm15,%xmm7
1047[ ]*[a-f0-9]+: 8f c9 98 98 02[ ]+vpshab \(%r10\),%xmm12,%xmm0
1048[ ]*[a-f0-9]+: 8f 49 00 98 ff[ ]+vpshab %xmm15,%xmm15,%xmm15
1049[ ]*[a-f0-9]+: 8f 69 68 9a f8[ ]+vpshad %xmm2,%xmm0,%xmm15
1050[ ]*[a-f0-9]+: 8f c9 68 9a fc[ ]+vpshad %xmm2,%xmm12,%xmm7
1051[ ]*[a-f0-9]+: 8f e9 68 9a c0[ ]+vpshad %xmm2,%xmm0,%xmm0
1052[ ]*[a-f0-9]+: 8f 49 00 9a 39[ ]+vpshad %xmm15,\(%r9\),%xmm15
1053[ ]*[a-f0-9]+: 8f c9 78 9a c7[ ]+vpshad %xmm0,%xmm15,%xmm0
1054[ ]*[a-f0-9]+: 8f 49 78 9a ff[ ]+vpshad %xmm0,%xmm15,%xmm15
1055[ ]*[a-f0-9]+: 8f c9 78 9a c4[ ]+vpshad %xmm0,%xmm12,%xmm0
1056[ ]*[a-f0-9]+: 8f c9 00 9a c4[ ]+vpshad %xmm15,%xmm12,%xmm0
1057[ ]*[a-f0-9]+: 8f 49 68 9a 3c 24[ ]+vpshad %xmm2,\(%r12\),%xmm15
1058[ ]*[a-f0-9]+: 8f c9 78 9a 39[ ]+vpshad %xmm0,\(%r9\),%xmm7
1059[ ]*[a-f0-9]+: 8f c9 78 9a fc[ ]+vpshad %xmm0,%xmm12,%xmm7
1060[ ]*[a-f0-9]+: 8f 49 68 9a 39[ ]+vpshad %xmm2,\(%r9\),%xmm15
1061[ ]*[a-f0-9]+: 8f c9 68 9a 45 00[ ]+vpshad %xmm2,0x0\(%r13\),%xmm0
1062[ ]*[a-f0-9]+: 8f c9 00 9a 39[ ]+vpshad %xmm15,\(%r9\),%xmm7
1063[ ]*[a-f0-9]+: 8f c9 00 9a 04 24[ ]+vpshad %xmm15,\(%r12\),%xmm0
1064[ ]*[a-f0-9]+: 8f 49 78 9a 7d 00[ ]+vpshad %xmm0,0x0\(%r13\),%xmm15
1065[ ]*[a-f0-9]+: 8f 49 f8 9a 3c 24[ ]+vpshad \(%r12\),%xmm0,%xmm15
1066[ ]*[a-f0-9]+: 8f c9 f8 9a 3c 24[ ]+vpshad \(%r12\),%xmm0,%xmm7
1067[ ]*[a-f0-9]+: 8f e9 f8 9a 00[ ]+vpshad \(%rax\),%xmm0,%xmm0
1068[ ]*[a-f0-9]+: 8f 49 80 9a 3a[ ]+vpshad \(%r10\),%xmm15,%xmm15
1069[ ]*[a-f0-9]+: 8f c9 00 9a c4[ ]+vpshad %xmm15,%xmm12,%xmm0
1070[ ]*[a-f0-9]+: 8f 49 00 9a fc[ ]+vpshad %xmm15,%xmm12,%xmm15
1071[ ]*[a-f0-9]+: 8f e9 00 9a c0[ ]+vpshad %xmm15,%xmm0,%xmm0
1072[ ]*[a-f0-9]+: 8f e9 68 9a c0[ ]+vpshad %xmm2,%xmm0,%xmm0
1073[ ]*[a-f0-9]+: 8f 69 98 9a 38[ ]+vpshad \(%rax\),%xmm12,%xmm15
1074[ ]*[a-f0-9]+: 8f c9 00 9a ff[ ]+vpshad %xmm15,%xmm15,%xmm7
1075[ ]*[a-f0-9]+: 8f e9 78 9a f8[ ]+vpshad %xmm0,%xmm0,%xmm7
1076[ ]*[a-f0-9]+: 8f 49 80 9a 3c 24[ ]+vpshad \(%r12\),%xmm15,%xmm15
1077[ ]*[a-f0-9]+: 8f c9 80 9a 04 24[ ]+vpshad \(%r12\),%xmm15,%xmm0
1078[ ]*[a-f0-9]+: 8f c9 80 9a 3a[ ]+vpshad \(%r10\),%xmm15,%xmm7
1079[ ]*[a-f0-9]+: 8f c9 98 9a 02[ ]+vpshad \(%r10\),%xmm12,%xmm0
1080[ ]*[a-f0-9]+: 8f 49 00 9a ff[ ]+vpshad %xmm15,%xmm15,%xmm15
1081[ ]*[a-f0-9]+: 8f 69 68 9b f8[ ]+vpshaq %xmm2,%xmm0,%xmm15
1082[ ]*[a-f0-9]+: 8f c9 68 9b fc[ ]+vpshaq %xmm2,%xmm12,%xmm7
1083[ ]*[a-f0-9]+: 8f e9 68 9b c0[ ]+vpshaq %xmm2,%xmm0,%xmm0
1084[ ]*[a-f0-9]+: 8f 49 00 9b 39[ ]+vpshaq %xmm15,\(%r9\),%xmm15
1085[ ]*[a-f0-9]+: 8f c9 78 9b c7[ ]+vpshaq %xmm0,%xmm15,%xmm0
1086[ ]*[a-f0-9]+: 8f 49 78 9b ff[ ]+vpshaq %xmm0,%xmm15,%xmm15
1087[ ]*[a-f0-9]+: 8f c9 78 9b c4[ ]+vpshaq %xmm0,%xmm12,%xmm0
1088[ ]*[a-f0-9]+: 8f c9 00 9b c4[ ]+vpshaq %xmm15,%xmm12,%xmm0
1089[ ]*[a-f0-9]+: 8f 49 68 9b 3c 24[ ]+vpshaq %xmm2,\(%r12\),%xmm15
1090[ ]*[a-f0-9]+: 8f c9 78 9b 39[ ]+vpshaq %xmm0,\(%r9\),%xmm7
1091[ ]*[a-f0-9]+: 8f c9 78 9b fc[ ]+vpshaq %xmm0,%xmm12,%xmm7
1092[ ]*[a-f0-9]+: 8f 49 68 9b 39[ ]+vpshaq %xmm2,\(%r9\),%xmm15
1093[ ]*[a-f0-9]+: 8f c9 68 9b 45 00[ ]+vpshaq %xmm2,0x0\(%r13\),%xmm0
1094[ ]*[a-f0-9]+: 8f c9 00 9b 39[ ]+vpshaq %xmm15,\(%r9\),%xmm7
1095[ ]*[a-f0-9]+: 8f c9 00 9b 04 24[ ]+vpshaq %xmm15,\(%r12\),%xmm0
1096[ ]*[a-f0-9]+: 8f 49 78 9b 7d 00[ ]+vpshaq %xmm0,0x0\(%r13\),%xmm15
1097[ ]*[a-f0-9]+: 8f 49 f8 9b 3c 24[ ]+vpshaq \(%r12\),%xmm0,%xmm15
1098[ ]*[a-f0-9]+: 8f c9 f8 9b 3c 24[ ]+vpshaq \(%r12\),%xmm0,%xmm7
1099[ ]*[a-f0-9]+: 8f e9 f8 9b 00[ ]+vpshaq \(%rax\),%xmm0,%xmm0
1100[ ]*[a-f0-9]+: 8f 49 80 9b 3a[ ]+vpshaq \(%r10\),%xmm15,%xmm15
1101[ ]*[a-f0-9]+: 8f c9 00 9b c4[ ]+vpshaq %xmm15,%xmm12,%xmm0
1102[ ]*[a-f0-9]+: 8f 49 00 9b fc[ ]+vpshaq %xmm15,%xmm12,%xmm15
1103[ ]*[a-f0-9]+: 8f e9 00 9b c0[ ]+vpshaq %xmm15,%xmm0,%xmm0
1104[ ]*[a-f0-9]+: 8f e9 68 9b c0[ ]+vpshaq %xmm2,%xmm0,%xmm0
1105[ ]*[a-f0-9]+: 8f 69 98 9b 38[ ]+vpshaq \(%rax\),%xmm12,%xmm15
1106[ ]*[a-f0-9]+: 8f c9 00 9b ff[ ]+vpshaq %xmm15,%xmm15,%xmm7
1107[ ]*[a-f0-9]+: 8f e9 78 9b f8[ ]+vpshaq %xmm0,%xmm0,%xmm7
1108[ ]*[a-f0-9]+: 8f 49 80 9b 3c 24[ ]+vpshaq \(%r12\),%xmm15,%xmm15
1109[ ]*[a-f0-9]+: 8f c9 80 9b 04 24[ ]+vpshaq \(%r12\),%xmm15,%xmm0
1110[ ]*[a-f0-9]+: 8f c9 80 9b 3a[ ]+vpshaq \(%r10\),%xmm15,%xmm7
1111[ ]*[a-f0-9]+: 8f c9 98 9b 02[ ]+vpshaq \(%r10\),%xmm12,%xmm0
1112[ ]*[a-f0-9]+: 8f 49 00 9b ff[ ]+vpshaq %xmm15,%xmm15,%xmm15
1113[ ]*[a-f0-9]+: 8f 69 68 99 f8[ ]+vpshaw %xmm2,%xmm0,%xmm15
1114[ ]*[a-f0-9]+: 8f c9 68 99 fc[ ]+vpshaw %xmm2,%xmm12,%xmm7
1115[ ]*[a-f0-9]+: 8f e9 68 99 c0[ ]+vpshaw %xmm2,%xmm0,%xmm0
1116[ ]*[a-f0-9]+: 8f 49 00 99 39[ ]+vpshaw %xmm15,\(%r9\),%xmm15
1117[ ]*[a-f0-9]+: 8f c9 78 99 c7[ ]+vpshaw %xmm0,%xmm15,%xmm0
1118[ ]*[a-f0-9]+: 8f 49 78 99 ff[ ]+vpshaw %xmm0,%xmm15,%xmm15
1119[ ]*[a-f0-9]+: 8f c9 78 99 c4[ ]+vpshaw %xmm0,%xmm12,%xmm0
1120[ ]*[a-f0-9]+: 8f c9 00 99 c4[ ]+vpshaw %xmm15,%xmm12,%xmm0
1121[ ]*[a-f0-9]+: 8f 49 68 99 3c 24[ ]+vpshaw %xmm2,\(%r12\),%xmm15
1122[ ]*[a-f0-9]+: 8f c9 78 99 39[ ]+vpshaw %xmm0,\(%r9\),%xmm7
1123[ ]*[a-f0-9]+: 8f c9 78 99 fc[ ]+vpshaw %xmm0,%xmm12,%xmm7
1124[ ]*[a-f0-9]+: 8f 49 68 99 39[ ]+vpshaw %xmm2,\(%r9\),%xmm15
1125[ ]*[a-f0-9]+: 8f c9 68 99 45 00[ ]+vpshaw %xmm2,0x0\(%r13\),%xmm0
1126[ ]*[a-f0-9]+: 8f c9 00 99 39[ ]+vpshaw %xmm15,\(%r9\),%xmm7
1127[ ]*[a-f0-9]+: 8f c9 00 99 04 24[ ]+vpshaw %xmm15,\(%r12\),%xmm0
1128[ ]*[a-f0-9]+: 8f 49 78 99 7d 00[ ]+vpshaw %xmm0,0x0\(%r13\),%xmm15
1129[ ]*[a-f0-9]+: 8f 49 f8 99 3c 24[ ]+vpshaw \(%r12\),%xmm0,%xmm15
1130[ ]*[a-f0-9]+: 8f c9 f8 99 3c 24[ ]+vpshaw \(%r12\),%xmm0,%xmm7
1131[ ]*[a-f0-9]+: 8f e9 f8 99 00[ ]+vpshaw \(%rax\),%xmm0,%xmm0
1132[ ]*[a-f0-9]+: 8f 49 80 99 3a[ ]+vpshaw \(%r10\),%xmm15,%xmm15
1133[ ]*[a-f0-9]+: 8f c9 00 99 c4[ ]+vpshaw %xmm15,%xmm12,%xmm0
1134[ ]*[a-f0-9]+: 8f 49 00 99 fc[ ]+vpshaw %xmm15,%xmm12,%xmm15
1135[ ]*[a-f0-9]+: 8f e9 00 99 c0[ ]+vpshaw %xmm15,%xmm0,%xmm0
1136[ ]*[a-f0-9]+: 8f e9 68 99 c0[ ]+vpshaw %xmm2,%xmm0,%xmm0
1137[ ]*[a-f0-9]+: 8f 69 98 99 38[ ]+vpshaw \(%rax\),%xmm12,%xmm15
1138[ ]*[a-f0-9]+: 8f c9 00 99 ff[ ]+vpshaw %xmm15,%xmm15,%xmm7
1139[ ]*[a-f0-9]+: 8f e9 78 99 f8[ ]+vpshaw %xmm0,%xmm0,%xmm7
1140[ ]*[a-f0-9]+: 8f 49 80 99 3c 24[ ]+vpshaw \(%r12\),%xmm15,%xmm15
1141[ ]*[a-f0-9]+: 8f c9 80 99 04 24[ ]+vpshaw \(%r12\),%xmm15,%xmm0
1142[ ]*[a-f0-9]+: 8f c9 80 99 3a[ ]+vpshaw \(%r10\),%xmm15,%xmm7
1143[ ]*[a-f0-9]+: 8f c9 98 99 02[ ]+vpshaw \(%r10\),%xmm12,%xmm0
1144[ ]*[a-f0-9]+: 8f 49 00 99 ff[ ]+vpshaw %xmm15,%xmm15,%xmm15
1145[ ]*[a-f0-9]+: 8f 69 68 94 f8[ ]+vpshlb %xmm2,%xmm0,%xmm15
1146[ ]*[a-f0-9]+: 8f c9 68 94 fc[ ]+vpshlb %xmm2,%xmm12,%xmm7
1147[ ]*[a-f0-9]+: 8f e9 68 94 c0[ ]+vpshlb %xmm2,%xmm0,%xmm0
1148[ ]*[a-f0-9]+: 8f 49 00 94 39[ ]+vpshlb %xmm15,\(%r9\),%xmm15
1149[ ]*[a-f0-9]+: 8f c9 78 94 c7[ ]+vpshlb %xmm0,%xmm15,%xmm0
1150[ ]*[a-f0-9]+: 8f 49 78 94 ff[ ]+vpshlb %xmm0,%xmm15,%xmm15
1151[ ]*[a-f0-9]+: 8f c9 78 94 c4[ ]+vpshlb %xmm0,%xmm12,%xmm0
1152[ ]*[a-f0-9]+: 8f c9 00 94 c4[ ]+vpshlb %xmm15,%xmm12,%xmm0
1153[ ]*[a-f0-9]+: 8f 49 68 94 3c 24[ ]+vpshlb %xmm2,\(%r12\),%xmm15
1154[ ]*[a-f0-9]+: 8f c9 78 94 39[ ]+vpshlb %xmm0,\(%r9\),%xmm7
1155[ ]*[a-f0-9]+: 8f c9 78 94 fc[ ]+vpshlb %xmm0,%xmm12,%xmm7
1156[ ]*[a-f0-9]+: 8f 49 68 94 39[ ]+vpshlb %xmm2,\(%r9\),%xmm15
1157[ ]*[a-f0-9]+: 8f c9 68 94 45 00[ ]+vpshlb %xmm2,0x0\(%r13\),%xmm0
1158[ ]*[a-f0-9]+: 8f c9 00 94 39[ ]+vpshlb %xmm15,\(%r9\),%xmm7
1159[ ]*[a-f0-9]+: 8f c9 00 94 04 24[ ]+vpshlb %xmm15,\(%r12\),%xmm0
1160[ ]*[a-f0-9]+: 8f 49 78 94 7d 00[ ]+vpshlb %xmm0,0x0\(%r13\),%xmm15
1161[ ]*[a-f0-9]+: 8f 49 f8 94 3c 24[ ]+vpshlb \(%r12\),%xmm0,%xmm15
1162[ ]*[a-f0-9]+: 8f c9 f8 94 3c 24[ ]+vpshlb \(%r12\),%xmm0,%xmm7
1163[ ]*[a-f0-9]+: 8f e9 f8 94 00[ ]+vpshlb \(%rax\),%xmm0,%xmm0
1164[ ]*[a-f0-9]+: 8f 49 80 94 3a[ ]+vpshlb \(%r10\),%xmm15,%xmm15
1165[ ]*[a-f0-9]+: 8f c9 00 94 c4[ ]+vpshlb %xmm15,%xmm12,%xmm0
1166[ ]*[a-f0-9]+: 8f 49 00 94 fc[ ]+vpshlb %xmm15,%xmm12,%xmm15
1167[ ]*[a-f0-9]+: 8f e9 00 94 c0[ ]+vpshlb %xmm15,%xmm0,%xmm0
1168[ ]*[a-f0-9]+: 8f e9 68 94 c0[ ]+vpshlb %xmm2,%xmm0,%xmm0
1169[ ]*[a-f0-9]+: 8f 69 98 94 38[ ]+vpshlb \(%rax\),%xmm12,%xmm15
1170[ ]*[a-f0-9]+: 8f c9 00 94 ff[ ]+vpshlb %xmm15,%xmm15,%xmm7
1171[ ]*[a-f0-9]+: 8f e9 78 94 f8[ ]+vpshlb %xmm0,%xmm0,%xmm7
1172[ ]*[a-f0-9]+: 8f 49 80 94 3c 24[ ]+vpshlb \(%r12\),%xmm15,%xmm15
1173[ ]*[a-f0-9]+: 8f c9 80 94 04 24[ ]+vpshlb \(%r12\),%xmm15,%xmm0
1174[ ]*[a-f0-9]+: 8f c9 80 94 3a[ ]+vpshlb \(%r10\),%xmm15,%xmm7
1175[ ]*[a-f0-9]+: 8f c9 98 94 02[ ]+vpshlb \(%r10\),%xmm12,%xmm0
1176[ ]*[a-f0-9]+: 8f 49 00 94 ff[ ]+vpshlb %xmm15,%xmm15,%xmm15
1177[ ]*[a-f0-9]+: 8f 69 68 96 f8[ ]+vpshld %xmm2,%xmm0,%xmm15
1178[ ]*[a-f0-9]+: 8f c9 68 96 fc[ ]+vpshld %xmm2,%xmm12,%xmm7
1179[ ]*[a-f0-9]+: 8f e9 68 96 c0[ ]+vpshld %xmm2,%xmm0,%xmm0
1180[ ]*[a-f0-9]+: 8f 49 00 96 39[ ]+vpshld %xmm15,\(%r9\),%xmm15
1181[ ]*[a-f0-9]+: 8f c9 78 96 c7[ ]+vpshld %xmm0,%xmm15,%xmm0
1182[ ]*[a-f0-9]+: 8f 49 78 96 ff[ ]+vpshld %xmm0,%xmm15,%xmm15
1183[ ]*[a-f0-9]+: 8f c9 78 96 c4[ ]+vpshld %xmm0,%xmm12,%xmm0
1184[ ]*[a-f0-9]+: 8f c9 00 96 c4[ ]+vpshld %xmm15,%xmm12,%xmm0
1185[ ]*[a-f0-9]+: 8f 49 68 96 3c 24[ ]+vpshld %xmm2,\(%r12\),%xmm15
1186[ ]*[a-f0-9]+: 8f c9 78 96 39[ ]+vpshld %xmm0,\(%r9\),%xmm7
1187[ ]*[a-f0-9]+: 8f c9 78 96 fc[ ]+vpshld %xmm0,%xmm12,%xmm7
1188[ ]*[a-f0-9]+: 8f 49 68 96 39[ ]+vpshld %xmm2,\(%r9\),%xmm15
1189[ ]*[a-f0-9]+: 8f c9 68 96 45 00[ ]+vpshld %xmm2,0x0\(%r13\),%xmm0
1190[ ]*[a-f0-9]+: 8f c9 00 96 39[ ]+vpshld %xmm15,\(%r9\),%xmm7
1191[ ]*[a-f0-9]+: 8f c9 00 96 04 24[ ]+vpshld %xmm15,\(%r12\),%xmm0
1192[ ]*[a-f0-9]+: 8f 49 78 96 7d 00[ ]+vpshld %xmm0,0x0\(%r13\),%xmm15
1193[ ]*[a-f0-9]+: 8f 49 f8 96 3c 24[ ]+vpshld \(%r12\),%xmm0,%xmm15
1194[ ]*[a-f0-9]+: 8f c9 f8 96 3c 24[ ]+vpshld \(%r12\),%xmm0,%xmm7
1195[ ]*[a-f0-9]+: 8f e9 f8 96 00[ ]+vpshld \(%rax\),%xmm0,%xmm0
1196[ ]*[a-f0-9]+: 8f 49 80 96 3a[ ]+vpshld \(%r10\),%xmm15,%xmm15
1197[ ]*[a-f0-9]+: 8f c9 00 96 c4[ ]+vpshld %xmm15,%xmm12,%xmm0
1198[ ]*[a-f0-9]+: 8f 49 00 96 fc[ ]+vpshld %xmm15,%xmm12,%xmm15
1199[ ]*[a-f0-9]+: 8f e9 00 96 c0[ ]+vpshld %xmm15,%xmm0,%xmm0
1200[ ]*[a-f0-9]+: 8f e9 68 96 c0[ ]+vpshld %xmm2,%xmm0,%xmm0
1201[ ]*[a-f0-9]+: 8f 69 98 96 38[ ]+vpshld \(%rax\),%xmm12,%xmm15
1202[ ]*[a-f0-9]+: 8f c9 00 96 ff[ ]+vpshld %xmm15,%xmm15,%xmm7
1203[ ]*[a-f0-9]+: 8f e9 78 96 f8[ ]+vpshld %xmm0,%xmm0,%xmm7
1204[ ]*[a-f0-9]+: 8f 49 80 96 3c 24[ ]+vpshld \(%r12\),%xmm15,%xmm15
1205[ ]*[a-f0-9]+: 8f c9 80 96 04 24[ ]+vpshld \(%r12\),%xmm15,%xmm0
1206[ ]*[a-f0-9]+: 8f c9 80 96 3a[ ]+vpshld \(%r10\),%xmm15,%xmm7
1207[ ]*[a-f0-9]+: 8f c9 98 96 02[ ]+vpshld \(%r10\),%xmm12,%xmm0
1208[ ]*[a-f0-9]+: 8f 49 00 96 ff[ ]+vpshld %xmm15,%xmm15,%xmm15
1209[ ]*[a-f0-9]+: 8f 69 68 97 f8[ ]+vpshlq %xmm2,%xmm0,%xmm15
1210[ ]*[a-f0-9]+: 8f c9 68 97 fc[ ]+vpshlq %xmm2,%xmm12,%xmm7
1211[ ]*[a-f0-9]+: 8f e9 68 97 c0[ ]+vpshlq %xmm2,%xmm0,%xmm0
1212[ ]*[a-f0-9]+: 8f 49 00 97 39[ ]+vpshlq %xmm15,\(%r9\),%xmm15
1213[ ]*[a-f0-9]+: 8f c9 78 97 c7[ ]+vpshlq %xmm0,%xmm15,%xmm0
1214[ ]*[a-f0-9]+: 8f 49 78 97 ff[ ]+vpshlq %xmm0,%xmm15,%xmm15
1215[ ]*[a-f0-9]+: 8f c9 78 97 c4[ ]+vpshlq %xmm0,%xmm12,%xmm0
1216[ ]*[a-f0-9]+: 8f c9 00 97 c4[ ]+vpshlq %xmm15,%xmm12,%xmm0
1217[ ]*[a-f0-9]+: 8f 49 68 97 3c 24[ ]+vpshlq %xmm2,\(%r12\),%xmm15
1218[ ]*[a-f0-9]+: 8f c9 78 97 39[ ]+vpshlq %xmm0,\(%r9\),%xmm7
1219[ ]*[a-f0-9]+: 8f c9 78 97 fc[ ]+vpshlq %xmm0,%xmm12,%xmm7
1220[ ]*[a-f0-9]+: 8f 49 68 97 39[ ]+vpshlq %xmm2,\(%r9\),%xmm15
1221[ ]*[a-f0-9]+: 8f c9 68 97 45 00[ ]+vpshlq %xmm2,0x0\(%r13\),%xmm0
1222[ ]*[a-f0-9]+: 8f c9 00 97 39[ ]+vpshlq %xmm15,\(%r9\),%xmm7
1223[ ]*[a-f0-9]+: 8f c9 00 97 04 24[ ]+vpshlq %xmm15,\(%r12\),%xmm0
1224[ ]*[a-f0-9]+: 8f 49 78 97 7d 00[ ]+vpshlq %xmm0,0x0\(%r13\),%xmm15
1225[ ]*[a-f0-9]+: 8f 49 f8 97 3c 24[ ]+vpshlq \(%r12\),%xmm0,%xmm15
1226[ ]*[a-f0-9]+: 8f c9 f8 97 3c 24[ ]+vpshlq \(%r12\),%xmm0,%xmm7
1227[ ]*[a-f0-9]+: 8f e9 f8 97 00[ ]+vpshlq \(%rax\),%xmm0,%xmm0
1228[ ]*[a-f0-9]+: 8f 49 80 97 3a[ ]+vpshlq \(%r10\),%xmm15,%xmm15
1229[ ]*[a-f0-9]+: 8f c9 00 97 c4[ ]+vpshlq %xmm15,%xmm12,%xmm0
1230[ ]*[a-f0-9]+: 8f 49 00 97 fc[ ]+vpshlq %xmm15,%xmm12,%xmm15
1231[ ]*[a-f0-9]+: 8f e9 00 97 c0[ ]+vpshlq %xmm15,%xmm0,%xmm0
1232[ ]*[a-f0-9]+: 8f e9 68 97 c0[ ]+vpshlq %xmm2,%xmm0,%xmm0
1233[ ]*[a-f0-9]+: 8f 69 98 97 38[ ]+vpshlq \(%rax\),%xmm12,%xmm15
1234[ ]*[a-f0-9]+: 8f c9 00 97 ff[ ]+vpshlq %xmm15,%xmm15,%xmm7
1235[ ]*[a-f0-9]+: 8f e9 78 97 f8[ ]+vpshlq %xmm0,%xmm0,%xmm7
1236[ ]*[a-f0-9]+: 8f 49 80 97 3c 24[ ]+vpshlq \(%r12\),%xmm15,%xmm15
1237[ ]*[a-f0-9]+: 8f c9 80 97 04 24[ ]+vpshlq \(%r12\),%xmm15,%xmm0
1238[ ]*[a-f0-9]+: 8f c9 80 97 3a[ ]+vpshlq \(%r10\),%xmm15,%xmm7
1239[ ]*[a-f0-9]+: 8f c9 98 97 02[ ]+vpshlq \(%r10\),%xmm12,%xmm0
1240[ ]*[a-f0-9]+: 8f 49 00 97 ff[ ]+vpshlq %xmm15,%xmm15,%xmm15
1241[ ]*[a-f0-9]+: 8f 69 68 95 f8[ ]+vpshlw %xmm2,%xmm0,%xmm15
1242[ ]*[a-f0-9]+: 8f c9 68 95 fc[ ]+vpshlw %xmm2,%xmm12,%xmm7
1243[ ]*[a-f0-9]+: 8f e9 68 95 c0[ ]+vpshlw %xmm2,%xmm0,%xmm0
1244[ ]*[a-f0-9]+: 8f 49 00 95 39[ ]+vpshlw %xmm15,\(%r9\),%xmm15
1245[ ]*[a-f0-9]+: 8f c9 78 95 c7[ ]+vpshlw %xmm0,%xmm15,%xmm0
1246[ ]*[a-f0-9]+: 8f 49 78 95 ff[ ]+vpshlw %xmm0,%xmm15,%xmm15
1247[ ]*[a-f0-9]+: 8f c9 78 95 c4[ ]+vpshlw %xmm0,%xmm12,%xmm0
1248[ ]*[a-f0-9]+: 8f c9 00 95 c4[ ]+vpshlw %xmm15,%xmm12,%xmm0
1249[ ]*[a-f0-9]+: 8f 49 68 95 3c 24[ ]+vpshlw %xmm2,\(%r12\),%xmm15
1250[ ]*[a-f0-9]+: 8f c9 78 95 39[ ]+vpshlw %xmm0,\(%r9\),%xmm7
1251[ ]*[a-f0-9]+: 8f c9 78 95 fc[ ]+vpshlw %xmm0,%xmm12,%xmm7
1252[ ]*[a-f0-9]+: 8f 49 68 95 39[ ]+vpshlw %xmm2,\(%r9\),%xmm15
1253[ ]*[a-f0-9]+: 8f c9 68 95 45 00[ ]+vpshlw %xmm2,0x0\(%r13\),%xmm0
1254[ ]*[a-f0-9]+: 8f c9 00 95 39[ ]+vpshlw %xmm15,\(%r9\),%xmm7
1255[ ]*[a-f0-9]+: 8f c9 00 95 04 24[ ]+vpshlw %xmm15,\(%r12\),%xmm0
1256[ ]*[a-f0-9]+: 8f 49 78 95 7d 00[ ]+vpshlw %xmm0,0x0\(%r13\),%xmm15
1257[ ]*[a-f0-9]+: 8f 49 f8 95 3c 24[ ]+vpshlw \(%r12\),%xmm0,%xmm15
1258[ ]*[a-f0-9]+: 8f c9 f8 95 3c 24[ ]+vpshlw \(%r12\),%xmm0,%xmm7
1259[ ]*[a-f0-9]+: 8f e9 f8 95 00[ ]+vpshlw \(%rax\),%xmm0,%xmm0
1260[ ]*[a-f0-9]+: 8f 49 80 95 3a[ ]+vpshlw \(%r10\),%xmm15,%xmm15
1261[ ]*[a-f0-9]+: 8f c9 00 95 c4[ ]+vpshlw %xmm15,%xmm12,%xmm0
1262[ ]*[a-f0-9]+: 8f 49 00 95 fc[ ]+vpshlw %xmm15,%xmm12,%xmm15
1263[ ]*[a-f0-9]+: 8f e9 00 95 c0[ ]+vpshlw %xmm15,%xmm0,%xmm0
1264[ ]*[a-f0-9]+: 8f e9 68 95 c0[ ]+vpshlw %xmm2,%xmm0,%xmm0
1265[ ]*[a-f0-9]+: 8f 69 98 95 38[ ]+vpshlw \(%rax\),%xmm12,%xmm15
1266[ ]*[a-f0-9]+: 8f c9 00 95 ff[ ]+vpshlw %xmm15,%xmm15,%xmm7
1267[ ]*[a-f0-9]+: 8f e9 78 95 f8[ ]+vpshlw %xmm0,%xmm0,%xmm7
1268[ ]*[a-f0-9]+: 8f 49 80 95 3c 24[ ]+vpshlw \(%r12\),%xmm15,%xmm15
1269[ ]*[a-f0-9]+: 8f c9 80 95 04 24[ ]+vpshlw \(%r12\),%xmm15,%xmm0
1270[ ]*[a-f0-9]+: 8f c9 80 95 3a[ ]+vpshlw \(%r10\),%xmm15,%xmm7
1271[ ]*[a-f0-9]+: 8f c9 98 95 02[ ]+vpshlw \(%r10\),%xmm12,%xmm0
1272[ ]*[a-f0-9]+: 8f 49 00 95 ff[ ]+vpshlw %xmm15,%xmm15,%xmm15
be92cb14
JB
1273[ ]*[a-f0-9]+: 8f c8 20 cc c0 00[ ]+vpcomltb %xmm8,%xmm11,%xmm0
1274[ ]*[a-f0-9]+: 8f 48 20 cc 3c 24 00[ ]+vpcomltb \(%r12\),%xmm11,%xmm15
1275[ ]*[a-f0-9]+: 8f e8 78 cc 04 0f 00[ ]+vpcomltb \(%rdi,%rcx,1\),%xmm0,%xmm0
1276[ ]*[a-f0-9]+: 8f 48 78 cc ff 00[ ]+vpcomltb %xmm15,%xmm0,%xmm15
1277[ ]*[a-f0-9]+: 8f e8 00 cc 3c 0f 00[ ]+vpcomltb \(%rdi,%rcx,1\),%xmm15,%xmm7
1278[ ]*[a-f0-9]+: 8f e8 20 cc 04 0f 00[ ]+vpcomltb \(%rdi,%rcx,1\),%xmm11,%xmm0
1279[ ]*[a-f0-9]+: 8f 08 00 cc 7c 59 06 00[ ]+vpcomltb 0x6\(%r9,%r11,2\),%xmm15,%xmm15
1280[ ]*[a-f0-9]+: 8f e8 00 cc 3c 83 00[ ]+vpcomltb \(%rbx,%rax,4\),%xmm15,%xmm7
1281[ ]*[a-f0-9]+: 8f 68 20 ce 3c 0f 00[ ]+vpcomltd \(%rdi,%rcx,1\),%xmm11,%xmm15
1282[ ]*[a-f0-9]+: 8f e8 00 ce c0 00[ ]+vpcomltd %xmm0,%xmm15,%xmm0
1283[ ]*[a-f0-9]+: 8f c8 00 ce 3c 24 00[ ]+vpcomltd \(%r12\),%xmm15,%xmm7
1284[ ]*[a-f0-9]+: 8f 48 78 ce ff 00[ ]+vpcomltd %xmm15,%xmm0,%xmm15
1285[ ]*[a-f0-9]+: 8f 68 20 ce f8 00[ ]+vpcomltd %xmm0,%xmm11,%xmm15
1286[ ]*[a-f0-9]+: 8f c8 20 ce 04 24 00[ ]+vpcomltd \(%r12\),%xmm11,%xmm0
1287[ ]*[a-f0-9]+: 8f 48 78 ce f8 00[ ]+vpcomltd %xmm8,%xmm0,%xmm15
1288[ ]*[a-f0-9]+: 8f c8 78 ce c7 00[ ]+vpcomltd %xmm15,%xmm0,%xmm0
1289[ ]*[a-f0-9]+: 8f e8 20 cf f8 00[ ]+vpcomltq %xmm0,%xmm11,%xmm7
1290[ ]*[a-f0-9]+: 8f c8 20 cf ff 00[ ]+vpcomltq %xmm15,%xmm11,%xmm7
1291[ ]*[a-f0-9]+: 8f c8 20 cf c7 00[ ]+vpcomltq %xmm15,%xmm11,%xmm0
1292[ ]*[a-f0-9]+: 8f 68 78 cf 3c 0f 00[ ]+vpcomltq \(%rdi,%rcx,1\),%xmm0,%xmm15
1293[ ]*[a-f0-9]+: 8f 88 20 cf 44 59 06 00[ ]+vpcomltq 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1294[ ]*[a-f0-9]+: 8f 48 00 cf 3c 24 00[ ]+vpcomltq \(%r12\),%xmm15,%xmm15
1295[ ]*[a-f0-9]+: 8f c8 78 cf c7 00[ ]+vpcomltq %xmm15,%xmm0,%xmm0
1296[ ]*[a-f0-9]+: 8f e8 78 cf 3c 0f 00[ ]+vpcomltq \(%rdi,%rcx,1\),%xmm0,%xmm7
1297[ ]*[a-f0-9]+: 8f 68 78 ec f8 00[ ]+vpcomltub %xmm0,%xmm0,%xmm15
1298[ ]*[a-f0-9]+: 8f 08 00 ec 7c 59 06 00[ ]+vpcomltub 0x6\(%r9,%r11,2\),%xmm15,%xmm15
1299[ ]*[a-f0-9]+: 8f e8 78 ec 3c 0f 00[ ]+vpcomltub \(%rdi,%rcx,1\),%xmm0,%xmm7
1300[ ]*[a-f0-9]+: 8f 48 20 ec f8 00[ ]+vpcomltub %xmm8,%xmm11,%xmm15
1301[ ]*[a-f0-9]+: 8f e8 20 ec 3c 83 00[ ]+vpcomltub \(%rbx,%rax,4\),%xmm11,%xmm7
1302[ ]*[a-f0-9]+: 8f 68 20 ec 3c 0f 00[ ]+vpcomltub \(%rdi,%rcx,1\),%xmm11,%xmm15
1303[ ]*[a-f0-9]+: 8f c8 20 ec f8 00[ ]+vpcomltub %xmm8,%xmm11,%xmm7
1304[ ]*[a-f0-9]+: 8f c8 00 ec 3c 24 00[ ]+vpcomltub \(%r12\),%xmm15,%xmm7
1305[ ]*[a-f0-9]+: 8f e8 78 ee f8 00[ ]+vpcomltud %xmm0,%xmm0,%xmm7
1306[ ]*[a-f0-9]+: 8f c8 00 ee c7 00[ ]+vpcomltud %xmm15,%xmm15,%xmm0
1307[ ]*[a-f0-9]+: 8f c8 78 ee ff 00[ ]+vpcomltud %xmm15,%xmm0,%xmm7
1308[ ]*[a-f0-9]+: 8f 68 20 ee 3c 0f 00[ ]+vpcomltud \(%rdi,%rcx,1\),%xmm11,%xmm15
1309[ ]*[a-f0-9]+: 8f e8 20 ee 3c 0f 00[ ]+vpcomltud \(%rdi,%rcx,1\),%xmm11,%xmm7
1310[ ]*[a-f0-9]+: 8f 48 78 ee ff 00[ ]+vpcomltud %xmm15,%xmm0,%xmm15
1311[ ]*[a-f0-9]+: 8f 88 78 ee 7c 59 06 00[ ]+vpcomltud 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1312[ ]*[a-f0-9]+: 8f 88 78 ee 44 59 06 00[ ]+vpcomltud 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1313[ ]*[a-f0-9]+: 8f c8 78 ef c7 00[ ]+vpcomltuq %xmm15,%xmm0,%xmm0
1314[ ]*[a-f0-9]+: 8f e8 78 ef 3c 83 00[ ]+vpcomltuq \(%rbx,%rax,4\),%xmm0,%xmm7
1315[ ]*[a-f0-9]+: 8f 48 20 ef 3c 24 00[ ]+vpcomltuq \(%r12\),%xmm11,%xmm15
1316[ ]*[a-f0-9]+: 8f e8 78 ef 04 0f 00[ ]+vpcomltuq \(%rdi,%rcx,1\),%xmm0,%xmm0
1317[ ]*[a-f0-9]+: 8f e8 20 ef c0 00[ ]+vpcomltuq %xmm0,%xmm11,%xmm0
1318[ ]*[a-f0-9]+: 8f e8 20 ef 3c 0f 00[ ]+vpcomltuq \(%rdi,%rcx,1\),%xmm11,%xmm7
1319[ ]*[a-f0-9]+: 8f 68 78 ef 3c 0f 00[ ]+vpcomltuq \(%rdi,%rcx,1\),%xmm0,%xmm15
1320[ ]*[a-f0-9]+: 8f c8 78 ef 3c 24 00[ ]+vpcomltuq \(%r12\),%xmm0,%xmm7
1321[ ]*[a-f0-9]+: 8f e8 20 ed 3c 83 00[ ]+vpcomltuw \(%rbx,%rax,4\),%xmm11,%xmm7
1322[ ]*[a-f0-9]+: 8f 68 00 ed 3c 83 00[ ]+vpcomltuw \(%rbx,%rax,4\),%xmm15,%xmm15
1323[ ]*[a-f0-9]+: 8f c8 20 ed f8 00[ ]+vpcomltuw %xmm8,%xmm11,%xmm7
1324[ ]*[a-f0-9]+: 8f c8 00 ed 3c 24 00[ ]+vpcomltuw \(%r12\),%xmm15,%xmm7
1325[ ]*[a-f0-9]+: 8f e8 20 ed 3c 0f 00[ ]+vpcomltuw \(%rdi,%rcx,1\),%xmm11,%xmm7
1326[ ]*[a-f0-9]+: 8f 68 78 ed 3c 83 00[ ]+vpcomltuw \(%rbx,%rax,4\),%xmm0,%xmm15
1327[ ]*[a-f0-9]+: 8f e8 00 ed f8 00[ ]+vpcomltuw %xmm0,%xmm15,%xmm7
1328[ ]*[a-f0-9]+: 8f e8 78 ed c0 00[ ]+vpcomltuw %xmm0,%xmm0,%xmm0
1329[ ]*[a-f0-9]+: 8f e8 20 cd c0 00[ ]+vpcomltw %xmm0,%xmm11,%xmm0
1330[ ]*[a-f0-9]+: 8f 08 20 cd 7c 59 06 00[ ]+vpcomltw 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1331[ ]*[a-f0-9]+: 8f 48 20 cd ff 00[ ]+vpcomltw %xmm15,%xmm11,%xmm15
1332[ ]*[a-f0-9]+: 8f c8 20 cd 3c 24 00[ ]+vpcomltw \(%r12\),%xmm11,%xmm7
1333[ ]*[a-f0-9]+: 8f c8 00 cd 3c 24 00[ ]+vpcomltw \(%r12\),%xmm15,%xmm7
1334[ ]*[a-f0-9]+: 8f 88 00 cd 44 59 06 00[ ]+vpcomltw 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1335[ ]*[a-f0-9]+: 8f e8 20 cd 3c 0f 00[ ]+vpcomltw \(%rdi,%rcx,1\),%xmm11,%xmm7
1336[ ]*[a-f0-9]+: 8f c8 20 cd f8 00[ ]+vpcomltw %xmm8,%xmm11,%xmm7
1337[ ]*[a-f0-9]+: 8f e8 20 cc f8 01[ ]+vpcomleb %xmm0,%xmm11,%xmm7
1338[ ]*[a-f0-9]+: 8f 08 78 cc 7c 59 06 01[ ]+vpcomleb 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1339[ ]*[a-f0-9]+: 8f 68 78 cc f8 01[ ]+vpcomleb %xmm0,%xmm0,%xmm15
1340[ ]*[a-f0-9]+: 8f 48 78 cc ff 01[ ]+vpcomleb %xmm15,%xmm0,%xmm15
1341[ ]*[a-f0-9]+: 8f c8 20 cc 3c 24 01[ ]+vpcomleb \(%r12\),%xmm11,%xmm7
1342[ ]*[a-f0-9]+: 8f c8 20 cc ff 01[ ]+vpcomleb %xmm15,%xmm11,%xmm7
1343[ ]*[a-f0-9]+: 8f 68 20 cc 3c 83 01[ ]+vpcomleb \(%rbx,%rax,4\),%xmm11,%xmm15
1344[ ]*[a-f0-9]+: 8f 48 00 cc 3c 24 01[ ]+vpcomleb \(%r12\),%xmm15,%xmm15
1345[ ]*[a-f0-9]+: 8f 48 78 ce 3c 24 01[ ]+vpcomled \(%r12\),%xmm0,%xmm15
1346[ ]*[a-f0-9]+: 8f 48 20 ce f8 01[ ]+vpcomled %xmm8,%xmm11,%xmm15
1347[ ]*[a-f0-9]+: 8f 88 78 ce 44 59 06 01[ ]+vpcomled 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1348[ ]*[a-f0-9]+: 8f e8 00 ce f8 01[ ]+vpcomled %xmm0,%xmm15,%xmm7
1349[ ]*[a-f0-9]+: 8f 48 00 ce ff 01[ ]+vpcomled %xmm15,%xmm15,%xmm15
1350[ ]*[a-f0-9]+: 8f 88 78 ce 7c 59 06 01[ ]+vpcomled 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1351[ ]*[a-f0-9]+: 8f e8 20 ce 3c 83 01[ ]+vpcomled \(%rbx,%rax,4\),%xmm11,%xmm7
1352[ ]*[a-f0-9]+: 8f e8 78 ce 04 0f 01[ ]+vpcomled \(%rdi,%rcx,1\),%xmm0,%xmm0
1353[ ]*[a-f0-9]+: 8f 08 78 cf 7c 59 06 01[ ]+vpcomleq 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1354[ ]*[a-f0-9]+: 8f 68 78 cf f8 01[ ]+vpcomleq %xmm0,%xmm0,%xmm15
1355[ ]*[a-f0-9]+: 8f c8 20 cf c7 01[ ]+vpcomleq %xmm15,%xmm11,%xmm0
1356[ ]*[a-f0-9]+: 8f c8 20 cf c0 01[ ]+vpcomleq %xmm8,%xmm11,%xmm0
1357[ ]*[a-f0-9]+: 8f c8 78 cf c0 01[ ]+vpcomleq %xmm8,%xmm0,%xmm0
1358[ ]*[a-f0-9]+: 8f c8 00 cf f8 01[ ]+vpcomleq %xmm8,%xmm15,%xmm7
1359[ ]*[a-f0-9]+: 8f e8 20 cf f8 01[ ]+vpcomleq %xmm0,%xmm11,%xmm7
1360[ ]*[a-f0-9]+: 8f c8 78 cf c7 01[ ]+vpcomleq %xmm15,%xmm0,%xmm0
1361[ ]*[a-f0-9]+: 8f e8 00 ec 3c 0f 01[ ]+vpcomleub \(%rdi,%rcx,1\),%xmm15,%xmm7
1362[ ]*[a-f0-9]+: 8f 88 20 ec 44 59 06 01[ ]+vpcomleub 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1363[ ]*[a-f0-9]+: 8f 68 78 ec 3c 83 01[ ]+vpcomleub \(%rbx,%rax,4\),%xmm0,%xmm15
1364[ ]*[a-f0-9]+: 8f 88 78 ec 7c 59 06 01[ ]+vpcomleub 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1365[ ]*[a-f0-9]+: 8f e8 78 ec 3c 83 01[ ]+vpcomleub \(%rbx,%rax,4\),%xmm0,%xmm7
1366[ ]*[a-f0-9]+: 8f c8 20 ec 3c 24 01[ ]+vpcomleub \(%r12\),%xmm11,%xmm7
1367[ ]*[a-f0-9]+: 8f e8 00 ec c0 01[ ]+vpcomleub %xmm0,%xmm15,%xmm0
1368[ ]*[a-f0-9]+: 8f 68 20 ec 3c 83 01[ ]+vpcomleub \(%rbx,%rax,4\),%xmm11,%xmm15
1369[ ]*[a-f0-9]+: 8f 68 00 ee 3c 83 01[ ]+vpcomleud \(%rbx,%rax,4\),%xmm15,%xmm15
1370[ ]*[a-f0-9]+: 8f c8 00 ee c7 01[ ]+vpcomleud %xmm15,%xmm15,%xmm0
1371[ ]*[a-f0-9]+: 8f c8 78 ee c7 01[ ]+vpcomleud %xmm15,%xmm0,%xmm0
1372[ ]*[a-f0-9]+: 8f e8 78 ee 3c 83 01[ ]+vpcomleud \(%rbx,%rax,4\),%xmm0,%xmm7
1373[ ]*[a-f0-9]+: 8f c8 20 ee f8 01[ ]+vpcomleud %xmm8,%xmm11,%xmm7
1374[ ]*[a-f0-9]+: 8f e8 78 ee 04 83 01[ ]+vpcomleud \(%rbx,%rax,4\),%xmm0,%xmm0
1375[ ]*[a-f0-9]+: 8f 88 00 ee 44 59 06 01[ ]+vpcomleud 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1376[ ]*[a-f0-9]+: 8f 08 78 ee 7c 59 06 01[ ]+vpcomleud 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1377[ ]*[a-f0-9]+: 8f 48 20 ef f8 01[ ]+vpcomleuq %xmm8,%xmm11,%xmm15
1378[ ]*[a-f0-9]+: 8f 68 78 ef 3c 83 01[ ]+vpcomleuq \(%rbx,%rax,4\),%xmm0,%xmm15
1379[ ]*[a-f0-9]+: 8f 48 78 ef ff 01[ ]+vpcomleuq %xmm15,%xmm0,%xmm15
1380[ ]*[a-f0-9]+: 8f c8 00 ef ff 01[ ]+vpcomleuq %xmm15,%xmm15,%xmm7
1381[ ]*[a-f0-9]+: 8f c8 20 ef c7 01[ ]+vpcomleuq %xmm15,%xmm11,%xmm0
1382[ ]*[a-f0-9]+: 8f e8 78 ef 3c 83 01[ ]+vpcomleuq \(%rbx,%rax,4\),%xmm0,%xmm7
1383[ ]*[a-f0-9]+: 8f 08 00 ef 7c 59 06 01[ ]+vpcomleuq 0x6\(%r9,%r11,2\),%xmm15,%xmm15
1384[ ]*[a-f0-9]+: 8f c8 78 ef f8 01[ ]+vpcomleuq %xmm8,%xmm0,%xmm7
1385[ ]*[a-f0-9]+: 8f 08 20 ed 7c 59 06 01[ ]+vpcomleuw 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1386[ ]*[a-f0-9]+: 8f 88 20 ed 44 59 06 01[ ]+vpcomleuw 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1387[ ]*[a-f0-9]+: 8f c8 00 ed c0 01[ ]+vpcomleuw %xmm8,%xmm15,%xmm0
1388[ ]*[a-f0-9]+: 8f e8 00 ed 04 83 01[ ]+vpcomleuw \(%rbx,%rax,4\),%xmm15,%xmm0
1389[ ]*[a-f0-9]+: 8f 68 78 ed f8 01[ ]+vpcomleuw %xmm0,%xmm0,%xmm15
1390[ ]*[a-f0-9]+: 8f c8 78 ed 3c 24 01[ ]+vpcomleuw \(%r12\),%xmm0,%xmm7
1391[ ]*[a-f0-9]+: 8f c8 78 ed c0 01[ ]+vpcomleuw %xmm8,%xmm0,%xmm0
1392[ ]*[a-f0-9]+: 8f e8 20 ed 3c 83 01[ ]+vpcomleuw \(%rbx,%rax,4\),%xmm11,%xmm7
1393[ ]*[a-f0-9]+: 8f 68 00 cd 3c 0f 01[ ]+vpcomlew \(%rdi,%rcx,1\),%xmm15,%xmm15
1394[ ]*[a-f0-9]+: 8f e8 00 cd f8 01[ ]+vpcomlew %xmm0,%xmm15,%xmm7
1395[ ]*[a-f0-9]+: 8f e8 00 cd 04 83 01[ ]+vpcomlew \(%rbx,%rax,4\),%xmm15,%xmm0
1396[ ]*[a-f0-9]+: 8f c8 20 cd c0 01[ ]+vpcomlew %xmm8,%xmm11,%xmm0
1397[ ]*[a-f0-9]+: 8f e8 00 cd 3c 0f 01[ ]+vpcomlew \(%rdi,%rcx,1\),%xmm15,%xmm7
1398[ ]*[a-f0-9]+: 8f 68 20 cd 3c 0f 01[ ]+vpcomlew \(%rdi,%rcx,1\),%xmm11,%xmm15
1399[ ]*[a-f0-9]+: 8f c8 78 cd c0 01[ ]+vpcomlew %xmm8,%xmm0,%xmm0
1400[ ]*[a-f0-9]+: 8f c8 20 cd 3c 24 01[ ]+vpcomlew \(%r12\),%xmm11,%xmm7
1401[ ]*[a-f0-9]+: 8f 48 00 cc 3c 24 02[ ]+vpcomgtb \(%r12\),%xmm15,%xmm15
1402[ ]*[a-f0-9]+: 8f 68 00 cc 3c 83 02[ ]+vpcomgtb \(%rbx,%rax,4\),%xmm15,%xmm15
1403[ ]*[a-f0-9]+: 8f 48 20 cc 3c 24 02[ ]+vpcomgtb \(%r12\),%xmm11,%xmm15
1404[ ]*[a-f0-9]+: 8f c8 00 cc c7 02[ ]+vpcomgtb %xmm15,%xmm15,%xmm0
1405[ ]*[a-f0-9]+: 8f c8 00 cc c0 02[ ]+vpcomgtb %xmm8,%xmm15,%xmm0
1406[ ]*[a-f0-9]+: 8f e8 20 cc 3c 83 02[ ]+vpcomgtb \(%rbx,%rax,4\),%xmm11,%xmm7
1407[ ]*[a-f0-9]+: 8f 48 20 cc f8 02[ ]+vpcomgtb %xmm8,%xmm11,%xmm15
1408[ ]*[a-f0-9]+: 8f 48 00 cc f8 02[ ]+vpcomgtb %xmm8,%xmm15,%xmm15
1409[ ]*[a-f0-9]+: 8f 68 00 ce 3c 0f 02[ ]+vpcomgtd \(%rdi,%rcx,1\),%xmm15,%xmm15
1410[ ]*[a-f0-9]+: 8f 48 20 ce ff 02[ ]+vpcomgtd %xmm15,%xmm11,%xmm15
1411[ ]*[a-f0-9]+: 8f c8 78 ce f8 02[ ]+vpcomgtd %xmm8,%xmm0,%xmm7
1412[ ]*[a-f0-9]+: 8f 88 20 ce 44 59 06 02[ ]+vpcomgtd 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1413[ ]*[a-f0-9]+: 8f 68 20 ce 3c 83 02[ ]+vpcomgtd \(%rbx,%rax,4\),%xmm11,%xmm15
1414[ ]*[a-f0-9]+: 8f c8 78 ce c0 02[ ]+vpcomgtd %xmm8,%xmm0,%xmm0
1415[ ]*[a-f0-9]+: 8f e8 20 ce 04 0f 02[ ]+vpcomgtd \(%rdi,%rcx,1\),%xmm11,%xmm0
1416[ ]*[a-f0-9]+: 8f c8 78 ce c7 02[ ]+vpcomgtd %xmm15,%xmm0,%xmm0
1417[ ]*[a-f0-9]+: 8f c8 78 cf ff 02[ ]+vpcomgtq %xmm15,%xmm0,%xmm7
1418[ ]*[a-f0-9]+: 8f c8 78 cf c0 02[ ]+vpcomgtq %xmm8,%xmm0,%xmm0
1419[ ]*[a-f0-9]+: 8f e8 00 cf 3c 0f 02[ ]+vpcomgtq \(%rdi,%rcx,1\),%xmm15,%xmm7
1420[ ]*[a-f0-9]+: 8f c8 78 cf 3c 24 02[ ]+vpcomgtq \(%r12\),%xmm0,%xmm7
1421[ ]*[a-f0-9]+: 8f c8 00 cf ff 02[ ]+vpcomgtq %xmm15,%xmm15,%xmm7
1422[ ]*[a-f0-9]+: 8f 68 20 cf 3c 0f 02[ ]+vpcomgtq \(%rdi,%rcx,1\),%xmm11,%xmm15
1423[ ]*[a-f0-9]+: 8f 68 00 cf f8 02[ ]+vpcomgtq %xmm0,%xmm15,%xmm15
1424[ ]*[a-f0-9]+: 8f 48 20 cf 3c 24 02[ ]+vpcomgtq \(%r12\),%xmm11,%xmm15
1425[ ]*[a-f0-9]+: 8f 48 00 ec f8 02[ ]+vpcomgtub %xmm8,%xmm15,%xmm15
1426[ ]*[a-f0-9]+: 8f c8 20 ec c0 02[ ]+vpcomgtub %xmm8,%xmm11,%xmm0
1427[ ]*[a-f0-9]+: 8f 68 78 ec 3c 83 02[ ]+vpcomgtub \(%rbx,%rax,4\),%xmm0,%xmm15
1428[ ]*[a-f0-9]+: 8f 48 78 ec 3c 24 02[ ]+vpcomgtub \(%r12\),%xmm0,%xmm15
1429[ ]*[a-f0-9]+: 8f e8 20 ec 3c 83 02[ ]+vpcomgtub \(%rbx,%rax,4\),%xmm11,%xmm7
1430[ ]*[a-f0-9]+: 8f c8 00 ec c0 02[ ]+vpcomgtub %xmm8,%xmm15,%xmm0
1431[ ]*[a-f0-9]+: 8f 48 00 ec ff 02[ ]+vpcomgtub %xmm15,%xmm15,%xmm15
1432[ ]*[a-f0-9]+: 8f 88 00 ec 44 59 06 02[ ]+vpcomgtub 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1433[ ]*[a-f0-9]+: 8f c8 00 ee 04 24 02[ ]+vpcomgtud \(%r12\),%xmm15,%xmm0
1434[ ]*[a-f0-9]+: 8f 48 20 ee ff 02[ ]+vpcomgtud %xmm15,%xmm11,%xmm15
1435[ ]*[a-f0-9]+: 8f c8 78 ee c7 02[ ]+vpcomgtud %xmm15,%xmm0,%xmm0
1436[ ]*[a-f0-9]+: 8f 08 78 ee 7c 59 06 02[ ]+vpcomgtud 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1437[ ]*[a-f0-9]+: 8f e8 20 ee 04 83 02[ ]+vpcomgtud \(%rbx,%rax,4\),%xmm11,%xmm0
1438[ ]*[a-f0-9]+: 8f e8 78 ee c0 02[ ]+vpcomgtud %xmm0,%xmm0,%xmm0
1439[ ]*[a-f0-9]+: 8f e8 78 ee 04 0f 02[ ]+vpcomgtud \(%rdi,%rcx,1\),%xmm0,%xmm0
1440[ ]*[a-f0-9]+: 8f 48 00 ee f8 02[ ]+vpcomgtud %xmm8,%xmm15,%xmm15
1441[ ]*[a-f0-9]+: 8f c8 00 ef ff 02[ ]+vpcomgtuq %xmm15,%xmm15,%xmm7
1442[ ]*[a-f0-9]+: 8f e8 20 ef 3c 83 02[ ]+vpcomgtuq \(%rbx,%rax,4\),%xmm11,%xmm7
1443[ ]*[a-f0-9]+: 8f c8 20 ef 04 24 02[ ]+vpcomgtuq \(%r12\),%xmm11,%xmm0
1444[ ]*[a-f0-9]+: 8f 08 20 ef 7c 59 06 02[ ]+vpcomgtuq 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1445[ ]*[a-f0-9]+: 8f 48 20 ef f8 02[ ]+vpcomgtuq %xmm8,%xmm11,%xmm15
1446[ ]*[a-f0-9]+: 8f e8 78 ef c0 02[ ]+vpcomgtuq %xmm0,%xmm0,%xmm0
1447[ ]*[a-f0-9]+: 8f 68 00 ef f8 02[ ]+vpcomgtuq %xmm0,%xmm15,%xmm15
1448[ ]*[a-f0-9]+: 8f 48 20 ef 3c 24 02[ ]+vpcomgtuq \(%r12\),%xmm11,%xmm15
1449[ ]*[a-f0-9]+: 8f e8 78 ed 04 0f 02[ ]+vpcomgtuw \(%rdi,%rcx,1\),%xmm0,%xmm0
1450[ ]*[a-f0-9]+: 8f 88 20 ed 44 59 06 02[ ]+vpcomgtuw 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1451[ ]*[a-f0-9]+: 8f c8 20 ed c7 02[ ]+vpcomgtuw %xmm15,%xmm11,%xmm0
1452[ ]*[a-f0-9]+: 8f 48 78 ed 3c 24 02[ ]+vpcomgtuw \(%r12\),%xmm0,%xmm15
1453[ ]*[a-f0-9]+: 8f e8 00 ed f8 02[ ]+vpcomgtuw %xmm0,%xmm15,%xmm7
1454[ ]*[a-f0-9]+: 8f c8 78 ed ff 02[ ]+vpcomgtuw %xmm15,%xmm0,%xmm7
1455[ ]*[a-f0-9]+: 8f c8 20 ed ff 02[ ]+vpcomgtuw %xmm15,%xmm11,%xmm7
1456[ ]*[a-f0-9]+: 8f c8 00 ed ff 02[ ]+vpcomgtuw %xmm15,%xmm15,%xmm7
1457[ ]*[a-f0-9]+: 8f c8 78 cd c0 02[ ]+vpcomgtw %xmm8,%xmm0,%xmm0
1458[ ]*[a-f0-9]+: 8f 68 00 cd 3c 83 02[ ]+vpcomgtw \(%rbx,%rax,4\),%xmm15,%xmm15
1459[ ]*[a-f0-9]+: 8f c8 78 cd ff 02[ ]+vpcomgtw %xmm15,%xmm0,%xmm7
1460[ ]*[a-f0-9]+: 8f c8 00 cd ff 02[ ]+vpcomgtw %xmm15,%xmm15,%xmm7
1461[ ]*[a-f0-9]+: 8f 68 78 cd 3c 83 02[ ]+vpcomgtw \(%rbx,%rax,4\),%xmm0,%xmm15
1462[ ]*[a-f0-9]+: 8f c8 00 cd 3c 24 02[ ]+vpcomgtw \(%r12\),%xmm15,%xmm7
1463[ ]*[a-f0-9]+: 8f 88 20 cd 7c 59 06 02[ ]+vpcomgtw 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1464[ ]*[a-f0-9]+: 8f 68 20 cd 3c 0f 02[ ]+vpcomgtw \(%rdi,%rcx,1\),%xmm11,%xmm15
1465[ ]*[a-f0-9]+: 8f e8 00 cc 04 83 03[ ]+vpcomgeb \(%rbx,%rax,4\),%xmm15,%xmm0
1466[ ]*[a-f0-9]+: 8f 88 78 cc 7c 59 06 03[ ]+vpcomgeb 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1467[ ]*[a-f0-9]+: 8f 68 00 cc 3c 0f 03[ ]+vpcomgeb \(%rdi,%rcx,1\),%xmm15,%xmm15
1468[ ]*[a-f0-9]+: 8f e8 00 cc 3c 0f 03[ ]+vpcomgeb \(%rdi,%rcx,1\),%xmm15,%xmm7
1469[ ]*[a-f0-9]+: 8f 48 78 cc ff 03[ ]+vpcomgeb %xmm15,%xmm0,%xmm15
1470[ ]*[a-f0-9]+: 8f 48 20 cc ff 03[ ]+vpcomgeb %xmm15,%xmm11,%xmm15
1471[ ]*[a-f0-9]+: 8f 68 20 cc f8 03[ ]+vpcomgeb %xmm0,%xmm11,%xmm15
1472[ ]*[a-f0-9]+: 8f c8 00 cc c0 03[ ]+vpcomgeb %xmm8,%xmm15,%xmm0
1473[ ]*[a-f0-9]+: 8f 48 20 ce ff 03[ ]+vpcomged %xmm15,%xmm11,%xmm15
1474[ ]*[a-f0-9]+: 8f e8 00 ce f8 03[ ]+vpcomged %xmm0,%xmm15,%xmm7
1475[ ]*[a-f0-9]+: 8f e8 78 ce 3c 83 03[ ]+vpcomged \(%rbx,%rax,4\),%xmm0,%xmm7
1476[ ]*[a-f0-9]+: 8f c8 78 ce c7 03[ ]+vpcomged %xmm15,%xmm0,%xmm0
1477[ ]*[a-f0-9]+: 8f 48 78 ce ff 03[ ]+vpcomged %xmm15,%xmm0,%xmm15
1478[ ]*[a-f0-9]+: 8f 68 20 ce 3c 0f 03[ ]+vpcomged \(%rdi,%rcx,1\),%xmm11,%xmm15
1479[ ]*[a-f0-9]+: 8f 68 20 ce 3c 83 03[ ]+vpcomged \(%rbx,%rax,4\),%xmm11,%xmm15
1480[ ]*[a-f0-9]+: 8f 48 78 ce f8 03[ ]+vpcomged %xmm8,%xmm0,%xmm15
1481[ ]*[a-f0-9]+: 8f c8 20 cf f8 03[ ]+vpcomgeq %xmm8,%xmm11,%xmm7
1482[ ]*[a-f0-9]+: 8f c8 00 cf ff 03[ ]+vpcomgeq %xmm15,%xmm15,%xmm7
1483[ ]*[a-f0-9]+: 8f c8 00 cf f8 03[ ]+vpcomgeq %xmm8,%xmm15,%xmm7
1484[ ]*[a-f0-9]+: 8f c8 20 cf c7 03[ ]+vpcomgeq %xmm15,%xmm11,%xmm0
1485[ ]*[a-f0-9]+: 8f 88 20 cf 44 59 06 03[ ]+vpcomgeq 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1486[ ]*[a-f0-9]+: 8f 88 00 cf 44 59 06 03[ ]+vpcomgeq 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1487[ ]*[a-f0-9]+: 8f 48 20 cf ff 03[ ]+vpcomgeq %xmm15,%xmm11,%xmm15
1488[ ]*[a-f0-9]+: 8f e8 00 cf 04 83 03[ ]+vpcomgeq \(%rbx,%rax,4\),%xmm15,%xmm0
1489[ ]*[a-f0-9]+: 8f c8 00 ec 3c 24 03[ ]+vpcomgeub \(%r12\),%xmm15,%xmm7
1490[ ]*[a-f0-9]+: 8f 08 20 ec 7c 59 06 03[ ]+vpcomgeub 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1491[ ]*[a-f0-9]+: 8f c8 00 ec 04 24 03[ ]+vpcomgeub \(%r12\),%xmm15,%xmm0
1492[ ]*[a-f0-9]+: 8f e8 00 ec 04 83 03[ ]+vpcomgeub \(%rbx,%rax,4\),%xmm15,%xmm0
1493[ ]*[a-f0-9]+: 8f 88 00 ec 7c 59 06 03[ ]+vpcomgeub 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1494[ ]*[a-f0-9]+: 8f e8 78 ec f8 03[ ]+vpcomgeub %xmm0,%xmm0,%xmm7
1495[ ]*[a-f0-9]+: 8f e8 00 ec c0 03[ ]+vpcomgeub %xmm0,%xmm15,%xmm0
1496[ ]*[a-f0-9]+: 8f c8 20 ec ff 03[ ]+vpcomgeub %xmm15,%xmm11,%xmm7
1497[ ]*[a-f0-9]+: 8f c8 78 ee ff 03[ ]+vpcomgeud %xmm15,%xmm0,%xmm7
1498[ ]*[a-f0-9]+: 8f 68 00 ee 3c 0f 03[ ]+vpcomgeud \(%rdi,%rcx,1\),%xmm15,%xmm15
1499[ ]*[a-f0-9]+: 8f e8 20 ee 04 83 03[ ]+vpcomgeud \(%rbx,%rax,4\),%xmm11,%xmm0
1500[ ]*[a-f0-9]+: 8f e8 00 ee 04 83 03[ ]+vpcomgeud \(%rbx,%rax,4\),%xmm15,%xmm0
1501[ ]*[a-f0-9]+: 8f 68 20 ee f8 03[ ]+vpcomgeud %xmm0,%xmm11,%xmm15
1502[ ]*[a-f0-9]+: 8f 48 78 ee f8 03[ ]+vpcomgeud %xmm8,%xmm0,%xmm15
1503[ ]*[a-f0-9]+: 8f c8 00 ee 04 24 03[ ]+vpcomgeud \(%r12\),%xmm15,%xmm0
1504[ ]*[a-f0-9]+: 8f e8 78 ee 04 0f 03[ ]+vpcomgeud \(%rdi,%rcx,1\),%xmm0,%xmm0
1505[ ]*[a-f0-9]+: 8f c8 00 ef c0 03[ ]+vpcomgeuq %xmm8,%xmm15,%xmm0
1506[ ]*[a-f0-9]+: 8f 48 20 ef 3c 24 03[ ]+vpcomgeuq \(%r12\),%xmm11,%xmm15
1507[ ]*[a-f0-9]+: 8f c8 78 ef ff 03[ ]+vpcomgeuq %xmm15,%xmm0,%xmm7
1508[ ]*[a-f0-9]+: 8f 68 20 ef f8 03[ ]+vpcomgeuq %xmm0,%xmm11,%xmm15
1509[ ]*[a-f0-9]+: 8f e8 78 ef 04 0f 03[ ]+vpcomgeuq \(%rdi,%rcx,1\),%xmm0,%xmm0
1510[ ]*[a-f0-9]+: 8f e8 20 ef f8 03[ ]+vpcomgeuq %xmm0,%xmm11,%xmm7
1511[ ]*[a-f0-9]+: 8f c8 78 ef 04 24 03[ ]+vpcomgeuq \(%r12\),%xmm0,%xmm0
1512[ ]*[a-f0-9]+: 8f 88 00 ef 7c 59 06 03[ ]+vpcomgeuq 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1513[ ]*[a-f0-9]+: 8f 48 00 ed f8 03[ ]+vpcomgeuw %xmm8,%xmm15,%xmm15
1514[ ]*[a-f0-9]+: 8f c8 78 ed ff 03[ ]+vpcomgeuw %xmm15,%xmm0,%xmm7
1515[ ]*[a-f0-9]+: 8f 88 00 ed 7c 59 06 03[ ]+vpcomgeuw 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1516[ ]*[a-f0-9]+: 8f e8 20 ed f8 03[ ]+vpcomgeuw %xmm0,%xmm11,%xmm7
1517[ ]*[a-f0-9]+: 8f 48 00 ed 3c 24 03[ ]+vpcomgeuw \(%r12\),%xmm15,%xmm15
1518[ ]*[a-f0-9]+: 8f e8 20 ed 3c 0f 03[ ]+vpcomgeuw \(%rdi,%rcx,1\),%xmm11,%xmm7
1519[ ]*[a-f0-9]+: 8f c8 00 ed c7 03[ ]+vpcomgeuw %xmm15,%xmm15,%xmm0
1520[ ]*[a-f0-9]+: 8f c8 00 ed f8 03[ ]+vpcomgeuw %xmm8,%xmm15,%xmm7
1521[ ]*[a-f0-9]+: 8f 68 78 cd 3c 83 03[ ]+vpcomgew \(%rbx,%rax,4\),%xmm0,%xmm15
1522[ ]*[a-f0-9]+: 8f e8 78 cd c0 03[ ]+vpcomgew %xmm0,%xmm0,%xmm0
1523[ ]*[a-f0-9]+: 8f e8 00 cd f8 03[ ]+vpcomgew %xmm0,%xmm15,%xmm7
1524[ ]*[a-f0-9]+: 8f 68 78 cd f8 03[ ]+vpcomgew %xmm0,%xmm0,%xmm15
1525[ ]*[a-f0-9]+: 8f 08 20 cd 7c 59 06 03[ ]+vpcomgew 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1526[ ]*[a-f0-9]+: 8f e8 78 cd f8 03[ ]+vpcomgew %xmm0,%xmm0,%xmm7
1527[ ]*[a-f0-9]+: 8f 68 00 cd 3c 83 03[ ]+vpcomgew \(%rbx,%rax,4\),%xmm15,%xmm15
1528[ ]*[a-f0-9]+: 8f e8 78 cd 04 83 03[ ]+vpcomgew \(%rbx,%rax,4\),%xmm0,%xmm0
1529[ ]*[a-f0-9]+: 8f 48 20 cc 3c 24 04[ ]+vpcomeqb \(%r12\),%xmm11,%xmm15
1530[ ]*[a-f0-9]+: 8f c8 00 cc 3c 24 04[ ]+vpcomeqb \(%r12\),%xmm15,%xmm7
1531[ ]*[a-f0-9]+: 8f c8 20 cc ff 04[ ]+vpcomeqb %xmm15,%xmm11,%xmm7
1532[ ]*[a-f0-9]+: 8f e8 00 cc c0 04[ ]+vpcomeqb %xmm0,%xmm15,%xmm0
1533[ ]*[a-f0-9]+: 8f 88 78 cc 7c 59 06 04[ ]+vpcomeqb 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1534[ ]*[a-f0-9]+: 8f e8 00 cc f8 04[ ]+vpcomeqb %xmm0,%xmm15,%xmm7
1535[ ]*[a-f0-9]+: 8f e8 78 cc 3c 0f 04[ ]+vpcomeqb \(%rdi,%rcx,1\),%xmm0,%xmm7
1536[ ]*[a-f0-9]+: 8f 08 20 cc 7c 59 06 04[ ]+vpcomeqb 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1537[ ]*[a-f0-9]+: 8f c8 20 ce c7 04[ ]+vpcomeqd %xmm15,%xmm11,%xmm0
1538[ ]*[a-f0-9]+: 8f c8 78 ce c0 04[ ]+vpcomeqd %xmm8,%xmm0,%xmm0
1539[ ]*[a-f0-9]+: 8f e8 78 ce 04 83 04[ ]+vpcomeqd \(%rbx,%rax,4\),%xmm0,%xmm0
1540[ ]*[a-f0-9]+: 8f c8 20 ce f8 04[ ]+vpcomeqd %xmm8,%xmm11,%xmm7
1541[ ]*[a-f0-9]+: 8f c8 78 ce f8 04[ ]+vpcomeqd %xmm8,%xmm0,%xmm7
1542[ ]*[a-f0-9]+: 8f c8 78 ce 3c 24 04[ ]+vpcomeqd \(%r12\),%xmm0,%xmm7
1543[ ]*[a-f0-9]+: 8f 88 78 ce 44 59 06 04[ ]+vpcomeqd 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1544[ ]*[a-f0-9]+: 8f 08 00 ce 7c 59 06 04[ ]+vpcomeqd 0x6\(%r9,%r11,2\),%xmm15,%xmm15
1545[ ]*[a-f0-9]+: 8f c8 78 cf f8 04[ ]+vpcomeqq %xmm8,%xmm0,%xmm7
1546[ ]*[a-f0-9]+: 8f 48 78 cf f8 04[ ]+vpcomeqq %xmm8,%xmm0,%xmm15
1547[ ]*[a-f0-9]+: 8f 88 00 cf 44 59 06 04[ ]+vpcomeqq 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1548[ ]*[a-f0-9]+: 8f e8 00 cf 3c 83 04[ ]+vpcomeqq \(%rbx,%rax,4\),%xmm15,%xmm7
1549[ ]*[a-f0-9]+: 8f 48 78 cf 3c 24 04[ ]+vpcomeqq \(%r12\),%xmm0,%xmm15
1550[ ]*[a-f0-9]+: 8f c8 20 cf f8 04[ ]+vpcomeqq %xmm8,%xmm11,%xmm7
1551[ ]*[a-f0-9]+: 8f 48 00 cf ff 04[ ]+vpcomeqq %xmm15,%xmm15,%xmm15
1552[ ]*[a-f0-9]+: 8f 08 78 cf 7c 59 06 04[ ]+vpcomeqq 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1553[ ]*[a-f0-9]+: 8f 68 78 ec f8 04[ ]+vpcomequb %xmm0,%xmm0,%xmm15
1554[ ]*[a-f0-9]+: 8f c8 20 ec ff 04[ ]+vpcomequb %xmm15,%xmm11,%xmm7
1555[ ]*[a-f0-9]+: 8f e8 20 ec c0 04[ ]+vpcomequb %xmm0,%xmm11,%xmm0
1556[ ]*[a-f0-9]+: 8f c8 78 ec 04 24 04[ ]+vpcomequb \(%r12\),%xmm0,%xmm0
1557[ ]*[a-f0-9]+: 8f 48 00 ec 3c 24 04[ ]+vpcomequb \(%r12\),%xmm15,%xmm15
1558[ ]*[a-f0-9]+: 8f e8 78 ec 3c 83 04[ ]+vpcomequb \(%rbx,%rax,4\),%xmm0,%xmm7
1559[ ]*[a-f0-9]+: 8f c8 20 ec 04 24 04[ ]+vpcomequb \(%r12\),%xmm11,%xmm0
1560[ ]*[a-f0-9]+: 8f c8 00 ec f8 04[ ]+vpcomequb %xmm8,%xmm15,%xmm7
1561[ ]*[a-f0-9]+: 8f e8 78 ee 3c 83 04[ ]+vpcomequd \(%rbx,%rax,4\),%xmm0,%xmm7
1562[ ]*[a-f0-9]+: 8f 08 00 ee 7c 59 06 04[ ]+vpcomequd 0x6\(%r9,%r11,2\),%xmm15,%xmm15
1563[ ]*[a-f0-9]+: 8f e8 00 ee 04 83 04[ ]+vpcomequd \(%rbx,%rax,4\),%xmm15,%xmm0
1564[ ]*[a-f0-9]+: 8f 68 78 ee 3c 83 04[ ]+vpcomequd \(%rbx,%rax,4\),%xmm0,%xmm15
1565[ ]*[a-f0-9]+: 8f c8 20 ee c7 04[ ]+vpcomequd %xmm15,%xmm11,%xmm0
1566[ ]*[a-f0-9]+: 8f 68 00 ee 3c 83 04[ ]+vpcomequd \(%rbx,%rax,4\),%xmm15,%xmm15
1567[ ]*[a-f0-9]+: 8f c8 20 ee 3c 24 04[ ]+vpcomequd \(%r12\),%xmm11,%xmm7
1568[ ]*[a-f0-9]+: 8f c8 78 ee 3c 24 04[ ]+vpcomequd \(%r12\),%xmm0,%xmm7
1569[ ]*[a-f0-9]+: 8f 48 78 ef 3c 24 04[ ]+vpcomequq \(%r12\),%xmm0,%xmm15
1570[ ]*[a-f0-9]+: 8f c8 78 ef ff 04[ ]+vpcomequq %xmm15,%xmm0,%xmm7
1571[ ]*[a-f0-9]+: 8f 48 20 ef 3c 24 04[ ]+vpcomequq \(%r12\),%xmm11,%xmm15
1572[ ]*[a-f0-9]+: 8f e8 78 ef 3c 0f 04[ ]+vpcomequq \(%rdi,%rcx,1\),%xmm0,%xmm7
1573[ ]*[a-f0-9]+: 8f 68 00 ef f8 04[ ]+vpcomequq %xmm0,%xmm15,%xmm15
1574[ ]*[a-f0-9]+: 8f c8 78 ef c7 04[ ]+vpcomequq %xmm15,%xmm0,%xmm0
1575[ ]*[a-f0-9]+: 8f e8 20 ef 3c 0f 04[ ]+vpcomequq \(%rdi,%rcx,1\),%xmm11,%xmm7
1576[ ]*[a-f0-9]+: 8f e8 78 ef f8 04[ ]+vpcomequq %xmm0,%xmm0,%xmm7
1577[ ]*[a-f0-9]+: 8f 68 00 ed 3c 0f 04[ ]+vpcomequw \(%rdi,%rcx,1\),%xmm15,%xmm15
1578[ ]*[a-f0-9]+: 8f c8 20 ed ff 04[ ]+vpcomequw %xmm15,%xmm11,%xmm7
1579[ ]*[a-f0-9]+: 8f 08 78 ed 7c 59 06 04[ ]+vpcomequw 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1580[ ]*[a-f0-9]+: 8f 68 78 ed 3c 0f 04[ ]+vpcomequw \(%rdi,%rcx,1\),%xmm0,%xmm15
1581[ ]*[a-f0-9]+: 8f c8 00 ed 04 24 04[ ]+vpcomequw \(%r12\),%xmm15,%xmm0
1582[ ]*[a-f0-9]+: 8f c8 78 ed c0 04[ ]+vpcomequw %xmm8,%xmm0,%xmm0
1583[ ]*[a-f0-9]+: 8f 48 78 ed 3c 24 04[ ]+vpcomequw \(%r12\),%xmm0,%xmm15
1584[ ]*[a-f0-9]+: 8f 68 78 ed 3c 83 04[ ]+vpcomequw \(%rbx,%rax,4\),%xmm0,%xmm15
1585[ ]*[a-f0-9]+: 8f 88 20 cd 7c 59 06 04[ ]+vpcomeqw 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1586[ ]*[a-f0-9]+: 8f e8 00 cd c0 04[ ]+vpcomeqw %xmm0,%xmm15,%xmm0
1587[ ]*[a-f0-9]+: 8f c8 20 cd c7 04[ ]+vpcomeqw %xmm15,%xmm11,%xmm0
1588[ ]*[a-f0-9]+: 8f e8 78 cd f8 04[ ]+vpcomeqw %xmm0,%xmm0,%xmm7
1589[ ]*[a-f0-9]+: 8f 68 78 cd 3c 83 04[ ]+vpcomeqw \(%rbx,%rax,4\),%xmm0,%xmm15
1590[ ]*[a-f0-9]+: 8f e8 00 cd 3c 83 04[ ]+vpcomeqw \(%rbx,%rax,4\),%xmm15,%xmm7
1591[ ]*[a-f0-9]+: 8f c8 78 cd c7 04[ ]+vpcomeqw %xmm15,%xmm0,%xmm0
1592[ ]*[a-f0-9]+: 8f 68 00 cd 3c 83 04[ ]+vpcomeqw \(%rbx,%rax,4\),%xmm15,%xmm15
1593[ ]*[a-f0-9]+: 8f 48 20 cc 3c 24 05[ ]+vpcomneqb \(%r12\),%xmm11,%xmm15
1594[ ]*[a-f0-9]+: 8f 88 20 cc 7c 59 06 05[ ]+vpcomneqb 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1595[ ]*[a-f0-9]+: 8f e8 78 cc c0 05[ ]+vpcomneqb %xmm0,%xmm0,%xmm0
1596[ ]*[a-f0-9]+: 8f c8 78 cc 3c 24 05[ ]+vpcomneqb \(%r12\),%xmm0,%xmm7
1597[ ]*[a-f0-9]+: 8f 68 78 cc 3c 83 05[ ]+vpcomneqb \(%rbx,%rax,4\),%xmm0,%xmm15
1598[ ]*[a-f0-9]+: 8f 68 00 cc 3c 83 05[ ]+vpcomneqb \(%rbx,%rax,4\),%xmm15,%xmm15
1599[ ]*[a-f0-9]+: 8f c8 20 cc 04 24 05[ ]+vpcomneqb \(%r12\),%xmm11,%xmm0
1600[ ]*[a-f0-9]+: 8f c8 20 cc f8 05[ ]+vpcomneqb %xmm8,%xmm11,%xmm7
1601[ ]*[a-f0-9]+: 8f e8 00 ce 3c 83 05[ ]+vpcomneqd \(%rbx,%rax,4\),%xmm15,%xmm7
1602[ ]*[a-f0-9]+: 8f 48 78 ce f8 05[ ]+vpcomneqd %xmm8,%xmm0,%xmm15
1603[ ]*[a-f0-9]+: 8f c8 00 ce c0 05[ ]+vpcomneqd %xmm8,%xmm15,%xmm0
1604[ ]*[a-f0-9]+: 8f 88 78 ce 7c 59 06 05[ ]+vpcomneqd 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1605[ ]*[a-f0-9]+: 8f c8 20 ce c7 05[ ]+vpcomneqd %xmm15,%xmm11,%xmm0
1606[ ]*[a-f0-9]+: 8f e8 78 ce 04 0f 05[ ]+vpcomneqd \(%rdi,%rcx,1\),%xmm0,%xmm0
1607[ ]*[a-f0-9]+: 8f c8 78 ce 04 24 05[ ]+vpcomneqd \(%r12\),%xmm0,%xmm0
1608[ ]*[a-f0-9]+: 8f c8 78 ce f8 05[ ]+vpcomneqd %xmm8,%xmm0,%xmm7
1609[ ]*[a-f0-9]+: 8f 48 78 cf 3c 24 05[ ]+vpcomneqq \(%r12\),%xmm0,%xmm15
1610[ ]*[a-f0-9]+: 8f c8 00 cf c0 05[ ]+vpcomneqq %xmm8,%xmm15,%xmm0
1611[ ]*[a-f0-9]+: 8f e8 20 cf 3c 0f 05[ ]+vpcomneqq \(%rdi,%rcx,1\),%xmm11,%xmm7
1612[ ]*[a-f0-9]+: 8f 88 78 cf 7c 59 06 05[ ]+vpcomneqq 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1613[ ]*[a-f0-9]+: 8f 68 78 cf f8 05[ ]+vpcomneqq %xmm0,%xmm0,%xmm15
1614[ ]*[a-f0-9]+: 8f 68 20 cf f8 05[ ]+vpcomneqq %xmm0,%xmm11,%xmm15
1615[ ]*[a-f0-9]+: 8f e8 78 cf c0 05[ ]+vpcomneqq %xmm0,%xmm0,%xmm0
1616[ ]*[a-f0-9]+: 8f 68 20 cf 3c 83 05[ ]+vpcomneqq \(%rbx,%rax,4\),%xmm11,%xmm15
1617[ ]*[a-f0-9]+: 8f 68 20 ec 3c 0f 05[ ]+vpcomnequb \(%rdi,%rcx,1\),%xmm11,%xmm15
1618[ ]*[a-f0-9]+: 8f e8 78 ec 04 83 05[ ]+vpcomnequb \(%rbx,%rax,4\),%xmm0,%xmm0
1619[ ]*[a-f0-9]+: 8f c8 20 ec ff 05[ ]+vpcomnequb %xmm15,%xmm11,%xmm7
1620[ ]*[a-f0-9]+: 8f 48 20 ec f8 05[ ]+vpcomnequb %xmm8,%xmm11,%xmm15
1621[ ]*[a-f0-9]+: 8f e8 78 ec c0 05[ ]+vpcomnequb %xmm0,%xmm0,%xmm0
1622[ ]*[a-f0-9]+: 8f 88 00 ec 44 59 06 05[ ]+vpcomnequb 0x6\(%r9,%r11,2\),%xmm15,%xmm0
1623[ ]*[a-f0-9]+: 8f 48 00 ec f8 05[ ]+vpcomnequb %xmm8,%xmm15,%xmm15
1624[ ]*[a-f0-9]+: 8f c8 78 ec ff 05[ ]+vpcomnequb %xmm15,%xmm0,%xmm7
1625[ ]*[a-f0-9]+: 8f 68 20 ee 3c 83 05[ ]+vpcomnequd \(%rbx,%rax,4\),%xmm11,%xmm15
1626[ ]*[a-f0-9]+: 8f 48 00 ee 3c 24 05[ ]+vpcomnequd \(%r12\),%xmm15,%xmm15
1627[ ]*[a-f0-9]+: 8f 48 20 ee ff 05[ ]+vpcomnequd %xmm15,%xmm11,%xmm15
1628[ ]*[a-f0-9]+: 8f c8 00 ee ff 05[ ]+vpcomnequd %xmm15,%xmm15,%xmm7
1629[ ]*[a-f0-9]+: 8f 68 00 ee f8 05[ ]+vpcomnequd %xmm0,%xmm15,%xmm15
1630[ ]*[a-f0-9]+: 8f c8 20 ee ff 05[ ]+vpcomnequd %xmm15,%xmm11,%xmm7
1631[ ]*[a-f0-9]+: 8f 48 78 ee 3c 24 05[ ]+vpcomnequd \(%r12\),%xmm0,%xmm15
1632[ ]*[a-f0-9]+: 8f c8 78 ee 04 24 05[ ]+vpcomnequd \(%r12\),%xmm0,%xmm0
1633[ ]*[a-f0-9]+: 8f 88 00 ef 7c 59 06 05[ ]+vpcomnequq 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1634[ ]*[a-f0-9]+: 8f c8 78 ef 3c 24 05[ ]+vpcomnequq \(%r12\),%xmm0,%xmm7
1635[ ]*[a-f0-9]+: 8f 68 20 ef f8 05[ ]+vpcomnequq %xmm0,%xmm11,%xmm15
1636[ ]*[a-f0-9]+: 8f 68 20 ef 3c 0f 05[ ]+vpcomnequq \(%rdi,%rcx,1\),%xmm11,%xmm15
1637[ ]*[a-f0-9]+: 8f 68 00 ef 3c 83 05[ ]+vpcomnequq \(%rbx,%rax,4\),%xmm15,%xmm15
1638[ ]*[a-f0-9]+: 8f c8 78 ef c0 05[ ]+vpcomnequq %xmm8,%xmm0,%xmm0
1639[ ]*[a-f0-9]+: 8f 48 00 ef 3c 24 05[ ]+vpcomnequq \(%r12\),%xmm15,%xmm15
1640[ ]*[a-f0-9]+: 8f 48 00 ef ff 05[ ]+vpcomnequq %xmm15,%xmm15,%xmm15
1641[ ]*[a-f0-9]+: 8f c8 78 ed ff 05[ ]+vpcomnequw %xmm15,%xmm0,%xmm7
1642[ ]*[a-f0-9]+: 8f c8 78 ed f8 05[ ]+vpcomnequw %xmm8,%xmm0,%xmm7
1643[ ]*[a-f0-9]+: 8f c8 00 ed ff 05[ ]+vpcomnequw %xmm15,%xmm15,%xmm7
1644[ ]*[a-f0-9]+: 8f c8 20 ed f8 05[ ]+vpcomnequw %xmm8,%xmm11,%xmm7
1645[ ]*[a-f0-9]+: 8f 48 78 ed f8 05[ ]+vpcomnequw %xmm8,%xmm0,%xmm15
1646[ ]*[a-f0-9]+: 8f 68 00 ed 3c 83 05[ ]+vpcomnequw \(%rbx,%rax,4\),%xmm15,%xmm15
1647[ ]*[a-f0-9]+: 8f 08 20 ed 7c 59 06 05[ ]+vpcomnequw 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1648[ ]*[a-f0-9]+: 8f 48 78 ed ff 05[ ]+vpcomnequw %xmm15,%xmm0,%xmm15
1649[ ]*[a-f0-9]+: 8f e8 20 cd 04 83 05[ ]+vpcomneqw \(%rbx,%rax,4\),%xmm11,%xmm0
1650[ ]*[a-f0-9]+: 8f c8 20 cd 04 24 05[ ]+vpcomneqw \(%r12\),%xmm11,%xmm0
1651[ ]*[a-f0-9]+: 8f c8 20 cd c7 05[ ]+vpcomneqw %xmm15,%xmm11,%xmm0
1652[ ]*[a-f0-9]+: 8f 48 00 cd 3c 24 05[ ]+vpcomneqw \(%r12\),%xmm15,%xmm15
1653[ ]*[a-f0-9]+: 8f e8 00 cd f8 05[ ]+vpcomneqw %xmm0,%xmm15,%xmm7
1654[ ]*[a-f0-9]+: 8f 68 78 cd f8 05[ ]+vpcomneqw %xmm0,%xmm0,%xmm15
1655[ ]*[a-f0-9]+: 8f c8 78 cd ff 05[ ]+vpcomneqw %xmm15,%xmm0,%xmm7
1656[ ]*[a-f0-9]+: 8f 68 20 cd 3c 0f 05[ ]+vpcomneqw \(%rdi,%rcx,1\),%xmm11,%xmm15
1657[ ]*[a-f0-9]+: 8f 68 20 cc 3c 0f 06[ ]+vpcomfalseb \(%rdi,%rcx,1\),%xmm11,%xmm15
1658[ ]*[a-f0-9]+: 8f e8 00 cc f8 06[ ]+vpcomfalseb %xmm0,%xmm15,%xmm7
1659[ ]*[a-f0-9]+: 8f c8 78 cc 04 24 06[ ]+vpcomfalseb \(%r12\),%xmm0,%xmm0
1660[ ]*[a-f0-9]+: 8f 48 20 cc f8 06[ ]+vpcomfalseb %xmm8,%xmm11,%xmm15
1661[ ]*[a-f0-9]+: 8f e8 20 cc 04 83 06[ ]+vpcomfalseb \(%rbx,%rax,4\),%xmm11,%xmm0
1662[ ]*[a-f0-9]+: 8f c8 00 cc 04 24 06[ ]+vpcomfalseb \(%r12\),%xmm15,%xmm0
1663[ ]*[a-f0-9]+: 8f c8 78 cc 3c 24 06[ ]+vpcomfalseb \(%r12\),%xmm0,%xmm7
1664[ ]*[a-f0-9]+: 8f 68 00 cc 3c 0f 06[ ]+vpcomfalseb \(%rdi,%rcx,1\),%xmm15,%xmm15
1665[ ]*[a-f0-9]+: 8f 68 20 ce 3c 0f 06[ ]+vpcomfalsed \(%rdi,%rcx,1\),%xmm11,%xmm15
1666[ ]*[a-f0-9]+: 8f 68 20 ce f8 06[ ]+vpcomfalsed %xmm0,%xmm11,%xmm15
1667[ ]*[a-f0-9]+: 8f 08 78 ce 7c 59 06 06[ ]+vpcomfalsed 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1668[ ]*[a-f0-9]+: 8f 48 00 ce ff 06[ ]+vpcomfalsed %xmm15,%xmm15,%xmm15
1669[ ]*[a-f0-9]+: 8f e8 20 ce c0 06[ ]+vpcomfalsed %xmm0,%xmm11,%xmm0
1670[ ]*[a-f0-9]+: 8f 48 78 ce ff 06[ ]+vpcomfalsed %xmm15,%xmm0,%xmm15
1671[ ]*[a-f0-9]+: 8f e8 20 ce f8 06[ ]+vpcomfalsed %xmm0,%xmm11,%xmm7
1672[ ]*[a-f0-9]+: 8f c8 00 ce c7 06[ ]+vpcomfalsed %xmm15,%xmm15,%xmm0
1673[ ]*[a-f0-9]+: 8f c8 00 cf ff 06[ ]+vpcomfalseq %xmm15,%xmm15,%xmm7
1674[ ]*[a-f0-9]+: 8f 68 78 cf 3c 0f 06[ ]+vpcomfalseq \(%rdi,%rcx,1\),%xmm0,%xmm15
1675[ ]*[a-f0-9]+: 8f 68 78 cf 3c 83 06[ ]+vpcomfalseq \(%rbx,%rax,4\),%xmm0,%xmm15
1676[ ]*[a-f0-9]+: 8f c8 78 cf 04 24 06[ ]+vpcomfalseq \(%r12\),%xmm0,%xmm0
1677[ ]*[a-f0-9]+: 8f e8 78 cf c0 06[ ]+vpcomfalseq %xmm0,%xmm0,%xmm0
1678[ ]*[a-f0-9]+: 8f 48 78 cf f8 06[ ]+vpcomfalseq %xmm8,%xmm0,%xmm15
1679[ ]*[a-f0-9]+: 8f c8 20 cf ff 06[ ]+vpcomfalseq %xmm15,%xmm11,%xmm7
1680[ ]*[a-f0-9]+: 8f c8 78 cf 3c 24 06[ ]+vpcomfalseq \(%r12\),%xmm0,%xmm7
1681[ ]*[a-f0-9]+: 8f e8 20 ec 04 0f 06[ ]+vpcomfalseub \(%rdi,%rcx,1\),%xmm11,%xmm0
1682[ ]*[a-f0-9]+: 8f e8 78 ec 3c 83 06[ ]+vpcomfalseub \(%rbx,%rax,4\),%xmm0,%xmm7
1683[ ]*[a-f0-9]+: 8f e8 20 ec 04 83 06[ ]+vpcomfalseub \(%rbx,%rax,4\),%xmm11,%xmm0
1684[ ]*[a-f0-9]+: 8f 88 20 ec 44 59 06 06[ ]+vpcomfalseub 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1685[ ]*[a-f0-9]+: 8f c8 00 ec ff 06[ ]+vpcomfalseub %xmm15,%xmm15,%xmm7
1686[ ]*[a-f0-9]+: 8f 48 78 ec f8 06[ ]+vpcomfalseub %xmm8,%xmm0,%xmm15
1687[ ]*[a-f0-9]+: 8f e8 20 ec 3c 83 06[ ]+vpcomfalseub \(%rbx,%rax,4\),%xmm11,%xmm7
1688[ ]*[a-f0-9]+: 8f 48 20 ec f8 06[ ]+vpcomfalseub %xmm8,%xmm11,%xmm15
1689[ ]*[a-f0-9]+: 8f 88 20 ee 44 59 06 06[ ]+vpcomfalseud 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1690[ ]*[a-f0-9]+: 8f c8 00 ee c0 06[ ]+vpcomfalseud %xmm8,%xmm15,%xmm0
1691[ ]*[a-f0-9]+: 8f 88 20 ee 7c 59 06 06[ ]+vpcomfalseud 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1692[ ]*[a-f0-9]+: 8f 88 78 ee 44 59 06 06[ ]+vpcomfalseud 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1693[ ]*[a-f0-9]+: 8f 68 78 ee f8 06[ ]+vpcomfalseud %xmm0,%xmm0,%xmm15
1694[ ]*[a-f0-9]+: 8f c8 00 ee 04 24 06[ ]+vpcomfalseud \(%r12\),%xmm15,%xmm0
1695[ ]*[a-f0-9]+: 8f e8 00 ee f8 06[ ]+vpcomfalseud %xmm0,%xmm15,%xmm7
1696[ ]*[a-f0-9]+: 8f c8 78 ee ff 06[ ]+vpcomfalseud %xmm15,%xmm0,%xmm7
1697[ ]*[a-f0-9]+: 8f 48 78 ef ff 06[ ]+vpcomfalseuq %xmm15,%xmm0,%xmm15
1698[ ]*[a-f0-9]+: 8f c8 78 ef ff 06[ ]+vpcomfalseuq %xmm15,%xmm0,%xmm7
1699[ ]*[a-f0-9]+: 8f c8 78 ef 3c 24 06[ ]+vpcomfalseuq \(%r12\),%xmm0,%xmm7
1700[ ]*[a-f0-9]+: 8f e8 78 ef c0 06[ ]+vpcomfalseuq %xmm0,%xmm0,%xmm0
1701[ ]*[a-f0-9]+: 8f 68 78 ef 3c 0f 06[ ]+vpcomfalseuq \(%rdi,%rcx,1\),%xmm0,%xmm15
1702[ ]*[a-f0-9]+: 8f 88 20 ef 7c 59 06 06[ ]+vpcomfalseuq 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1703[ ]*[a-f0-9]+: 8f e8 20 ef 04 0f 06[ ]+vpcomfalseuq \(%rdi,%rcx,1\),%xmm11,%xmm0
1704[ ]*[a-f0-9]+: 8f e8 00 ef f8 06[ ]+vpcomfalseuq %xmm0,%xmm15,%xmm7
1705[ ]*[a-f0-9]+: 8f e8 78 ed c0 06[ ]+vpcomfalseuw %xmm0,%xmm0,%xmm0
1706[ ]*[a-f0-9]+: 8f c8 20 ed 3c 24 06[ ]+vpcomfalseuw \(%r12\),%xmm11,%xmm7
1707[ ]*[a-f0-9]+: 8f 68 00 ed 3c 0f 06[ ]+vpcomfalseuw \(%rdi,%rcx,1\),%xmm15,%xmm15
1708[ ]*[a-f0-9]+: 8f c8 00 ed c0 06[ ]+vpcomfalseuw %xmm8,%xmm15,%xmm0
1709[ ]*[a-f0-9]+: 8f e8 20 ed 3c 0f 06[ ]+vpcomfalseuw \(%rdi,%rcx,1\),%xmm11,%xmm7
1710[ ]*[a-f0-9]+: 8f c8 20 ed c7 06[ ]+vpcomfalseuw %xmm15,%xmm11,%xmm0
1711[ ]*[a-f0-9]+: 8f 88 00 ed 7c 59 06 06[ ]+vpcomfalseuw 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1712[ ]*[a-f0-9]+: 8f e8 20 ed 04 0f 06[ ]+vpcomfalseuw \(%rdi,%rcx,1\),%xmm11,%xmm0
1713[ ]*[a-f0-9]+: 8f 88 20 cd 44 59 06 06[ ]+vpcomfalsew 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1714[ ]*[a-f0-9]+: 8f 08 78 cd 7c 59 06 06[ ]+vpcomfalsew 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1715[ ]*[a-f0-9]+: 8f 88 78 cd 7c 59 06 06[ ]+vpcomfalsew 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1716[ ]*[a-f0-9]+: 8f 88 20 cd 7c 59 06 06[ ]+vpcomfalsew 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1717[ ]*[a-f0-9]+: 8f 48 00 cd ff 06[ ]+vpcomfalsew %xmm15,%xmm15,%xmm15
1718[ ]*[a-f0-9]+: 8f c8 20 cd f8 06[ ]+vpcomfalsew %xmm8,%xmm11,%xmm7
1719[ ]*[a-f0-9]+: 8f e8 78 cd 04 83 06[ ]+vpcomfalsew \(%rbx,%rax,4\),%xmm0,%xmm0
1720[ ]*[a-f0-9]+: 8f c8 00 cd 04 24 06[ ]+vpcomfalsew \(%r12\),%xmm15,%xmm0
1721[ ]*[a-f0-9]+: 8f e8 00 cc 3c 83 07[ ]+vpcomtrueb \(%rbx,%rax,4\),%xmm15,%xmm7
1722[ ]*[a-f0-9]+: 8f c8 78 cc 3c 24 07[ ]+vpcomtrueb \(%r12\),%xmm0,%xmm7
1723[ ]*[a-f0-9]+: 8f e8 00 cc f8 07[ ]+vpcomtrueb %xmm0,%xmm15,%xmm7
1724[ ]*[a-f0-9]+: 8f e8 00 cc 04 0f 07[ ]+vpcomtrueb \(%rdi,%rcx,1\),%xmm15,%xmm0
1725[ ]*[a-f0-9]+: 8f c8 00 cc c7 07[ ]+vpcomtrueb %xmm15,%xmm15,%xmm0
1726[ ]*[a-f0-9]+: 8f c8 00 cc 04 24 07[ ]+vpcomtrueb \(%r12\),%xmm15,%xmm0
1727[ ]*[a-f0-9]+: 8f 48 20 cc ff 07[ ]+vpcomtrueb %xmm15,%xmm11,%xmm15
1728[ ]*[a-f0-9]+: 8f e8 20 cc 3c 0f 07[ ]+vpcomtrueb \(%rdi,%rcx,1\),%xmm11,%xmm7
1729[ ]*[a-f0-9]+: 8f c8 20 ce 04 24 07[ ]+vpcomtrued \(%r12\),%xmm11,%xmm0
1730[ ]*[a-f0-9]+: 8f 48 20 ce 3c 24 07[ ]+vpcomtrued \(%r12\),%xmm11,%xmm15
1731[ ]*[a-f0-9]+: 8f c8 20 ce c7 07[ ]+vpcomtrued %xmm15,%xmm11,%xmm0
1732[ ]*[a-f0-9]+: 8f 88 78 ce 7c 59 06 07[ ]+vpcomtrued 0x6\(%r9,%r11,2\),%xmm0,%xmm7
1733[ ]*[a-f0-9]+: 8f e8 00 ce f8 07[ ]+vpcomtrued %xmm0,%xmm15,%xmm7
1734[ ]*[a-f0-9]+: 8f e8 20 ce f8 07[ ]+vpcomtrued %xmm0,%xmm11,%xmm7
1735[ ]*[a-f0-9]+: 8f e8 00 ce 3c 83 07[ ]+vpcomtrued \(%rbx,%rax,4\),%xmm15,%xmm7
1736[ ]*[a-f0-9]+: 8f c8 78 ce 3c 24 07[ ]+vpcomtrued \(%r12\),%xmm0,%xmm7
1737[ ]*[a-f0-9]+: 8f c8 78 cf ff 07[ ]+vpcomtrueq %xmm15,%xmm0,%xmm7
1738[ ]*[a-f0-9]+: 8f c8 00 cf 3c 24 07[ ]+vpcomtrueq \(%r12\),%xmm15,%xmm7
1739[ ]*[a-f0-9]+: 8f e8 00 cf f8 07[ ]+vpcomtrueq %xmm0,%xmm15,%xmm7
1740[ ]*[a-f0-9]+: 8f 08 78 cf 7c 59 06 07[ ]+vpcomtrueq 0x6\(%r9,%r11,2\),%xmm0,%xmm15
1741[ ]*[a-f0-9]+: 8f c8 20 cf c7 07[ ]+vpcomtrueq %xmm15,%xmm11,%xmm0
1742[ ]*[a-f0-9]+: 8f 68 20 cf f8 07[ ]+vpcomtrueq %xmm0,%xmm11,%xmm15
1743[ ]*[a-f0-9]+: 8f e8 00 cf 04 0f 07[ ]+vpcomtrueq \(%rdi,%rcx,1\),%xmm15,%xmm0
1744[ ]*[a-f0-9]+: 8f 88 20 cf 7c 59 06 07[ ]+vpcomtrueq 0x6\(%r9,%r11,2\),%xmm11,%xmm7
1745[ ]*[a-f0-9]+: 8f 68 00 ec f8 07[ ]+vpcomtrueub %xmm0,%xmm15,%xmm15
1746[ ]*[a-f0-9]+: 8f c8 78 ec c0 07[ ]+vpcomtrueub %xmm8,%xmm0,%xmm0
1747[ ]*[a-f0-9]+: 8f c8 78 ec c7 07[ ]+vpcomtrueub %xmm15,%xmm0,%xmm0
1748[ ]*[a-f0-9]+: 8f c8 00 ec 3c 24 07[ ]+vpcomtrueub \(%r12\),%xmm15,%xmm7
1749[ ]*[a-f0-9]+: 8f c8 78 ec 3c 24 07[ ]+vpcomtrueub \(%r12\),%xmm0,%xmm7
1750[ ]*[a-f0-9]+: 8f 68 20 ec f8 07[ ]+vpcomtrueub %xmm0,%xmm11,%xmm15
1751[ ]*[a-f0-9]+: 8f 88 20 ec 44 59 06 07[ ]+vpcomtrueub 0x6\(%r9,%r11,2\),%xmm11,%xmm0
1752[ ]*[a-f0-9]+: 8f 48 20 ec ff 07[ ]+vpcomtrueub %xmm15,%xmm11,%xmm15
1753[ ]*[a-f0-9]+: 8f 48 00 ee ff 07[ ]+vpcomtrueud %xmm15,%xmm15,%xmm15
1754[ ]*[a-f0-9]+: 8f 08 20 ee 7c 59 06 07[ ]+vpcomtrueud 0x6\(%r9,%r11,2\),%xmm11,%xmm15
1755[ ]*[a-f0-9]+: 8f 68 00 ee f8 07[ ]+vpcomtrueud %xmm0,%xmm15,%xmm15
1756[ ]*[a-f0-9]+: 8f c8 20 ee c0 07[ ]+vpcomtrueud %xmm8,%xmm11,%xmm0
1757[ ]*[a-f0-9]+: 8f 88 78 ee 44 59 06 07[ ]+vpcomtrueud 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1758[ ]*[a-f0-9]+: 8f 68 00 ee 3c 83 07[ ]+vpcomtrueud \(%rbx,%rax,4\),%xmm15,%xmm15
1759[ ]*[a-f0-9]+: 8f c8 78 ee ff 07[ ]+vpcomtrueud %xmm15,%xmm0,%xmm7
1760[ ]*[a-f0-9]+: 8f 68 00 ee 3c 0f 07[ ]+vpcomtrueud \(%rdi,%rcx,1\),%xmm15,%xmm15
1761[ ]*[a-f0-9]+: 8f e8 78 ef 04 0f 07[ ]+vpcomtrueuq \(%rdi,%rcx,1\),%xmm0,%xmm0
1762[ ]*[a-f0-9]+: 8f 68 00 ef 3c 83 07[ ]+vpcomtrueuq \(%rbx,%rax,4\),%xmm15,%xmm15
1763[ ]*[a-f0-9]+: 8f c8 20 ef 04 24 07[ ]+vpcomtrueuq \(%r12\),%xmm11,%xmm0
1764[ ]*[a-f0-9]+: 8f e8 78 ef 3c 83 07[ ]+vpcomtrueuq \(%rbx,%rax,4\),%xmm0,%xmm7
1765[ ]*[a-f0-9]+: 8f 48 20 ef f8 07[ ]+vpcomtrueuq %xmm8,%xmm11,%xmm15
1766[ ]*[a-f0-9]+: 8f 88 78 ef 44 59 06 07[ ]+vpcomtrueuq 0x6\(%r9,%r11,2\),%xmm0,%xmm0
1767[ ]*[a-f0-9]+: 8f 48 00 ef f8 07[ ]+vpcomtrueuq %xmm8,%xmm15,%xmm15
1768[ ]*[a-f0-9]+: 8f 48 00 ef 3c 24 07[ ]+vpcomtrueuq \(%r12\),%xmm15,%xmm15
1769[ ]*[a-f0-9]+: 8f 48 00 ed f8 07[ ]+vpcomtrueuw %xmm8,%xmm15,%xmm15
1770[ ]*[a-f0-9]+: 8f 88 00 ed 7c 59 06 07[ ]+vpcomtrueuw 0x6\(%r9,%r11,2\),%xmm15,%xmm7
1771[ ]*[a-f0-9]+: 8f 48 20 ed 3c 24 07[ ]+vpcomtrueuw \(%r12\),%xmm11,%xmm15
1772[ ]*[a-f0-9]+: 8f 68 20 ed 3c 83 07[ ]+vpcomtrueuw \(%rbx,%rax,4\),%xmm11,%xmm15
1773[ ]*[a-f0-9]+: 8f 68 00 ed f8 07[ ]+vpcomtrueuw %xmm0,%xmm15,%xmm15
1774[ ]*[a-f0-9]+: 8f c8 78 ed f8 07[ ]+vpcomtrueuw %xmm8,%xmm0,%xmm7
1775[ ]*[a-f0-9]+: 8f e8 78 ed 3c 83 07[ ]+vpcomtrueuw \(%rbx,%rax,4\),%xmm0,%xmm7
1776[ ]*[a-f0-9]+: 8f e8 00 ed 3c 83 07[ ]+vpcomtrueuw \(%rbx,%rax,4\),%xmm15,%xmm7
1777[ ]*[a-f0-9]+: 8f c8 20 cd 04 24 07[ ]+vpcomtruew \(%r12\),%xmm11,%xmm0
1778[ ]*[a-f0-9]+: 8f 48 00 cd ff 07[ ]+vpcomtruew %xmm15,%xmm15,%xmm15
1779[ ]*[a-f0-9]+: 8f 48 20 cd ff 07[ ]+vpcomtruew %xmm15,%xmm11,%xmm15
1780[ ]*[a-f0-9]+: 8f 68 20 cd 3c 83 07[ ]+vpcomtruew \(%rbx,%rax,4\),%xmm11,%xmm15
1781[ ]*[a-f0-9]+: 8f c8 00 cd 3c 24 07[ ]+vpcomtruew \(%r12\),%xmm15,%xmm7
1782[ ]*[a-f0-9]+: 8f c8 00 cd c0 07[ ]+vpcomtruew %xmm8,%xmm15,%xmm0
1783[ ]*[a-f0-9]+: 8f e8 78 cd f8 07[ ]+vpcomtruew %xmm0,%xmm0,%xmm7
1784[ ]*[a-f0-9]+: 8f 68 78 cd 3c 83 07[ ]+vpcomtruew \(%rbx,%rax,4\),%xmm0,%xmm15
5dd85c99 1785#pass
This page took 0.671621 seconds and 4 git commands to generate.