[binutils][aarch64] New SVE_SHRIMM_UNPRED_22 operand.
[deliverable/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
82704155 2/* Copyright (C) 2012-2019 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
7684e580 41 0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 42 adr. */
e54010f1 43 return 1186;
a06ea964
NC
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
7684e580 49 1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 50 adrp. */
e54010f1 51 return 1187;
a06ea964
NC
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
7684e580 62 x00x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
7684e580 70 x10x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7684e580 81 x01x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7684e580 89 x11x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
7684e580 116 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 117 stxrb. */
e6025b54 118 return 936;
a06ea964
NC
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
7684e580 124 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 125 stxrh. */
e6025b54 126 return 942;
a06ea964
NC
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
7684e580 133 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 134 stxr. */
e6025b54 135 return 948;
a06ea964
NC
136 }
137 }
138 else
139 {
ee804238
JW
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
7684e580 144 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 145 casp. */
e54010f1 146 return 1021;
ee804238
JW
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
7684e580 152 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 153 stxp. */
e6025b54 154 return 950;
ee804238 155 }
a06ea964
NC
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
7684e580 168 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 169 stlxrb. */
e6025b54 170 return 937;
a06ea964
NC
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
7684e580 176 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 177 stlxrh. */
e6025b54 178 return 943;
a06ea964
NC
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
7684e580 185 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 186 stlxr. */
e6025b54 187 return 949;
a06ea964
NC
188 }
189 }
190 else
191 {
ee804238
JW
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
7684e580 196 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 197 caspl. */
e54010f1 198 return 1023;
ee804238
JW
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
7684e580 204 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 205 stlxp. */
e6025b54 206 return 951;
ee804238 207 }
a06ea964
NC
208 }
209 }
210 }
211 else
212 {
fb3265b3
SD
213 if (((word >> 30) & 0x1) == 0)
214 {
215 /* 33222222222211111111110000000000
216 10987654321098765432109876543210
217 x010100x00xxxxxxxxxxxxxxxxxxxxxx
218 stnp. */
e54010f1 219 return 970;
fb3265b3
SD
220 }
221 else
222 {
223 /* 33222222222211111111110000000000
224 10987654321098765432109876543210
225 x110100x00xxxxxxxxxxxxxxxxxxxxxx
226 stgp. */
e54010f1 227 return 979;
fb3265b3 228 }
a06ea964
NC
229 }
230 }
231 else
232 {
233 if (((word >> 29) & 0x1) == 0)
234 {
ee804238 235 if (((word >> 15) & 0x1) == 0)
a06ea964 236 {
290806fd 237 if (((word >> 21) & 0x1) == 0)
a06ea964 238 {
290806fd 239 if (((word >> 31) & 0x1) == 0)
ee804238
JW
240 {
241 /* 33222222222211111111110000000000
242 10987654321098765432109876543210
7684e580 243 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 244 stllrb. */
e54010f1 245 return 968;
ee804238
JW
246 }
247 else
248 {
249 /* 33222222222211111111110000000000
250 10987654321098765432109876543210
7684e580 251 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 252 stllr. */
e54010f1 253 return 967;
ee804238 254 }
a06ea964
NC
255 }
256 else
257 {
290806fd
MW
258 if (((word >> 31) & 0x1) == 0)
259 {
260 if (((word >> 30) & 0x1) == 0)
261 {
262 /* 33222222222211111111110000000000
263 10987654321098765432109876543210
7684e580 264 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 265 casb. */
e54010f1 266 return 1009;
290806fd
MW
267 }
268 else
269 {
270 /* 33222222222211111111110000000000
271 10987654321098765432109876543210
7684e580 272 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 273 cash. */
e54010f1 274 return 1010;
290806fd
MW
275 }
276 }
277 else
278 {
279 /* 33222222222211111111110000000000
280 10987654321098765432109876543210
7684e580 281 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 282 cas. */
e54010f1 283 return 1011;
290806fd 284 }
a06ea964
NC
285 }
286 }
287 else
288 {
ee804238
JW
289 if (((word >> 21) & 0x1) == 0)
290 {
291 if (((word >> 31) & 0x1) == 0)
292 {
293 if (((word >> 30) & 0x1) == 0)
294 {
295 /* 33222222222211111111110000000000
296 10987654321098765432109876543210
7684e580 297 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 298 stlrb. */
e6025b54 299 return 940;
ee804238
JW
300 }
301 else
302 {
303 /* 33222222222211111111110000000000
304 10987654321098765432109876543210
7684e580 305 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 306 stlrh. */
e6025b54 307 return 946;
ee804238
JW
308 }
309 }
310 else
311 {
312 /* 33222222222211111111110000000000
313 10987654321098765432109876543210
7684e580 314 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 315 stlr. */
e6025b54 316 return 956;
ee804238
JW
317 }
318 }
319 else
320 {
321 if (((word >> 31) & 0x1) == 0)
322 {
323 if (((word >> 30) & 0x1) == 0)
324 {
325 /* 33222222222211111111110000000000
326 10987654321098765432109876543210
7684e580 327 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 328 caslb. */
e54010f1 329 return 1013;
ee804238
JW
330 }
331 else
332 {
333 /* 33222222222211111111110000000000
334 10987654321098765432109876543210
7684e580 335 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 336 caslh. */
e54010f1 337 return 1016;
ee804238
JW
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
7684e580 344 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 345 casl. */
e54010f1 346 return 1019;
ee804238
JW
347 }
348 }
a06ea964
NC
349 }
350 }
351 else
352 {
fb3265b3
SD
353 if (((word >> 30) & 0x1) == 0)
354 {
355 /* 33222222222211111111110000000000
356 10987654321098765432109876543210
357 x010100x10xxxxxxxxxxxxxxxxxxxxxx
358 stp. */
e54010f1 359 return 980;
fb3265b3
SD
360 }
361 else
362 {
363 /* 33222222222211111111110000000000
364 10987654321098765432109876543210
365 x110100x10xxxxxxxxxxxxxxxxxxxxxx
366 stgp. */
e54010f1 367 return 985;
fb3265b3 368 }
a06ea964
NC
369 }
370 }
371 }
372 else
373 {
374 if (((word >> 23) & 0x1) == 0)
375 {
376 if (((word >> 29) & 0x1) == 0)
377 {
378 if (((word >> 15) & 0x1) == 0)
379 {
380 if (((word >> 21) & 0x1) == 0)
381 {
382 if (((word >> 31) & 0x1) == 0)
383 {
384 if (((word >> 30) & 0x1) == 0)
385 {
386 /* 33222222222211111111110000000000
387 10987654321098765432109876543210
7684e580 388 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 389 ldxrb. */
e6025b54 390 return 938;
a06ea964
NC
391 }
392 else
393 {
394 /* 33222222222211111111110000000000
395 10987654321098765432109876543210
7684e580 396 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 397 ldxrh. */
e6025b54 398 return 944;
a06ea964
NC
399 }
400 }
401 else
402 {
403 /* 33222222222211111111110000000000
404 10987654321098765432109876543210
7684e580 405 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 406 ldxr. */
e6025b54 407 return 952;
a06ea964
NC
408 }
409 }
410 else
411 {
ee804238
JW
412 if (((word >> 31) & 0x1) == 0)
413 {
414 /* 33222222222211111111110000000000
415 10987654321098765432109876543210
7684e580 416 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 417 caspa. */
e54010f1 418 return 1022;
ee804238
JW
419 }
420 else
421 {
422 /* 33222222222211111111110000000000
423 10987654321098765432109876543210
7684e580 424 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 425 ldxp. */
e6025b54 426 return 954;
ee804238 427 }
a06ea964
NC
428 }
429 }
430 else
431 {
432 if (((word >> 21) & 0x1) == 0)
433 {
434 if (((word >> 31) & 0x1) == 0)
435 {
436 if (((word >> 30) & 0x1) == 0)
437 {
438 /* 33222222222211111111110000000000
439 10987654321098765432109876543210
7684e580 440 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 441 ldaxrb. */
e6025b54 442 return 939;
a06ea964
NC
443 }
444 else
445 {
446 /* 33222222222211111111110000000000
447 10987654321098765432109876543210
7684e580 448 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 449 ldaxrh. */
e6025b54 450 return 945;
a06ea964
NC
451 }
452 }
453 else
454 {
455 /* 33222222222211111111110000000000
456 10987654321098765432109876543210
7684e580 457 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 458 ldaxr. */
e6025b54 459 return 953;
a06ea964
NC
460 }
461 }
462 else
463 {
ee804238
JW
464 if (((word >> 31) & 0x1) == 0)
465 {
466 /* 33222222222211111111110000000000
467 10987654321098765432109876543210
7684e580 468 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 469 caspal. */
e54010f1 470 return 1024;
ee804238
JW
471 }
472 else
473 {
474 /* 33222222222211111111110000000000
475 10987654321098765432109876543210
7684e580 476 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 477 ldaxp. */
e6025b54 478 return 955;
ee804238 479 }
a06ea964
NC
480 }
481 }
482 }
483 else
484 {
485 if (((word >> 30) & 0x1) == 0)
486 {
487 /* 33222222222211111111110000000000
488 10987654321098765432109876543210
7684e580 489 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 490 ldnp. */
e54010f1 491 return 971;
a06ea964
NC
492 }
493 else
494 {
495 /* 33222222222211111111110000000000
496 10987654321098765432109876543210
7684e580 497 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 498 ldpsw. */
e54010f1 499 return 978;
a06ea964
NC
500 }
501 }
502 }
503 else
504 {
505 if (((word >> 29) & 0x1) == 0)
506 {
ee804238 507 if (((word >> 15) & 0x1) == 0)
a06ea964 508 {
290806fd 509 if (((word >> 21) & 0x1) == 0)
a06ea964 510 {
290806fd 511 if (((word >> 31) & 0x1) == 0)
ee804238 512 {
290806fd
MW
513 if (((word >> 30) & 0x1) == 0)
514 {
515 /* 33222222222211111111110000000000
516 10987654321098765432109876543210
7684e580 517 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 518 ldlarb. */
e54010f1 519 return 965;
290806fd
MW
520 }
521 else
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
7684e580 525 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 526 ldlarh. */
e54010f1 527 return 966;
290806fd 528 }
ee804238
JW
529 }
530 else
531 {
532 /* 33222222222211111111110000000000
533 10987654321098765432109876543210
7684e580 534 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 535 ldlar. */
e54010f1 536 return 964;
ee804238 537 }
a06ea964
NC
538 }
539 else
540 {
290806fd
MW
541 if (((word >> 31) & 0x1) == 0)
542 {
543 if (((word >> 30) & 0x1) == 0)
544 {
545 /* 33222222222211111111110000000000
546 10987654321098765432109876543210
7684e580 547 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 548 casab. */
e54010f1 549 return 1012;
290806fd
MW
550 }
551 else
552 {
553 /* 33222222222211111111110000000000
554 10987654321098765432109876543210
7684e580 555 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 556 casah. */
e54010f1 557 return 1015;
290806fd
MW
558 }
559 }
560 else
561 {
562 /* 33222222222211111111110000000000
563 10987654321098765432109876543210
7684e580 564 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 565 casa. */
e54010f1 566 return 1018;
290806fd 567 }
a06ea964
NC
568 }
569 }
570 else
571 {
ee804238
JW
572 if (((word >> 21) & 0x1) == 0)
573 {
574 if (((word >> 31) & 0x1) == 0)
575 {
576 if (((word >> 30) & 0x1) == 0)
577 {
578 /* 33222222222211111111110000000000
579 10987654321098765432109876543210
7684e580 580 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 581 ldarb. */
e6025b54 582 return 941;
ee804238
JW
583 }
584 else
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
7684e580 588 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 589 ldarh. */
e6025b54 590 return 947;
ee804238
JW
591 }
592 }
593 else
594 {
595 /* 33222222222211111111110000000000
596 10987654321098765432109876543210
7684e580 597 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 598 ldar. */
e6025b54 599 return 957;
ee804238
JW
600 }
601 }
602 else
603 {
604 if (((word >> 31) & 0x1) == 0)
605 {
606 if (((word >> 30) & 0x1) == 0)
607 {
608 /* 33222222222211111111110000000000
609 10987654321098765432109876543210
7684e580 610 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 611 casalb. */
e54010f1 612 return 1014;
ee804238
JW
613 }
614 else
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
7684e580 618 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 619 casalh. */
e54010f1 620 return 1017;
ee804238
JW
621 }
622 }
623 else
624 {
625 /* 33222222222211111111110000000000
626 10987654321098765432109876543210
7684e580 627 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 628 casal. */
e54010f1 629 return 1020;
ee804238
JW
630 }
631 }
a06ea964
NC
632 }
633 }
634 else
635 {
636 if (((word >> 30) & 0x1) == 0)
637 {
638 /* 33222222222211111111110000000000
639 10987654321098765432109876543210
7684e580 640 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 641 ldp. */
e54010f1 642 return 981;
a06ea964
NC
643 }
644 else
645 {
646 /* 33222222222211111111110000000000
647 10987654321098765432109876543210
7684e580 648 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 649 ldpsw. */
e54010f1 650 return 984;
a06ea964
NC
651 }
652 }
653 }
654 }
655 }
656 else
657 {
658 if (((word >> 24) & 0x1) == 0)
659 {
660 if (((word >> 29) & 0x1) == 0)
661 {
662 if (((word >> 31) & 0x1) == 0)
663 {
664 /* 33222222222211111111110000000000
665 10987654321098765432109876543210
7684e580 666 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 667 ldr. */
e54010f1 668 return 986;
a06ea964
NC
669 }
670 else
671 {
672 if (((word >> 30) & 0x1) == 0)
673 {
674 /* 33222222222211111111110000000000
675 10987654321098765432109876543210
7684e580 676 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 677 ldrsw. */
e54010f1 678 return 988;
a06ea964
NC
679 }
680 else
681 {
682 /* 33222222222211111111110000000000
683 10987654321098765432109876543210
7684e580 684 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 685 prfm. */
e54010f1 686 return 989;
a06ea964
NC
687 }
688 }
689 }
690 else
691 {
692 if (((word >> 10) & 0x1) == 0)
693 {
694 if (((word >> 11) & 0x1) == 0)
695 {
ee804238 696 if (((word >> 21) & 0x1) == 0)
a06ea964 697 {
ee804238 698 if (((word >> 23) & 0x1) == 0)
a06ea964 699 {
ee804238 700 if (((word >> 22) & 0x1) == 0)
a06ea964 701 {
ee804238 702 if (((word >> 31) & 0x1) == 0)
a06ea964 703 {
ee804238
JW
704 if (((word >> 30) & 0x1) == 0)
705 {
706 /* 33222222222211111111110000000000
707 10987654321098765432109876543210
7684e580 708 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 709 sturb. */
fb3265b3 710 return 921;
ee804238
JW
711 }
712 else
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
7684e580 716 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 717 sturh. */
fb3265b3 718 return 926;
ee804238 719 }
a06ea964
NC
720 }
721 else
722 {
723 /* 33222222222211111111110000000000
724 10987654321098765432109876543210
7684e580 725 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 726 stur. */
fb3265b3 727 return 929;
a06ea964
NC
728 }
729 }
730 else
731 {
ee804238
JW
732 if (((word >> 31) & 0x1) == 0)
733 {
734 if (((word >> 30) & 0x1) == 0)
735 {
736 /* 33222222222211111111110000000000
737 10987654321098765432109876543210
7684e580 738 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 739 ldurb. */
fb3265b3 740 return 922;
ee804238
JW
741 }
742 else
743 {
744 /* 33222222222211111111110000000000
745 10987654321098765432109876543210
7684e580 746 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 747 ldurh. */
fb3265b3 748 return 927;
ee804238
JW
749 }
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
7684e580 755 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 756 ldur. */
fb3265b3 757 return 930;
ee804238 758 }
a06ea964
NC
759 }
760 }
761 else
762 {
ee804238 763 if (((word >> 30) & 0x1) == 0)
a06ea964 764 {
ee804238
JW
765 if (((word >> 31) & 0x1) == 0)
766 {
767 /* 33222222222211111111110000000000
768 10987654321098765432109876543210
7684e580 769 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 770 ldursb. */
fb3265b3 771 return 923;
ee804238
JW
772 }
773 else
774 {
775 /* 33222222222211111111110000000000
776 10987654321098765432109876543210
7684e580 777 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 778 ldursw. */
fb3265b3 779 return 931;
ee804238
JW
780 }
781 }
782 else
783 {
784 if (((word >> 31) & 0x1) == 0)
785 {
786 /* 33222222222211111111110000000000
787 10987654321098765432109876543210
7684e580 788 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 789 ldursh. */
fb3265b3 790 return 928;
ee804238
JW
791 }
792 else
a06ea964
NC
793 {
794 /* 33222222222211111111110000000000
795 10987654321098765432109876543210
7684e580 796 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 797 prfum. */
fb3265b3 798 return 932;
ee804238
JW
799 }
800 }
801 }
802 }
803 else
804 {
805 if (((word >> 12) & 0x1) == 0)
806 {
807 if (((word >> 13) & 0x1) == 0)
808 {
809 if (((word >> 14) & 0x1) == 0)
810 {
811 if (((word >> 15) & 0x1) == 0)
812 {
813 if (((word >> 22) & 0x1) == 0)
814 {
815 if (((word >> 23) & 0x1) == 0)
816 {
817 if (((word >> 31) & 0x1) == 0)
818 {
819 if (((word >> 30) & 0x1) == 0)
820 {
821 /* 33222222222211111111110000000000
822 10987654321098765432109876543210
7684e580 823 00111000001xxxxx000000xxxxxxxxxx
ee804238 824 ldaddb. */
e54010f1 825 return 1037;
ee804238
JW
826 }
827 else
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
7684e580 831 01111000001xxxxx000000xxxxxxxxxx
ee804238 832 ldaddh. */
e54010f1 833 return 1038;
ee804238
JW
834 }
835 }
836 else
837 {
838 /* 33222222222211111111110000000000
839 10987654321098765432109876543210
7684e580 840 1x111000001xxxxx000000xxxxxxxxxx
ee804238 841 ldadd. */
e54010f1 842 return 1039;
ee804238
JW
843 }
844 }
845 else
846 {
847 if (((word >> 31) & 0x1) == 0)
848 {
849 if (((word >> 30) & 0x1) == 0)
850 {
851 /* 33222222222211111111110000000000
852 10987654321098765432109876543210
7684e580 853 00111000101xxxxx000000xxxxxxxxxx
ee804238 854 ldaddab. */
e54010f1 855 return 1040;
ee804238
JW
856 }
857 else
858 {
859 /* 33222222222211111111110000000000
860 10987654321098765432109876543210
7684e580 861 01111000101xxxxx000000xxxxxxxxxx
ee804238 862 ldaddah. */
e54010f1 863 return 1043;
ee804238
JW
864 }
865 }
866 else
867 {
868 /* 33222222222211111111110000000000
869 10987654321098765432109876543210
7684e580 870 1x111000101xxxxx000000xxxxxxxxxx
ee804238 871 ldadda. */
e54010f1 872 return 1046;
ee804238
JW
873 }
874 }
875 }
876 else
877 {
878 if (((word >> 23) & 0x1) == 0)
879 {
880 if (((word >> 31) & 0x1) == 0)
881 {
882 if (((word >> 30) & 0x1) == 0)
883 {
884 /* 33222222222211111111110000000000
885 10987654321098765432109876543210
7684e580 886 00111000011xxxxx000000xxxxxxxxxx
ee804238 887 ldaddlb. */
e54010f1 888 return 1041;
ee804238
JW
889 }
890 else
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
7684e580 894 01111000011xxxxx000000xxxxxxxxxx
ee804238 895 ldaddlh. */
e54010f1 896 return 1044;
ee804238
JW
897 }
898 }
899 else
900 {
901 /* 33222222222211111111110000000000
902 10987654321098765432109876543210
7684e580 903 1x111000011xxxxx000000xxxxxxxxxx
ee804238 904 ldaddl. */
e54010f1 905 return 1047;
ee804238
JW
906 }
907 }
908 else
909 {
910 if (((word >> 31) & 0x1) == 0)
911 {
912 if (((word >> 30) & 0x1) == 0)
913 {
914 /* 33222222222211111111110000000000
915 10987654321098765432109876543210
7684e580 916 00111000111xxxxx000000xxxxxxxxxx
ee804238 917 ldaddalb. */
e54010f1 918 return 1042;
ee804238
JW
919 }
920 else
921 {
922 /* 33222222222211111111110000000000
923 10987654321098765432109876543210
7684e580 924 01111000111xxxxx000000xxxxxxxxxx
ee804238 925 ldaddalh. */
e54010f1 926 return 1045;
ee804238
JW
927 }
928 }
929 else
930 {
931 /* 33222222222211111111110000000000
932 10987654321098765432109876543210
7684e580 933 1x111000111xxxxx000000xxxxxxxxxx
ee804238 934 ldaddal. */
e54010f1 935 return 1048;
ee804238
JW
936 }
937 }
938 }
939 }
940 else
941 {
942 if (((word >> 22) & 0x1) == 0)
943 {
944 if (((word >> 23) & 0x1) == 0)
945 {
946 if (((word >> 31) & 0x1) == 0)
947 {
948 if (((word >> 30) & 0x1) == 0)
949 {
950 /* 33222222222211111111110000000000
951 10987654321098765432109876543210
7684e580 952 00111000001xxxxx100000xxxxxxxxxx
ee804238 953 swpb. */
e54010f1 954 return 1025;
ee804238
JW
955 }
956 else
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 01111000001xxxxx100000xxxxxxxxxx
ee804238 961 swph. */
e54010f1 962 return 1026;
ee804238
JW
963 }
964 }
965 else
966 {
967 /* 33222222222211111111110000000000
968 10987654321098765432109876543210
7684e580 969 1x111000001xxxxx100000xxxxxxxxxx
ee804238 970 swp. */
e54010f1 971 return 1027;
ee804238
JW
972 }
973 }
974 else
975 {
976 if (((word >> 31) & 0x1) == 0)
977 {
978 if (((word >> 30) & 0x1) == 0)
979 {
980 /* 33222222222211111111110000000000
981 10987654321098765432109876543210
7684e580 982 00111000101xxxxx100000xxxxxxxxxx
ee804238 983 swpab. */
e54010f1 984 return 1028;
ee804238
JW
985 }
986 else
987 {
988 /* 33222222222211111111110000000000
989 10987654321098765432109876543210
7684e580 990 01111000101xxxxx100000xxxxxxxxxx
ee804238 991 swpah. */
e54010f1 992 return 1031;
ee804238
JW
993 }
994 }
995 else
996 {
997 /* 33222222222211111111110000000000
998 10987654321098765432109876543210
7684e580 999 1x111000101xxxxx100000xxxxxxxxxx
ee804238 1000 swpa. */
e54010f1 1001 return 1034;
ee804238
JW
1002 }
1003 }
1004 }
1005 else
1006 {
1007 if (((word >> 23) & 0x1) == 0)
1008 {
1009 if (((word >> 31) & 0x1) == 0)
1010 {
1011 if (((word >> 30) & 0x1) == 0)
1012 {
1013 /* 33222222222211111111110000000000
1014 10987654321098765432109876543210
7684e580 1015 00111000011xxxxx100000xxxxxxxxxx
ee804238 1016 swplb. */
e54010f1 1017 return 1029;
ee804238
JW
1018 }
1019 else
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
7684e580 1023 01111000011xxxxx100000xxxxxxxxxx
ee804238 1024 swplh. */
e54010f1 1025 return 1032;
ee804238
JW
1026 }
1027 }
1028 else
1029 {
1030 /* 33222222222211111111110000000000
1031 10987654321098765432109876543210
7684e580 1032 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1033 swpl. */
e54010f1 1034 return 1035;
ee804238
JW
1035 }
1036 }
1037 else
1038 {
1039 if (((word >> 31) & 0x1) == 0)
1040 {
1041 if (((word >> 30) & 0x1) == 0)
1042 {
1043 /* 33222222222211111111110000000000
1044 10987654321098765432109876543210
7684e580 1045 00111000111xxxxx100000xxxxxxxxxx
ee804238 1046 swpalb. */
e54010f1 1047 return 1030;
ee804238
JW
1048 }
1049 else
1050 {
1051 /* 33222222222211111111110000000000
1052 10987654321098765432109876543210
7684e580 1053 01111000111xxxxx100000xxxxxxxxxx
ee804238 1054 swpalh. */
e54010f1 1055 return 1033;
ee804238
JW
1056 }
1057 }
1058 else
1059 {
1060 /* 33222222222211111111110000000000
1061 10987654321098765432109876543210
7684e580 1062 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1063 swpal. */
e54010f1 1064 return 1036;
ee804238
JW
1065 }
1066 }
1067 }
1068 }
1069 }
1070 else
1071 {
28617675 1072 if (((word >> 15) & 0x1) == 0)
ee804238 1073 {
28617675 1074 if (((word >> 22) & 0x1) == 0)
ee804238 1075 {
28617675 1076 if (((word >> 23) & 0x1) == 0)
ee804238 1077 {
28617675 1078 if (((word >> 31) & 0x1) == 0)
ee804238 1079 {
28617675
SN
1080 if (((word >> 30) & 0x1) == 0)
1081 {
1082 /* 33222222222211111111110000000000
1083 10987654321098765432109876543210
7684e580 1084 00111000001xxxxx010000xxxxxxxxxx
28617675 1085 ldsmaxb. */
e54010f1 1086 return 1085;
28617675
SN
1087 }
1088 else
1089 {
1090 /* 33222222222211111111110000000000
1091 10987654321098765432109876543210
7684e580 1092 01111000001xxxxx010000xxxxxxxxxx
28617675 1093 ldsmaxh. */
e54010f1 1094 return 1086;
28617675 1095 }
ee804238
JW
1096 }
1097 else
1098 {
1099 /* 33222222222211111111110000000000
1100 10987654321098765432109876543210
7684e580 1101 1x111000001xxxxx010000xxxxxxxxxx
28617675 1102 ldsmax. */
e54010f1 1103 return 1087;
ee804238
JW
1104 }
1105 }
1106 else
1107 {
28617675 1108 if (((word >> 31) & 0x1) == 0)
ee804238 1109 {
28617675
SN
1110 if (((word >> 30) & 0x1) == 0)
1111 {
1112 /* 33222222222211111111110000000000
1113 10987654321098765432109876543210
7684e580 1114 00111000101xxxxx010000xxxxxxxxxx
28617675 1115 ldsmaxab. */
e54010f1 1116 return 1088;
28617675
SN
1117 }
1118 else
1119 {
1120 /* 33222222222211111111110000000000
1121 10987654321098765432109876543210
7684e580 1122 01111000101xxxxx010000xxxxxxxxxx
28617675 1123 ldsmaxah. */
e54010f1 1124 return 1091;
28617675 1125 }
ee804238
JW
1126 }
1127 else
1128 {
1129 /* 33222222222211111111110000000000
1130 10987654321098765432109876543210
7684e580 1131 1x111000101xxxxx010000xxxxxxxxxx
28617675 1132 ldsmaxa. */
e54010f1 1133 return 1094;
ee804238
JW
1134 }
1135 }
ee804238 1136 }
28617675 1137 else
ee804238 1138 {
28617675 1139 if (((word >> 23) & 0x1) == 0)
ee804238 1140 {
28617675 1141 if (((word >> 31) & 0x1) == 0)
ee804238 1142 {
28617675
SN
1143 if (((word >> 30) & 0x1) == 0)
1144 {
1145 /* 33222222222211111111110000000000
1146 10987654321098765432109876543210
7684e580 1147 00111000011xxxxx010000xxxxxxxxxx
28617675 1148 ldsmaxlb. */
e54010f1 1149 return 1089;
28617675
SN
1150 }
1151 else
1152 {
1153 /* 33222222222211111111110000000000
1154 10987654321098765432109876543210
7684e580 1155 01111000011xxxxx010000xxxxxxxxxx
28617675 1156 ldsmaxlh. */
e54010f1 1157 return 1092;
28617675 1158 }
ee804238
JW
1159 }
1160 else
1161 {
1162 /* 33222222222211111111110000000000
1163 10987654321098765432109876543210
7684e580 1164 1x111000011xxxxx010000xxxxxxxxxx
28617675 1165 ldsmaxl. */
e54010f1 1166 return 1095;
ee804238
JW
1167 }
1168 }
1169 else
1170 {
28617675 1171 if (((word >> 31) & 0x1) == 0)
ee804238 1172 {
28617675
SN
1173 if (((word >> 30) & 0x1) == 0)
1174 {
1175 /* 33222222222211111111110000000000
1176 10987654321098765432109876543210
7684e580 1177 00111000111xxxxx010000xxxxxxxxxx
28617675 1178 ldsmaxalb. */
e54010f1 1179 return 1090;
28617675
SN
1180 }
1181 else
1182 {
1183 /* 33222222222211111111110000000000
1184 10987654321098765432109876543210
7684e580 1185 01111000111xxxxx010000xxxxxxxxxx
28617675 1186 ldsmaxalh. */
e54010f1 1187 return 1093;
28617675 1188 }
ee804238
JW
1189 }
1190 else
1191 {
1192 /* 33222222222211111111110000000000
1193 10987654321098765432109876543210
7684e580 1194 1x111000111xxxxx010000xxxxxxxxxx
28617675 1195 ldsmaxal. */
e54010f1 1196 return 1096;
ee804238
JW
1197 }
1198 }
28617675
SN
1199 }
1200 }
1201 else
1202 {
1203 if (((word >> 31) & 0x1) == 0)
1204 {
1205 if (((word >> 30) & 0x1) == 0)
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
7684e580 1209 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1210 ldaprb. */
e6025b54 1211 return 958;
28617675 1212 }
ee804238
JW
1213 else
1214 {
1215 /* 33222222222211111111110000000000
1216 10987654321098765432109876543210
7684e580 1217 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1218 ldaprh. */
e6025b54 1219 return 959;
ee804238
JW
1220 }
1221 }
28617675
SN
1222 else
1223 {
1224 /* 33222222222211111111110000000000
1225 10987654321098765432109876543210
7684e580 1226 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1227 ldapr. */
e6025b54 1228 return 960;
28617675 1229 }
ee804238
JW
1230 }
1231 }
1232 }
1233 else
1234 {
1235 if (((word >> 14) & 0x1) == 0)
1236 {
1237 if (((word >> 22) & 0x1) == 0)
1238 {
1239 if (((word >> 23) & 0x1) == 0)
1240 {
1241 if (((word >> 31) & 0x1) == 0)
1242 {
1243 if (((word >> 30) & 0x1) == 0)
1244 {
1245 /* 33222222222211111111110000000000
1246 10987654321098765432109876543210
7684e580 1247 00111000001xxxxxx01000xxxxxxxxxx
ee804238 1248 ldeorb. */
e54010f1 1249 return 1061;
ee804238
JW
1250 }
1251 else
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
7684e580 1255 01111000001xxxxxx01000xxxxxxxxxx
ee804238 1256 ldeorh. */
e54010f1 1257 return 1062;
ee804238
JW
1258 }
1259 }
1260 else
1261 {
1262 /* 33222222222211111111110000000000
1263 10987654321098765432109876543210
7684e580 1264 1x111000001xxxxxx01000xxxxxxxxxx
ee804238 1265 ldeor. */
e54010f1 1266 return 1063;
ee804238
JW
1267 }
1268 }
1269 else
1270 {
1271 if (((word >> 31) & 0x1) == 0)
1272 {
1273 if (((word >> 30) & 0x1) == 0)
1274 {
1275 /* 33222222222211111111110000000000
1276 10987654321098765432109876543210
7684e580 1277 00111000101xxxxxx01000xxxxxxxxxx
ee804238 1278 ldeorab. */
e54010f1 1279 return 1064;
ee804238
JW
1280 }
1281 else
1282 {
1283 /* 33222222222211111111110000000000
1284 10987654321098765432109876543210
7684e580 1285 01111000101xxxxxx01000xxxxxxxxxx
ee804238 1286 ldeorah. */
e54010f1 1287 return 1067;
ee804238
JW
1288 }
1289 }
1290 else
1291 {
1292 /* 33222222222211111111110000000000
1293 10987654321098765432109876543210
7684e580 1294 1x111000101xxxxxx01000xxxxxxxxxx
ee804238 1295 ldeora. */
e54010f1 1296 return 1070;
ee804238
JW
1297 }
1298 }
1299 }
1300 else
1301 {
1302 if (((word >> 23) & 0x1) == 0)
1303 {
1304 if (((word >> 31) & 0x1) == 0)
1305 {
1306 if (((word >> 30) & 0x1) == 0)
1307 {
1308 /* 33222222222211111111110000000000
1309 10987654321098765432109876543210
7684e580 1310 00111000011xxxxxx01000xxxxxxxxxx
ee804238 1311 ldeorlb. */
e54010f1 1312 return 1065;
ee804238
JW
1313 }
1314 else
1315 {
1316 /* 33222222222211111111110000000000
1317 10987654321098765432109876543210
7684e580 1318 01111000011xxxxxx01000xxxxxxxxxx
ee804238 1319 ldeorlh. */
e54010f1 1320 return 1068;
ee804238
JW
1321 }
1322 }
1323 else
1324 {
1325 /* 33222222222211111111110000000000
1326 10987654321098765432109876543210
7684e580 1327 1x111000011xxxxxx01000xxxxxxxxxx
ee804238 1328 ldeorl. */
e54010f1 1329 return 1071;
ee804238
JW
1330 }
1331 }
1332 else
1333 {
1334 if (((word >> 31) & 0x1) == 0)
1335 {
1336 if (((word >> 30) & 0x1) == 0)
1337 {
1338 /* 33222222222211111111110000000000
1339 10987654321098765432109876543210
7684e580 1340 00111000111xxxxxx01000xxxxxxxxxx
ee804238 1341 ldeoralb. */
e54010f1 1342 return 1066;
ee804238
JW
1343 }
1344 else
1345 {
1346 /* 33222222222211111111110000000000
1347 10987654321098765432109876543210
7684e580 1348 01111000111xxxxxx01000xxxxxxxxxx
ee804238 1349 ldeoralh. */
e54010f1 1350 return 1069;
ee804238
JW
1351 }
1352 }
1353 else
1354 {
1355 /* 33222222222211111111110000000000
1356 10987654321098765432109876543210
7684e580 1357 1x111000111xxxxxx01000xxxxxxxxxx
ee804238 1358 ldeoral. */
e54010f1 1359 return 1072;
ee804238
JW
1360 }
1361 }
1362 }
1363 }
1364 else
1365 {
1366 if (((word >> 22) & 0x1) == 0)
1367 {
1368 if (((word >> 23) & 0x1) == 0)
1369 {
1370 if (((word >> 31) & 0x1) == 0)
1371 {
1372 if (((word >> 30) & 0x1) == 0)
1373 {
1374 /* 33222222222211111111110000000000
1375 10987654321098765432109876543210
7684e580 1376 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1377 ldumaxb. */
e54010f1 1378 return 1109;
ee804238
JW
1379 }
1380 else
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
7684e580 1384 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1385 ldumaxh. */
e54010f1 1386 return 1110;
ee804238
JW
1387 }
1388 }
1389 else
1390 {
1391 /* 33222222222211111111110000000000
1392 10987654321098765432109876543210
7684e580 1393 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1394 ldumax. */
e54010f1 1395 return 1111;
ee804238
JW
1396 }
1397 }
1398 else
1399 {
1400 if (((word >> 31) & 0x1) == 0)
1401 {
1402 if (((word >> 30) & 0x1) == 0)
1403 {
1404 /* 33222222222211111111110000000000
1405 10987654321098765432109876543210
7684e580 1406 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1407 ldumaxab. */
e54010f1 1408 return 1112;
ee804238
JW
1409 }
1410 else
1411 {
1412 /* 33222222222211111111110000000000
1413 10987654321098765432109876543210
7684e580 1414 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1415 ldumaxah. */
e54010f1 1416 return 1115;
ee804238
JW
1417 }
1418 }
1419 else
1420 {
1421 /* 33222222222211111111110000000000
1422 10987654321098765432109876543210
7684e580 1423 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1424 ldumaxa. */
e54010f1 1425 return 1118;
ee804238
JW
1426 }
1427 }
1428 }
1429 else
1430 {
1431 if (((word >> 23) & 0x1) == 0)
1432 {
1433 if (((word >> 31) & 0x1) == 0)
1434 {
1435 if (((word >> 30) & 0x1) == 0)
1436 {
1437 /* 33222222222211111111110000000000
1438 10987654321098765432109876543210
7684e580 1439 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1440 ldumaxlb. */
e54010f1 1441 return 1113;
ee804238
JW
1442 }
1443 else
1444 {
1445 /* 33222222222211111111110000000000
1446 10987654321098765432109876543210
7684e580 1447 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1448 ldumaxlh. */
e54010f1 1449 return 1116;
ee804238
JW
1450 }
1451 }
1452 else
1453 {
1454 /* 33222222222211111111110000000000
1455 10987654321098765432109876543210
7684e580 1456 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1457 ldumaxl. */
e54010f1 1458 return 1119;
ee804238
JW
1459 }
1460 }
1461 else
1462 {
1463 if (((word >> 31) & 0x1) == 0)
1464 {
1465 if (((word >> 30) & 0x1) == 0)
1466 {
1467 /* 33222222222211111111110000000000
1468 10987654321098765432109876543210
7684e580 1469 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1470 ldumaxalb. */
e54010f1 1471 return 1114;
ee804238
JW
1472 }
1473 else
1474 {
1475 /* 33222222222211111111110000000000
1476 10987654321098765432109876543210
7684e580 1477 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1478 ldumaxalh. */
e54010f1 1479 return 1117;
ee804238
JW
1480 }
1481 }
1482 else
1483 {
1484 /* 33222222222211111111110000000000
1485 10987654321098765432109876543210
7684e580 1486 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1487 ldumaxal. */
e54010f1 1488 return 1120;
ee804238
JW
1489 }
1490 }
1491 }
1492 }
1493 }
1494 }
1495 else
1496 {
1497 if (((word >> 13) & 0x1) == 0)
1498 {
1499 if (((word >> 14) & 0x1) == 0)
1500 {
1501 if (((word >> 22) & 0x1) == 0)
1502 {
1503 if (((word >> 23) & 0x1) == 0)
1504 {
1505 if (((word >> 31) & 0x1) == 0)
1506 {
1507 if (((word >> 30) & 0x1) == 0)
1508 {
1509 /* 33222222222211111111110000000000
1510 10987654321098765432109876543210
7684e580 1511 00111000001xxxxxx00100xxxxxxxxxx
ee804238 1512 ldclrb. */
e54010f1 1513 return 1049;
ee804238
JW
1514 }
1515 else
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
7684e580 1519 01111000001xxxxxx00100xxxxxxxxxx
ee804238 1520 ldclrh. */
e54010f1 1521 return 1050;
ee804238
JW
1522 }
1523 }
1524 else
1525 {
1526 /* 33222222222211111111110000000000
1527 10987654321098765432109876543210
7684e580 1528 1x111000001xxxxxx00100xxxxxxxxxx
ee804238 1529 ldclr. */
e54010f1 1530 return 1051;
ee804238
JW
1531 }
1532 }
1533 else
1534 {
1535 if (((word >> 31) & 0x1) == 0)
1536 {
1537 if (((word >> 30) & 0x1) == 0)
1538 {
1539 /* 33222222222211111111110000000000
1540 10987654321098765432109876543210
7684e580 1541 00111000101xxxxxx00100xxxxxxxxxx
ee804238 1542 ldclrab. */
e54010f1 1543 return 1052;
ee804238
JW
1544 }
1545 else
1546 {
1547 /* 33222222222211111111110000000000
1548 10987654321098765432109876543210
7684e580 1549 01111000101xxxxxx00100xxxxxxxxxx
ee804238 1550 ldclrah. */
e54010f1 1551 return 1055;
ee804238
JW
1552 }
1553 }
1554 else
1555 {
1556 /* 33222222222211111111110000000000
1557 10987654321098765432109876543210
7684e580 1558 1x111000101xxxxxx00100xxxxxxxxxx
ee804238 1559 ldclra. */
e54010f1 1560 return 1058;
ee804238
JW
1561 }
1562 }
1563 }
1564 else
1565 {
1566 if (((word >> 23) & 0x1) == 0)
1567 {
1568 if (((word >> 31) & 0x1) == 0)
1569 {
1570 if (((word >> 30) & 0x1) == 0)
1571 {
1572 /* 33222222222211111111110000000000
1573 10987654321098765432109876543210
7684e580 1574 00111000011xxxxxx00100xxxxxxxxxx
ee804238 1575 ldclrlb. */
e54010f1 1576 return 1053;
ee804238
JW
1577 }
1578 else
1579 {
1580 /* 33222222222211111111110000000000
1581 10987654321098765432109876543210
7684e580 1582 01111000011xxxxxx00100xxxxxxxxxx
ee804238 1583 ldclrlh. */
e54010f1 1584 return 1056;
ee804238
JW
1585 }
1586 }
1587 else
1588 {
1589 /* 33222222222211111111110000000000
1590 10987654321098765432109876543210
7684e580 1591 1x111000011xxxxxx00100xxxxxxxxxx
ee804238 1592 ldclrl. */
e54010f1 1593 return 1059;
ee804238
JW
1594 }
1595 }
1596 else
1597 {
1598 if (((word >> 31) & 0x1) == 0)
1599 {
1600 if (((word >> 30) & 0x1) == 0)
1601 {
1602 /* 33222222222211111111110000000000
1603 10987654321098765432109876543210
7684e580 1604 00111000111xxxxxx00100xxxxxxxxxx
ee804238 1605 ldclralb. */
e54010f1 1606 return 1054;
ee804238
JW
1607 }
1608 else
1609 {
1610 /* 33222222222211111111110000000000
1611 10987654321098765432109876543210
7684e580 1612 01111000111xxxxxx00100xxxxxxxxxx
ee804238 1613 ldclralh. */
e54010f1 1614 return 1057;
ee804238
JW
1615 }
1616 }
1617 else
1618 {
1619 /* 33222222222211111111110000000000
1620 10987654321098765432109876543210
7684e580 1621 1x111000111xxxxxx00100xxxxxxxxxx
ee804238 1622 ldclral. */
e54010f1 1623 return 1060;
ee804238
JW
1624 }
1625 }
1626 }
1627 }
1628 else
1629 {
1630 if (((word >> 22) & 0x1) == 0)
1631 {
1632 if (((word >> 23) & 0x1) == 0)
1633 {
1634 if (((word >> 31) & 0x1) == 0)
1635 {
1636 if (((word >> 30) & 0x1) == 0)
1637 {
1638 /* 33222222222211111111110000000000
1639 10987654321098765432109876543210
7684e580 1640 00111000001xxxxxx10100xxxxxxxxxx
ee804238 1641 ldsminb. */
e54010f1 1642 return 1097;
ee804238
JW
1643 }
1644 else
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 01111000001xxxxxx10100xxxxxxxxxx
ee804238 1649 ldsminh. */
e54010f1 1650 return 1098;
ee804238
JW
1651 }
1652 }
1653 else
1654 {
1655 /* 33222222222211111111110000000000
1656 10987654321098765432109876543210
7684e580 1657 1x111000001xxxxxx10100xxxxxxxxxx
ee804238 1658 ldsmin. */
e54010f1 1659 return 1099;
ee804238
JW
1660 }
1661 }
1662 else
1663 {
1664 if (((word >> 31) & 0x1) == 0)
1665 {
1666 if (((word >> 30) & 0x1) == 0)
1667 {
1668 /* 33222222222211111111110000000000
1669 10987654321098765432109876543210
7684e580 1670 00111000101xxxxxx10100xxxxxxxxxx
ee804238 1671 ldsminab. */
e54010f1 1672 return 1100;
ee804238
JW
1673 }
1674 else
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
7684e580 1678 01111000101xxxxxx10100xxxxxxxxxx
ee804238 1679 ldsminah. */
e54010f1 1680 return 1103;
ee804238
JW
1681 }
1682 }
1683 else
1684 {
1685 /* 33222222222211111111110000000000
1686 10987654321098765432109876543210
7684e580 1687 1x111000101xxxxxx10100xxxxxxxxxx
ee804238 1688 ldsmina. */
e54010f1 1689 return 1106;
ee804238
JW
1690 }
1691 }
1692 }
1693 else
1694 {
1695 if (((word >> 23) & 0x1) == 0)
1696 {
1697 if (((word >> 31) & 0x1) == 0)
1698 {
1699 if (((word >> 30) & 0x1) == 0)
1700 {
1701 /* 33222222222211111111110000000000
1702 10987654321098765432109876543210
7684e580 1703 00111000011xxxxxx10100xxxxxxxxxx
ee804238 1704 ldsminlb. */
e54010f1 1705 return 1101;
ee804238
JW
1706 }
1707 else
1708 {
1709 /* 33222222222211111111110000000000
1710 10987654321098765432109876543210
7684e580 1711 01111000011xxxxxx10100xxxxxxxxxx
ee804238 1712 ldsminlh. */
e54010f1 1713 return 1104;
ee804238
JW
1714 }
1715 }
1716 else
1717 {
1718 /* 33222222222211111111110000000000
1719 10987654321098765432109876543210
7684e580 1720 1x111000011xxxxxx10100xxxxxxxxxx
ee804238 1721 ldsminl. */
e54010f1 1722 return 1107;
ee804238
JW
1723 }
1724 }
1725 else
1726 {
1727 if (((word >> 31) & 0x1) == 0)
1728 {
1729 if (((word >> 30) & 0x1) == 0)
1730 {
1731 /* 33222222222211111111110000000000
1732 10987654321098765432109876543210
7684e580 1733 00111000111xxxxxx10100xxxxxxxxxx
ee804238 1734 ldsminalb. */
e54010f1 1735 return 1102;
ee804238
JW
1736 }
1737 else
1738 {
1739 /* 33222222222211111111110000000000
1740 10987654321098765432109876543210
7684e580 1741 01111000111xxxxxx10100xxxxxxxxxx
ee804238 1742 ldsminalh. */
e54010f1 1743 return 1105;
ee804238
JW
1744 }
1745 }
1746 else
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
7684e580 1750 1x111000111xxxxxx10100xxxxxxxxxx
ee804238 1751 ldsminal. */
e54010f1 1752 return 1108;
ee804238
JW
1753 }
1754 }
1755 }
1756 }
1757 }
1758 else
1759 {
1760 if (((word >> 14) & 0x1) == 0)
1761 {
1762 if (((word >> 22) & 0x1) == 0)
1763 {
1764 if (((word >> 23) & 0x1) == 0)
1765 {
1766 if (((word >> 31) & 0x1) == 0)
1767 {
1768 if (((word >> 30) & 0x1) == 0)
1769 {
1770 /* 33222222222211111111110000000000
1771 10987654321098765432109876543210
7684e580 1772 00111000001xxxxxx01100xxxxxxxxxx
ee804238 1773 ldsetb. */
e54010f1 1774 return 1073;
ee804238
JW
1775 }
1776 else
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
7684e580 1780 01111000001xxxxxx01100xxxxxxxxxx
ee804238 1781 ldseth. */
e54010f1 1782 return 1074;
ee804238
JW
1783 }
1784 }
1785 else
1786 {
1787 /* 33222222222211111111110000000000
1788 10987654321098765432109876543210
7684e580 1789 1x111000001xxxxxx01100xxxxxxxxxx
ee804238 1790 ldset. */
e54010f1 1791 return 1075;
ee804238
JW
1792 }
1793 }
1794 else
1795 {
1796 if (((word >> 31) & 0x1) == 0)
1797 {
1798 if (((word >> 30) & 0x1) == 0)
1799 {
1800 /* 33222222222211111111110000000000
1801 10987654321098765432109876543210
7684e580 1802 00111000101xxxxxx01100xxxxxxxxxx
ee804238 1803 ldsetab. */
e54010f1 1804 return 1076;
ee804238
JW
1805 }
1806 else
1807 {
1808 /* 33222222222211111111110000000000
1809 10987654321098765432109876543210
7684e580 1810 01111000101xxxxxx01100xxxxxxxxxx
ee804238 1811 ldsetah. */
e54010f1 1812 return 1079;
ee804238
JW
1813 }
1814 }
1815 else
1816 {
1817 /* 33222222222211111111110000000000
1818 10987654321098765432109876543210
7684e580 1819 1x111000101xxxxxx01100xxxxxxxxxx
ee804238 1820 ldseta. */
e54010f1 1821 return 1082;
ee804238
JW
1822 }
1823 }
1824 }
1825 else
1826 {
1827 if (((word >> 23) & 0x1) == 0)
1828 {
1829 if (((word >> 31) & 0x1) == 0)
1830 {
1831 if (((word >> 30) & 0x1) == 0)
1832 {
1833 /* 33222222222211111111110000000000
1834 10987654321098765432109876543210
7684e580 1835 00111000011xxxxxx01100xxxxxxxxxx
ee804238 1836 ldsetlb. */
e54010f1 1837 return 1077;
ee804238
JW
1838 }
1839 else
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
7684e580 1843 01111000011xxxxxx01100xxxxxxxxxx
ee804238 1844 ldsetlh. */
e54010f1 1845 return 1080;
ee804238
JW
1846 }
1847 }
1848 else
1849 {
1850 /* 33222222222211111111110000000000
1851 10987654321098765432109876543210
7684e580 1852 1x111000011xxxxxx01100xxxxxxxxxx
ee804238 1853 ldsetl. */
e54010f1 1854 return 1083;
ee804238
JW
1855 }
1856 }
1857 else
1858 {
1859 if (((word >> 31) & 0x1) == 0)
1860 {
1861 if (((word >> 30) & 0x1) == 0)
1862 {
1863 /* 33222222222211111111110000000000
1864 10987654321098765432109876543210
7684e580 1865 00111000111xxxxxx01100xxxxxxxxxx
ee804238 1866 ldsetalb. */
e54010f1 1867 return 1078;
ee804238
JW
1868 }
1869 else
1870 {
1871 /* 33222222222211111111110000000000
1872 10987654321098765432109876543210
7684e580 1873 01111000111xxxxxx01100xxxxxxxxxx
ee804238 1874 ldsetalh. */
e54010f1 1875 return 1081;
ee804238
JW
1876 }
1877 }
1878 else
1879 {
1880 /* 33222222222211111111110000000000
1881 10987654321098765432109876543210
7684e580 1882 1x111000111xxxxxx01100xxxxxxxxxx
ee804238 1883 ldsetal. */
e54010f1 1884 return 1084;
ee804238
JW
1885 }
1886 }
1887 }
a06ea964
NC
1888 }
1889 else
1890 {
ee804238
JW
1891 if (((word >> 22) & 0x1) == 0)
1892 {
1893 if (((word >> 23) & 0x1) == 0)
1894 {
1895 if (((word >> 31) & 0x1) == 0)
1896 {
1897 if (((word >> 30) & 0x1) == 0)
1898 {
1899 /* 33222222222211111111110000000000
1900 10987654321098765432109876543210
7684e580 1901 00111000001xxxxxx11100xxxxxxxxxx
ee804238 1902 lduminb. */
e54010f1 1903 return 1121;
ee804238
JW
1904 }
1905 else
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 01111000001xxxxxx11100xxxxxxxxxx
ee804238 1910 lduminh. */
e54010f1 1911 return 1122;
ee804238
JW
1912 }
1913 }
1914 else
1915 {
1916 /* 33222222222211111111110000000000
1917 10987654321098765432109876543210
7684e580 1918 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 1919 ldumin. */
e54010f1 1920 return 1123;
ee804238
JW
1921 }
1922 }
1923 else
1924 {
1925 if (((word >> 31) & 0x1) == 0)
1926 {
1927 if (((word >> 30) & 0x1) == 0)
1928 {
1929 /* 33222222222211111111110000000000
1930 10987654321098765432109876543210
7684e580 1931 00111000101xxxxxx11100xxxxxxxxxx
ee804238 1932 lduminab. */
e54010f1 1933 return 1124;
ee804238
JW
1934 }
1935 else
1936 {
1937 /* 33222222222211111111110000000000
1938 10987654321098765432109876543210
7684e580 1939 01111000101xxxxxx11100xxxxxxxxxx
ee804238 1940 lduminah. */
e54010f1 1941 return 1127;
ee804238
JW
1942 }
1943 }
1944 else
1945 {
1946 /* 33222222222211111111110000000000
1947 10987654321098765432109876543210
7684e580 1948 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 1949 ldumina. */
e54010f1 1950 return 1130;
ee804238
JW
1951 }
1952 }
1953 }
1954 else
1955 {
1956 if (((word >> 23) & 0x1) == 0)
1957 {
1958 if (((word >> 31) & 0x1) == 0)
1959 {
1960 if (((word >> 30) & 0x1) == 0)
1961 {
1962 /* 33222222222211111111110000000000
1963 10987654321098765432109876543210
7684e580 1964 00111000011xxxxxx11100xxxxxxxxxx
ee804238 1965 lduminlb. */
e54010f1 1966 return 1125;
ee804238
JW
1967 }
1968 else
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 01111000011xxxxxx11100xxxxxxxxxx
ee804238 1973 lduminlh. */
e54010f1 1974 return 1128;
ee804238
JW
1975 }
1976 }
1977 else
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
7684e580 1981 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 1982 lduminl. */
e54010f1 1983 return 1131;
ee804238
JW
1984 }
1985 }
1986 else
1987 {
1988 if (((word >> 31) & 0x1) == 0)
1989 {
1990 if (((word >> 30) & 0x1) == 0)
1991 {
1992 /* 33222222222211111111110000000000
1993 10987654321098765432109876543210
7684e580 1994 00111000111xxxxxx11100xxxxxxxxxx
ee804238 1995 lduminalb. */
e54010f1 1996 return 1126;
ee804238
JW
1997 }
1998 else
1999 {
2000 /* 33222222222211111111110000000000
2001 10987654321098765432109876543210
7684e580 2002 01111000111xxxxxx11100xxxxxxxxxx
ee804238 2003 lduminalh. */
e54010f1 2004 return 1129;
ee804238
JW
2005 }
2006 }
2007 else
2008 {
2009 /* 33222222222211111111110000000000
2010 10987654321098765432109876543210
7684e580 2011 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 2012 lduminal. */
e54010f1 2013 return 1132;
ee804238
JW
2014 }
2015 }
2016 }
a06ea964
NC
2017 }
2018 }
a06ea964
NC
2019 }
2020 }
2021 }
2022 else
2023 {
2024 if (((word >> 21) & 0x1) == 0)
2025 {
2026 if (((word >> 23) & 0x1) == 0)
2027 {
2028 if (((word >> 22) & 0x1) == 0)
2029 {
2030 if (((word >> 31) & 0x1) == 0)
2031 {
2032 if (((word >> 30) & 0x1) == 0)
2033 {
2034 /* 33222222222211111111110000000000
2035 10987654321098765432109876543210
7684e580 2036 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2037 sttrb. */
fb3265b3 2038 return 912;
a06ea964
NC
2039 }
2040 else
2041 {
2042 /* 33222222222211111111110000000000
2043 10987654321098765432109876543210
7684e580 2044 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2045 sttrh. */
fb3265b3 2046 return 915;
a06ea964
NC
2047 }
2048 }
2049 else
2050 {
2051 /* 33222222222211111111110000000000
2052 10987654321098765432109876543210
7684e580 2053 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2054 sttr. */
fb3265b3 2055 return 918;
a06ea964
NC
2056 }
2057 }
2058 else
2059 {
2060 if (((word >> 31) & 0x1) == 0)
2061 {
2062 if (((word >> 30) & 0x1) == 0)
2063 {
2064 /* 33222222222211111111110000000000
2065 10987654321098765432109876543210
7684e580 2066 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2067 ldtrb. */
fb3265b3 2068 return 913;
a06ea964
NC
2069 }
2070 else
2071 {
2072 /* 33222222222211111111110000000000
2073 10987654321098765432109876543210
7684e580 2074 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2075 ldtrh. */
fb3265b3 2076 return 916;
a06ea964
NC
2077 }
2078 }
2079 else
2080 {
2081 /* 33222222222211111111110000000000
2082 10987654321098765432109876543210
7684e580 2083 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2084 ldtr. */
fb3265b3 2085 return 919;
a06ea964
NC
2086 }
2087 }
2088 }
2089 else
2090 {
2091 if (((word >> 30) & 0x1) == 0)
2092 {
2093 if (((word >> 31) & 0x1) == 0)
2094 {
2095 /* 33222222222211111111110000000000
2096 10987654321098765432109876543210
7684e580 2097 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2098 ldtrsb. */
fb3265b3 2099 return 914;
a06ea964
NC
2100 }
2101 else
2102 {
2103 /* 33222222222211111111110000000000
2104 10987654321098765432109876543210
7684e580 2105 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2106 ldtrsw. */
fb3265b3 2107 return 920;
a06ea964
NC
2108 }
2109 }
2110 else
2111 {
2112 /* 33222222222211111111110000000000
2113 10987654321098765432109876543210
7684e580 2114 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2115 ldtrsh. */
fb3265b3 2116 return 917;
a06ea964
NC
2117 }
2118 }
2119 }
2120 else
2121 {
2122 if (((word >> 23) & 0x1) == 0)
2123 {
2124 if (((word >> 22) & 0x1) == 0)
2125 {
2126 if (((word >> 31) & 0x1) == 0)
2127 {
2128 if (((word >> 30) & 0x1) == 0)
2129 {
2130 /* 33222222222211111111110000000000
2131 10987654321098765432109876543210
7684e580 2132 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2133 strb. */
fb3265b3 2134 return 900;
a06ea964
NC
2135 }
2136 else
2137 {
2138 /* 33222222222211111111110000000000
2139 10987654321098765432109876543210
7684e580 2140 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2141 strh. */
fb3265b3 2142 return 905;
a06ea964
NC
2143 }
2144 }
2145 else
2146 {
2147 /* 33222222222211111111110000000000
2148 10987654321098765432109876543210
7684e580 2149 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2150 str. */
fb3265b3 2151 return 908;
a06ea964
NC
2152 }
2153 }
2154 else
2155 {
2156 if (((word >> 31) & 0x1) == 0)
2157 {
2158 if (((word >> 30) & 0x1) == 0)
2159 {
2160 /* 33222222222211111111110000000000
2161 10987654321098765432109876543210
7684e580 2162 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2163 ldrb. */
fb3265b3 2164 return 901;
a06ea964
NC
2165 }
2166 else
2167 {
2168 /* 33222222222211111111110000000000
2169 10987654321098765432109876543210
7684e580 2170 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2171 ldrh. */
fb3265b3 2172 return 906;
a06ea964
NC
2173 }
2174 }
2175 else
2176 {
2177 /* 33222222222211111111110000000000
2178 10987654321098765432109876543210
7684e580 2179 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2180 ldr. */
fb3265b3 2181 return 909;
a06ea964
NC
2182 }
2183 }
2184 }
2185 else
2186 {
2187 if (((word >> 30) & 0x1) == 0)
2188 {
2189 if (((word >> 31) & 0x1) == 0)
2190 {
2191 /* 33222222222211111111110000000000
2192 10987654321098765432109876543210
7684e580 2193 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2194 ldrsb. */
fb3265b3 2195 return 902;
a06ea964
NC
2196 }
2197 else
2198 {
2199 /* 33222222222211111111110000000000
2200 10987654321098765432109876543210
7684e580 2201 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2202 ldrsw. */
fb3265b3 2203 return 910;
a06ea964
NC
2204 }
2205 }
2206 else
2207 {
2208 if (((word >> 31) & 0x1) == 0)
2209 {
2210 /* 33222222222211111111110000000000
2211 10987654321098765432109876543210
7684e580 2212 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2213 ldrsh. */
fb3265b3 2214 return 907;
a06ea964
NC
2215 }
2216 else
2217 {
2218 /* 33222222222211111111110000000000
2219 10987654321098765432109876543210
7684e580 2220 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2221 prfm. */
fb3265b3 2222 return 911;
a06ea964
NC
2223 }
2224 }
2225 }
2226 }
2227 }
2228 }
2229 else
2230 {
3f06e550 2231 if (((word >> 21) & 0x1) == 0)
a06ea964 2232 {
3f06e550 2233 if (((word >> 23) & 0x1) == 0)
a06ea964 2234 {
3f06e550 2235 if (((word >> 22) & 0x1) == 0)
a06ea964 2236 {
3f06e550 2237 if (((word >> 31) & 0x1) == 0)
a06ea964 2238 {
3f06e550
SN
2239 if (((word >> 30) & 0x1) == 0)
2240 {
2241 /* 33222222222211111111110000000000
2242 10987654321098765432109876543210
7684e580 2243 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2244 strb. */
b731bc3b 2245 return 869;
3f06e550
SN
2246 }
2247 else
2248 {
2249 /* 33222222222211111111110000000000
2250 10987654321098765432109876543210
7684e580 2251 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2252 strh. */
b731bc3b 2253 return 874;
3f06e550 2254 }
a06ea964
NC
2255 }
2256 else
2257 {
2258 /* 33222222222211111111110000000000
2259 10987654321098765432109876543210
7684e580 2260 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2261 str. */
b731bc3b 2262 return 877;
a06ea964
NC
2263 }
2264 }
2265 else
2266 {
3f06e550
SN
2267 if (((word >> 31) & 0x1) == 0)
2268 {
2269 if (((word >> 30) & 0x1) == 0)
2270 {
2271 /* 33222222222211111111110000000000
2272 10987654321098765432109876543210
7684e580 2273 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2274 ldrb. */
b731bc3b 2275 return 870;
3f06e550
SN
2276 }
2277 else
2278 {
2279 /* 33222222222211111111110000000000
2280 10987654321098765432109876543210
7684e580 2281 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2282 ldrh. */
b731bc3b 2283 return 875;
3f06e550
SN
2284 }
2285 }
2286 else
2287 {
2288 /* 33222222222211111111110000000000
2289 10987654321098765432109876543210
7684e580 2290 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2291 ldr. */
b731bc3b 2292 return 878;
3f06e550 2293 }
a06ea964
NC
2294 }
2295 }
2296 else
2297 {
3f06e550 2298 if (((word >> 30) & 0x1) == 0)
a06ea964 2299 {
3f06e550 2300 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2301 {
2302 /* 33222222222211111111110000000000
2303 10987654321098765432109876543210
7684e580 2304 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2305 ldrsb. */
b731bc3b 2306 return 871;
a06ea964
NC
2307 }
2308 else
2309 {
2310 /* 33222222222211111111110000000000
2311 10987654321098765432109876543210
7684e580 2312 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2313 ldrsw. */
b731bc3b 2314 return 879;
a06ea964
NC
2315 }
2316 }
2317 else
2318 {
2319 /* 33222222222211111111110000000000
2320 10987654321098765432109876543210
7684e580 2321 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2322 ldrsh. */
b731bc3b 2323 return 876;
a06ea964
NC
2324 }
2325 }
2326 }
2327 else
2328 {
3f06e550 2329 if (((word >> 23) & 0x1) == 0)
a06ea964 2330 {
3f06e550
SN
2331 /* 33222222222211111111110000000000
2332 10987654321098765432109876543210
7684e580 2333 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2334 ldraa. */
e6025b54 2335 return 934;
a06ea964
NC
2336 }
2337 else
2338 {
2339 /* 33222222222211111111110000000000
2340 10987654321098765432109876543210
7684e580 2341 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2342 ldrab. */
e6025b54 2343 return 935;
a06ea964
NC
2344 }
2345 }
2346 }
2347 }
2348 }
2349 else
2350 {
2351 if (((word >> 23) & 0x1) == 0)
2352 {
2353 if (((word >> 22) & 0x1) == 0)
2354 {
e9dbdd80 2355 if (((word >> 29) & 0x1) == 0)
a06ea964 2356 {
fb3265b3 2357 if (((word >> 10) & 0x1) == 0)
a06ea964 2358 {
fb3265b3 2359 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2360 {
20a4ca55 2361 if (((word >> 21) & 0x1) == 0)
fb3265b3 2362 {
20a4ca55 2363 if (((word >> 30) & 0x1) == 0)
fb3265b3 2364 {
20a4ca55
SD
2365 if (((word >> 31) & 0x1) == 0)
2366 {
2367 /* 33222222222211111111110000000000
2368 10987654321098765432109876543210
2369 00011001000xxxxxxxxx00xxxxxxxxxx
2370 stlurb. */
b83b4b13 2371 return 2086;
20a4ca55
SD
2372 }
2373 else
2374 {
2375 /* 33222222222211111111110000000000
2376 10987654321098765432109876543210
2377 10011001000xxxxxxxxx00xxxxxxxxxx
2378 stlur. */
b83b4b13 2379 return 2094;
20a4ca55 2380 }
fb3265b3
SD
2381 }
2382 else
2383 {
20a4ca55
SD
2384 if (((word >> 31) & 0x1) == 0)
2385 {
2386 /* 33222222222211111111110000000000
2387 10987654321098765432109876543210
2388 01011001000xxxxxxxxx00xxxxxxxxxx
2389 stlurh. */
b83b4b13 2390 return 2090;
20a4ca55
SD
2391 }
2392 else
2393 {
2394 /* 33222222222211111111110000000000
2395 10987654321098765432109876543210
2396 11011001000xxxxxxxxx00xxxxxxxxxx
2397 stlur. */
b83b4b13 2398 return 2097;
20a4ca55 2399 }
fb3265b3
SD
2400 }
2401 }
2402 else
2403 {
20a4ca55
SD
2404 /* 33222222222211111111110000000000
2405 10987654321098765432109876543210
2406 xx011001001xxxxxxxxx00xxxxxxxxxx
2407 stzgm. */
e54010f1 2408 return 963;
fb3265b3 2409 }
e9dbdd80
TC
2410 }
2411 else
2412 {
2413 /* 33222222222211111111110000000000
2414 10987654321098765432109876543210
fb3265b3
SD
2415 xx01100100xxxxxxxxxx10xxxxxxxxxx
2416 stg. */
2417 return 880;
e9dbdd80 2418 }
a06ea964
NC
2419 }
2420 else
2421 {
fb3265b3
SD
2422 /* 33222222222211111111110000000000
2423 10987654321098765432109876543210
2424 xx01100100xxxxxxxxxxx1xxxxxxxxxx
2425 stg. */
2426 return 884;
a06ea964
NC
2427 }
2428 }
2429 else
2430 {
e9dbdd80
TC
2431 if (((word >> 31) & 0x1) == 0)
2432 {
2433 if (((word >> 30) & 0x1) == 0)
2434 {
2435 /* 33222222222211111111110000000000
2436 10987654321098765432109876543210
2437 0011100100xxxxxxxxxxxxxxxxxxxxxx
2438 strb. */
fb3265b3 2439 return 888;
e9dbdd80
TC
2440 }
2441 else
2442 {
2443 /* 33222222222211111111110000000000
2444 10987654321098765432109876543210
2445 0111100100xxxxxxxxxxxxxxxxxxxxxx
2446 strh. */
fb3265b3 2447 return 893;
e9dbdd80
TC
2448 }
2449 }
2450 else
2451 {
2452 /* 33222222222211111111110000000000
2453 10987654321098765432109876543210
2454 1x11100100xxxxxxxxxxxxxxxxxxxxxx
2455 str. */
fb3265b3 2456 return 896;
e9dbdd80 2457 }
a06ea964
NC
2458 }
2459 }
2460 else
2461 {
e9dbdd80 2462 if (((word >> 29) & 0x1) == 0)
a06ea964 2463 {
fb3265b3 2464 if (((word >> 10) & 0x1) == 0)
a06ea964 2465 {
fb3265b3 2466 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2467 {
e6025b54 2468 if (((word >> 21) & 0x1) == 0)
fb3265b3 2469 {
e6025b54 2470 if (((word >> 30) & 0x1) == 0)
fb3265b3 2471 {
e6025b54
SD
2472 if (((word >> 31) & 0x1) == 0)
2473 {
2474 /* 33222222222211111111110000000000
2475 10987654321098765432109876543210
2476 00011001010xxxxxxxxx00xxxxxxxxxx
2477 ldapurb. */
b83b4b13 2478 return 2087;
e6025b54
SD
2479 }
2480 else
2481 {
2482 /* 33222222222211111111110000000000
2483 10987654321098765432109876543210
2484 10011001010xxxxxxxxx00xxxxxxxxxx
2485 ldapur. */
b83b4b13 2486 return 2095;
e6025b54 2487 }
fb3265b3
SD
2488 }
2489 else
2490 {
e6025b54
SD
2491 if (((word >> 31) & 0x1) == 0)
2492 {
2493 /* 33222222222211111111110000000000
2494 10987654321098765432109876543210
2495 01011001010xxxxxxxxx00xxxxxxxxxx
2496 ldapurh. */
b83b4b13 2497 return 2091;
e6025b54
SD
2498 }
2499 else
2500 {
2501 /* 33222222222211111111110000000000
2502 10987654321098765432109876543210
2503 11011001010xxxxxxxxx00xxxxxxxxxx
2504 ldapur. */
b83b4b13 2505 return 2098;
e6025b54 2506 }
fb3265b3
SD
2507 }
2508 }
2509 else
2510 {
e6025b54
SD
2511 /* 33222222222211111111110000000000
2512 10987654321098765432109876543210
2513 xx011001011xxxxxxxxx00xxxxxxxxxx
2514 ldg. */
2515 return 933;
fb3265b3 2516 }
e9dbdd80
TC
2517 }
2518 else
2519 {
2520 /* 33222222222211111111110000000000
2521 10987654321098765432109876543210
fb3265b3
SD
2522 xx01100101xxxxxxxxxx10xxxxxxxxxx
2523 stzg. */
2524 return 881;
e9dbdd80 2525 }
a06ea964
NC
2526 }
2527 else
2528 {
fb3265b3
SD
2529 /* 33222222222211111111110000000000
2530 10987654321098765432109876543210
2531 xx01100101xxxxxxxxxxx1xxxxxxxxxx
2532 stzg. */
2533 return 885;
a06ea964
NC
2534 }
2535 }
2536 else
2537 {
e9dbdd80
TC
2538 if (((word >> 31) & 0x1) == 0)
2539 {
2540 if (((word >> 30) & 0x1) == 0)
2541 {
2542 /* 33222222222211111111110000000000
2543 10987654321098765432109876543210
2544 0011100101xxxxxxxxxxxxxxxxxxxxxx
2545 ldrb. */
fb3265b3 2546 return 889;
e9dbdd80
TC
2547 }
2548 else
2549 {
2550 /* 33222222222211111111110000000000
2551 10987654321098765432109876543210
2552 0111100101xxxxxxxxxxxxxxxxxxxxxx
2553 ldrh. */
fb3265b3 2554 return 894;
e9dbdd80
TC
2555 }
2556 }
2557 else
2558 {
2559 /* 33222222222211111111110000000000
2560 10987654321098765432109876543210
2561 1x11100101xxxxxxxxxxxxxxxxxxxxxx
2562 ldr. */
fb3265b3
SD
2563 return 897;
2564 }
2565 }
2566 }
2567 }
2568 else
2569 {
2570 if (((word >> 29) & 0x1) == 0)
2571 {
2572 if (((word >> 10) & 0x1) == 0)
2573 {
2574 if (((word >> 11) & 0x1) == 0)
2575 {
e54010f1 2576 if (((word >> 21) & 0x1) == 0)
fb3265b3 2577 {
e54010f1 2578 if (((word >> 22) & 0x1) == 0)
fb3265b3 2579 {
e54010f1 2580 if (((word >> 30) & 0x1) == 0)
503ba600 2581 {
e54010f1
SD
2582 if (((word >> 31) & 0x1) == 0)
2583 {
2584 /* 33222222222211111111110000000000
2585 10987654321098765432109876543210
2586 00011001100xxxxxxxxx00xxxxxxxxxx
2587 ldapursb. */
b83b4b13 2588 return 2089;
e54010f1
SD
2589 }
2590 else
2591 {
2592 /* 33222222222211111111110000000000
2593 10987654321098765432109876543210
2594 10011001100xxxxxxxxx00xxxxxxxxxx
2595 ldapursw. */
b83b4b13 2596 return 2096;
e54010f1 2597 }
503ba600
SD
2598 }
2599 else
2600 {
2601 /* 33222222222211111111110000000000
2602 10987654321098765432109876543210
e54010f1
SD
2603 x1011001100xxxxxxxxx00xxxxxxxxxx
2604 ldapursh. */
b83b4b13 2605 return 2093;
503ba600 2606 }
fb3265b3 2607 }
550fd7bf
SD
2608 else
2609 {
e54010f1
SD
2610 if (((word >> 30) & 0x1) == 0)
2611 {
2612 /* 33222222222211111111110000000000
2613 10987654321098765432109876543210
2614 x0011001110xxxxxxxxx00xxxxxxxxxx
2615 ldapursb. */
b83b4b13 2616 return 2088;
e54010f1
SD
2617 }
2618 else
2619 {
2620 /* 33222222222211111111110000000000
2621 10987654321098765432109876543210
2622 x1011001110xxxxxxxxx00xxxxxxxxxx
2623 ldapursh. */
b83b4b13 2624 return 2092;
e54010f1 2625 }
550fd7bf 2626 }
fb3265b3
SD
2627 }
2628 else
2629 {
e54010f1 2630 if (((word >> 22) & 0x1) == 0)
fb3265b3
SD
2631 {
2632 /* 33222222222211111111110000000000
2633 10987654321098765432109876543210
e54010f1
SD
2634 xx011001101xxxxxxxxx00xxxxxxxxxx
2635 stgm. */
2636 return 962;
fb3265b3
SD
2637 }
2638 else
2639 {
2640 /* 33222222222211111111110000000000
2641 10987654321098765432109876543210
e54010f1
SD
2642 xx011001111xxxxxxxxx00xxxxxxxxxx
2643 ldgm. */
2644 return 961;
fb3265b3
SD
2645 }
2646 }
e9dbdd80 2647 }
fb3265b3 2648 else
e9dbdd80 2649 {
fb3265b3 2650 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2651 {
2652 /* 33222222222211111111110000000000
2653 10987654321098765432109876543210
fb3265b3
SD
2654 xx01100110xxxxxxxxxx10xxxxxxxxxx
2655 st2g. */
2656 return 882;
e9dbdd80
TC
2657 }
2658 else
2659 {
2660 /* 33222222222211111111110000000000
2661 10987654321098765432109876543210
fb3265b3
SD
2662 xx01100111xxxxxxxxxx10xxxxxxxxxx
2663 stz2g. */
2664 return 883;
e9dbdd80
TC
2665 }
2666 }
a06ea964
NC
2667 }
2668 else
2669 {
fb3265b3 2670 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2671 {
2672 /* 33222222222211111111110000000000
2673 10987654321098765432109876543210
fb3265b3
SD
2674 xx01100110xxxxxxxxxxx1xxxxxxxxxx
2675 st2g. */
2676 return 886;
e9dbdd80
TC
2677 }
2678 else
2679 {
2680 /* 33222222222211111111110000000000
2681 10987654321098765432109876543210
fb3265b3
SD
2682 xx01100111xxxxxxxxxxx1xxxxxxxxxx
2683 stz2g. */
2684 return 887;
e9dbdd80 2685 }
a06ea964
NC
2686 }
2687 }
2688 else
2689 {
e9dbdd80 2690 if (((word >> 30) & 0x1) == 0)
a06ea964 2691 {
e9dbdd80
TC
2692 if (((word >> 31) & 0x1) == 0)
2693 {
2694 /* 33222222222211111111110000000000
2695 10987654321098765432109876543210
2696 001110011xxxxxxxxxxxxxxxxxxxxxxx
2697 ldrsb. */
fb3265b3 2698 return 890;
e9dbdd80
TC
2699 }
2700 else
2701 {
2702 /* 33222222222211111111110000000000
2703 10987654321098765432109876543210
2704 101110011xxxxxxxxxxxxxxxxxxxxxxx
2705 ldrsw. */
fb3265b3 2706 return 898;
e9dbdd80 2707 }
a06ea964
NC
2708 }
2709 else
2710 {
e9dbdd80
TC
2711 if (((word >> 31) & 0x1) == 0)
2712 {
2713 /* 33222222222211111111110000000000
2714 10987654321098765432109876543210
2715 011110011xxxxxxxxxxxxxxxxxxxxxxx
2716 ldrsh. */
fb3265b3 2717 return 895;
e9dbdd80
TC
2718 }
2719 else
2720 {
2721 /* 33222222222211111111110000000000
2722 10987654321098765432109876543210
2723 111110011xxxxxxxxxxxxxxxxxxxxxxx
2724 prfm. */
fb3265b3 2725 return 899;
e9dbdd80 2726 }
a06ea964
NC
2727 }
2728 }
2729 }
2730 }
2731 }
2732 }
2733 }
2734 else
2735 {
2736 if (((word >> 24) & 0x1) == 0)
2737 {
2738 if (((word >> 27) & 0x1) == 0)
2739 {
2740 if (((word >> 23) & 0x1) == 0)
2741 {
2742 if (((word >> 29) & 0x1) == 0)
2743 {
2744 if (((word >> 30) & 0x1) == 0)
2745 {
2746 /* 33222222222211111111110000000000
2747 10987654321098765432109876543210
7684e580 2748 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2749 and. */
e54010f1 2750 return 990;
a06ea964
NC
2751 }
2752 else
2753 {
2754 /* 33222222222211111111110000000000
2755 10987654321098765432109876543210
7684e580 2756 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2757 eor. */
e54010f1 2758 return 994;
a06ea964
NC
2759 }
2760 }
2761 else
2762 {
2763 if (((word >> 30) & 0x1) == 0)
2764 {
2765 /* 33222222222211111111110000000000
2766 10987654321098765432109876543210
7684e580 2767 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2768 orr. */
e54010f1 2769 return 992;
a06ea964
NC
2770 }
2771 else
2772 {
2773 /* 33222222222211111111110000000000
2774 10987654321098765432109876543210
7684e580 2775 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2776 ands. */
e54010f1 2777 return 995;
a06ea964
NC
2778 }
2779 }
2780 }
2781 else
2782 {
2783 if (((word >> 29) & 0x1) == 0)
2784 {
2785 if (((word >> 30) & 0x1) == 0)
2786 {
2787 /* 33222222222211111111110000000000
2788 10987654321098765432109876543210
7684e580 2789 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2790 movn. */
e54010f1 2791 return 1181;
a06ea964
NC
2792 }
2793 else
2794 {
2795 /* 33222222222211111111110000000000
2796 10987654321098765432109876543210
7684e580 2797 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2798 movz. */
e54010f1 2799 return 1183;
a06ea964
NC
2800 }
2801 }
2802 else
2803 {
2804 /* 33222222222211111111110000000000
2805 10987654321098765432109876543210
7684e580 2806 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2807 movk. */
e54010f1 2808 return 1185;
a06ea964
NC
2809 }
2810 }
2811 }
2812 else
2813 {
2814 if (((word >> 21) & 0x1) == 0)
2815 {
2816 if (((word >> 28) & 0x1) == 0)
2817 {
2818 if (((word >> 29) & 0x1) == 0)
2819 {
2820 if (((word >> 30) & 0x1) == 0)
2821 {
2822 /* 33222222222211111111110000000000
2823 10987654321098765432109876543210
7684e580 2824 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2825 and. */
e54010f1 2826 return 997;
a06ea964
NC
2827 }
2828 else
2829 {
2830 /* 33222222222211111111110000000000
2831 10987654321098765432109876543210
7684e580 2832 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2833 eor. */
e54010f1 2834 return 1004;
a06ea964
NC
2835 }
2836 }
2837 else
2838 {
2839 if (((word >> 30) & 0x1) == 0)
2840 {
2841 /* 33222222222211111111110000000000
2842 10987654321098765432109876543210
7684e580 2843 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2844 orr. */
e54010f1 2845 return 999;
a06ea964
NC
2846 }
2847 else
2848 {
2849 /* 33222222222211111111110000000000
2850 10987654321098765432109876543210
7684e580 2851 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2852 ands. */
e54010f1 2853 return 1006;
a06ea964
NC
2854 }
2855 }
2856 }
2857 else
2858 {
2859 if (((word >> 10) & 0x1) == 0)
2860 {
2861 if (((word >> 11) & 0x1) == 0)
2862 {
2863 if (((word >> 22) & 0x1) == 0)
2864 {
2865 if (((word >> 23) & 0x1) == 0)
2866 {
2867 if (((word >> 29) & 0x1) == 0)
2868 {
2869 if (((word >> 30) & 0x1) == 0)
2870 {
2871 /* 33222222222211111111110000000000
2872 10987654321098765432109876543210
7684e580 2873 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2874 adc. */
2875 return 0;
2876 }
2877 else
2878 {
2879 /* 33222222222211111111110000000000
2880 10987654321098765432109876543210
7684e580 2881 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2882 sbc. */
2883 return 2;
2884 }
2885 }
2886 else
2887 {
2888 if (((word >> 30) & 0x1) == 0)
2889 {
2890 /* 33222222222211111111110000000000
2891 10987654321098765432109876543210
7684e580 2892 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2893 adcs. */
2894 return 1;
2895 }
2896 else
2897 {
2898 /* 33222222222211111111110000000000
2899 10987654321098765432109876543210
7684e580 2900 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2901 sbcs. */
2902 return 4;
2903 }
2904 }
2905 }
2906 else
2907 {
2908 if (((word >> 30) & 0x1) == 0)
2909 {
2910 /* 33222222222211111111110000000000
2911 10987654321098765432109876543210
7684e580 2912 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2913 csel. */
193614f2 2914 return 662;
a06ea964
NC
2915 }
2916 else
2917 {
2918 /* 33222222222211111111110000000000
2919 10987654321098765432109876543210
7684e580 2920 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2921 csinv. */
193614f2 2922 return 666;
a06ea964
NC
2923 }
2924 }
2925 }
2926 else
2927 {
2928 if (((word >> 23) & 0x1) == 0)
2929 {
2930 if (((word >> 30) & 0x1) == 0)
2931 {
2932 /* 33222222222211111111110000000000
2933 10987654321098765432109876543210
7684e580 2934 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2935 ccmn. */
193614f2 2936 return 660;
a06ea964
NC
2937 }
2938 else
2939 {
2940 /* 33222222222211111111110000000000
2941 10987654321098765432109876543210
7684e580 2942 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2943 ccmp. */
193614f2 2944 return 661;
a06ea964
NC
2945 }
2946 }
2947 else
2948 {
2949 if (((word >> 12) & 0x1) == 0)
2950 {
2951 if (((word >> 13) & 0x1) == 0)
2952 {
e60bb1dd 2953 if (((word >> 14) & 0x1) == 0)
a2cfc830 2954 {
b731bc3b 2955 if (((word >> 29) & 0x1) == 0)
a2cfc830 2956 {
b731bc3b
SD
2957 if (((word >> 30) & 0x1) == 0)
2958 {
2959 /* 33222222222211111111110000000000
2960 10987654321098765432109876543210
2961 x0011010110xxxxxx00000xxxxxxxxxx
2962 subp. */
2963 return 721;
2964 }
2965 else
2966 {
2967 if (((word >> 16) & 0x1) == 0)
2968 {
2969 /* 33222222222211111111110000000000
2970 10987654321098765432109876543210
2971 x1011010110xxxx0x00000xxxxxxxxxx
2972 rbit. */
2973 return 685;
2974 }
2975 else
2976 {
2977 /* 33222222222211111111110000000000
2978 10987654321098765432109876543210
2979 x1011010110xxxx1x00000xxxxxxxxxx
2980 pacia. */
2981 return 693;
2982 }
2983 }
a2cfc830
SN
2984 }
2985 else
2986 {
2987 /* 33222222222211111111110000000000
2988 10987654321098765432109876543210
b731bc3b
SD
2989 xx111010110xxxxxx00000xxxxxxxxxx
2990 subps. */
2991 return 722;
a2cfc830
SN
2992 }
2993 }
2994 else
2995 {
2996 if (((word >> 30) & 0x1) == 0)
2997 {
2998 /* 33222222222211111111110000000000
2999 10987654321098765432109876543210
7684e580 3000 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3001 crc32b. */
b731bc3b 3002 return 727;
a2cfc830
SN
3003 }
3004 else
3005 {
3006 /* 33222222222211111111110000000000
3007 10987654321098765432109876543210
7684e580 3008 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3009 xpaci. */
193614f2 3010 return 709;
a2cfc830
SN
3011 }
3012 }
3013 }
3014 else
3015 {
3016 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3017 {
3018 /* 33222222222211111111110000000000
3019 10987654321098765432109876543210
7684e580 3020 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3021 lslv. */
193614f2 3022 return 713;
e60bb1dd
YZ
3023 }
3024 else
3025 {
3026 /* 33222222222211111111110000000000
3027 10987654321098765432109876543210
7684e580 3028 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3029 paciza. */
193614f2 3030 return 701;
e60bb1dd 3031 }
a06ea964 3032 }
a06ea964
NC
3033 }
3034 else
3035 {
a2cfc830 3036 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3037 {
a2cfc830
SN
3038 if (((word >> 14) & 0x1) == 0)
3039 {
193614f2 3040 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3041 {
3042 /* 33222222222211111111110000000000
3043 10987654321098765432109876543210
193614f2
SD
3044 x0x11010110xxxxxx00100xxxxxxxxxx
3045 irg. */
b731bc3b 3046 return 724;
a2cfc830
SN
3047 }
3048 else
3049 {
193614f2
SD
3050 if (((word >> 16) & 0x1) == 0)
3051 {
3052 /* 33222222222211111111110000000000
3053 10987654321098765432109876543210
3054 x1x11010110xxxx0x00100xxxxxxxxxx
3055 clz. */
3056 return 690;
3057 }
3058 else
3059 {
3060 /* 33222222222211111111110000000000
3061 10987654321098765432109876543210
3062 x1x11010110xxxx1x00100xxxxxxxxxx
3063 autia. */
3064 return 697;
3065 }
a2cfc830
SN
3066 }
3067 }
3068 else
3069 {
3070 /* 33222222222211111111110000000000
3071 10987654321098765432109876543210
7684e580 3072 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 3073 crc32cb. */
b731bc3b 3074 return 731;
a2cfc830 3075 }
e60bb1dd
YZ
3076 }
3077 else
3078 {
c84364ec
SN
3079 if (((word >> 30) & 0x1) == 0)
3080 {
3081 /* 33222222222211111111110000000000
3082 10987654321098765432109876543210
7684e580 3083 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3084 pacga. */
b731bc3b 3085 return 726;
c84364ec
SN
3086 }
3087 else
3088 {
3089 /* 33222222222211111111110000000000
3090 10987654321098765432109876543210
7684e580 3091 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3092 autiza. */
193614f2 3093 return 705;
c84364ec 3094 }
e60bb1dd 3095 }
a06ea964
NC
3096 }
3097 }
3098 }
3099 }
3100 else
3101 {
e9dbdd80 3102 if (((word >> 22) & 0x1) == 0)
a06ea964 3103 {
e9dbdd80 3104 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
3105 {
3106 /* 33222222222211111111110000000000
3107 10987654321098765432109876543210
e9dbdd80
TC
3108 xxx11010x00xxxxxx0xx10xxxxxxxxxx
3109 setf8. */
b83b4b13 3110 return 2084;
a06ea964
NC
3111 }
3112 else
3113 {
3114 /* 33222222222211111111110000000000
3115 10987654321098765432109876543210
e9dbdd80
TC
3116 xxx11010x00xxxxxx1xx10xxxxxxxxxx
3117 setf16. */
b83b4b13 3118 return 2085;
a06ea964
NC
3119 }
3120 }
3121 else
3122 {
e9dbdd80 3123 if (((word >> 23) & 0x1) == 0)
a06ea964 3124 {
e9dbdd80 3125 if (((word >> 30) & 0x1) == 0)
a06ea964 3126 {
e9dbdd80
TC
3127 /* 33222222222211111111110000000000
3128 10987654321098765432109876543210
3129 x0x11010010xxxxxxxxx10xxxxxxxxxx
3130 ccmn. */
193614f2 3131 return 658;
e9dbdd80
TC
3132 }
3133 else
3134 {
3135 /* 33222222222211111111110000000000
3136 10987654321098765432109876543210
3137 x1x11010010xxxxxxxxx10xxxxxxxxxx
3138 ccmp. */
193614f2 3139 return 659;
e9dbdd80
TC
3140 }
3141 }
3142 else
3143 {
3144 if (((word >> 12) & 0x1) == 0)
3145 {
3146 if (((word >> 13) & 0x1) == 0)
a06ea964 3147 {
e9dbdd80 3148 if (((word >> 14) & 0x1) == 0)
e60bb1dd 3149 {
e9dbdd80 3150 if (((word >> 30) & 0x1) == 0)
e60bb1dd 3151 {
e9dbdd80
TC
3152 /* 33222222222211111111110000000000
3153 10987654321098765432109876543210
3154 x0x11010110xxxxxx00010xxxxxxxxxx
3155 udiv. */
193614f2 3156 return 711;
e9dbdd80
TC
3157 }
3158 else
3159 {
3160 if (((word >> 16) & 0x1) == 0)
a2cfc830 3161 {
e9dbdd80
TC
3162 if (((word >> 31) & 0x1) == 0)
3163 {
3164 /* 33222222222211111111110000000000
3165 10987654321098765432109876543210
3166 01x11010110xxxx0x00010xxxxxxxxxx
3167 rev. */
193614f2 3168 return 687;
e9dbdd80
TC
3169 }
3170 else
3171 {
3172 /* 33222222222211111111110000000000
3173 10987654321098765432109876543210
3174 11x11010110xxxx0x00010xxxxxxxxxx
3175 rev32. */
193614f2 3176 return 692;
e9dbdd80 3177 }
a2cfc830
SN
3178 }
3179 else
3180 {
3181 /* 33222222222211111111110000000000
3182 10987654321098765432109876543210
e9dbdd80
TC
3183 x1x11010110xxxx1x00010xxxxxxxxxx
3184 pacda. */
193614f2 3185 return 695;
a2cfc830 3186 }
e60bb1dd 3187 }
e9dbdd80
TC
3188 }
3189 else
3190 {
3191 /* 33222222222211111111110000000000
3192 10987654321098765432109876543210
3193 xxx11010110xxxxxx10010xxxxxxxxxx
3194 crc32w. */
b731bc3b 3195 return 729;
e60bb1dd 3196 }
a06ea964
NC
3197 }
3198 else
3199 {
e9dbdd80
TC
3200 if (((word >> 30) & 0x1) == 0)
3201 {
3202 /* 33222222222211111111110000000000
3203 10987654321098765432109876543210
3204 x0x11010110xxxxxxx1010xxxxxxxxxx
3205 asrv. */
193614f2 3206 return 717;
e9dbdd80
TC
3207 }
3208 else
3209 {
3210 /* 33222222222211111111110000000000
3211 10987654321098765432109876543210
3212 x1x11010110xxxxxxx1010xxxxxxxxxx
3213 pacdza. */
193614f2 3214 return 703;
e9dbdd80 3215 }
a06ea964
NC
3216 }
3217 }
e60bb1dd
YZ
3218 else
3219 {
e9dbdd80 3220 if (((word >> 13) & 0x1) == 0)
a2cfc830 3221 {
e9dbdd80
TC
3222 if (((word >> 14) & 0x1) == 0)
3223 {
3224 /* 33222222222211111111110000000000
3225 10987654321098765432109876543210
3226 xxx11010110xxxxxx00110xxxxxxxxxx
3227 autda. */
193614f2 3228 return 699;
e9dbdd80
TC
3229 }
3230 else
3231 {
3232 /* 33222222222211111111110000000000
3233 10987654321098765432109876543210
3234 xxx11010110xxxxxx10110xxxxxxxxxx
3235 crc32cw. */
b731bc3b 3236 return 733;
e9dbdd80 3237 }
a2cfc830
SN
3238 }
3239 else
3240 {
3241 /* 33222222222211111111110000000000
3242 10987654321098765432109876543210
e9dbdd80
TC
3243 xxx11010110xxxxxxx1110xxxxxxxxxx
3244 autdza. */
193614f2 3245 return 707;
a2cfc830 3246 }
e60bb1dd 3247 }
a06ea964 3248 }
a06ea964
NC
3249 }
3250 }
3251 }
3252 else
3253 {
3254 if (((word >> 11) & 0x1) == 0)
3255 {
3256 if (((word >> 22) & 0x1) == 0)
3257 {
e9dbdd80 3258 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
3259 {
3260 /* 33222222222211111111110000000000
3261 10987654321098765432109876543210
e9dbdd80
TC
3262 xxx11010000xxxxxxxxx01xxxxxxxxxx
3263 rmif. */
b83b4b13 3264 return 2083;
a06ea964
NC
3265 }
3266 else
3267 {
e9dbdd80
TC
3268 if (((word >> 30) & 0x1) == 0)
3269 {
3270 /* 33222222222211111111110000000000
3271 10987654321098765432109876543210
3272 x0x11010100xxxxxxxxx01xxxxxxxxxx
3273 csinc. */
193614f2 3274 return 663;
e9dbdd80
TC
3275 }
3276 else
3277 {
3278 /* 33222222222211111111110000000000
3279 10987654321098765432109876543210
3280 x1x11010100xxxxxxxxx01xxxxxxxxxx
3281 csneg. */
193614f2 3282 return 669;
e9dbdd80 3283 }
a06ea964
NC
3284 }
3285 }
3286 else
3287 {
3288 if (((word >> 12) & 0x1) == 0)
3289 {
3290 if (((word >> 13) & 0x1) == 0)
3291 {
e60bb1dd 3292 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
3293 {
3294 if (((word >> 16) & 0x1) == 0)
3295 {
3296 /* 33222222222211111111110000000000
3297 10987654321098765432109876543210
7684e580 3298 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 3299 rev16. */
193614f2 3300 return 686;
a2cfc830
SN
3301 }
3302 else
3303 {
3304 /* 33222222222211111111110000000000
3305 10987654321098765432109876543210
7684e580 3306 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 3307 pacib. */
193614f2 3308 return 694;
a2cfc830
SN
3309 }
3310 }
3311 else
3312 {
3313 if (((word >> 30) & 0x1) == 0)
3314 {
3315 /* 33222222222211111111110000000000
3316 10987654321098765432109876543210
7684e580 3317 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3318 crc32h. */
b731bc3b 3319 return 728;
a2cfc830
SN
3320 }
3321 else
3322 {
3323 /* 33222222222211111111110000000000
3324 10987654321098765432109876543210
7684e580 3325 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3326 xpacd. */
193614f2 3327 return 710;
a2cfc830
SN
3328 }
3329 }
3330 }
3331 else
3332 {
3333 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3334 {
3335 /* 33222222222211111111110000000000
3336 10987654321098765432109876543210
7684e580 3337 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3338 lsrv. */
193614f2 3339 return 715;
e60bb1dd
YZ
3340 }
3341 else
3342 {
3343 /* 33222222222211111111110000000000
3344 10987654321098765432109876543210
7684e580 3345 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3346 pacizb. */
193614f2 3347 return 702;
e60bb1dd 3348 }
a06ea964 3349 }
a06ea964
NC
3350 }
3351 else
3352 {
a2cfc830 3353 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3354 {
a2cfc830
SN
3355 if (((word >> 14) & 0x1) == 0)
3356 {
193614f2 3357 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3358 {
3359 /* 33222222222211111111110000000000
3360 10987654321098765432109876543210
193614f2
SD
3361 x0x11010x10xxxxxx00101xxxxxxxxxx
3362 gmi. */
b731bc3b 3363 return 725;
a2cfc830
SN
3364 }
3365 else
3366 {
193614f2
SD
3367 if (((word >> 16) & 0x1) == 0)
3368 {
3369 /* 33222222222211111111110000000000
3370 10987654321098765432109876543210
3371 x1x11010x10xxxx0x00101xxxxxxxxxx
3372 cls. */
3373 return 691;
3374 }
3375 else
3376 {
3377 /* 33222222222211111111110000000000
3378 10987654321098765432109876543210
3379 x1x11010x10xxxx1x00101xxxxxxxxxx
3380 autib. */
3381 return 698;
3382 }
a2cfc830
SN
3383 }
3384 }
3385 else
3386 {
3387 /* 33222222222211111111110000000000
3388 10987654321098765432109876543210
7684e580 3389 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3390 crc32ch. */
b731bc3b 3391 return 732;
a2cfc830 3392 }
e60bb1dd
YZ
3393 }
3394 else
3395 {
3396 /* 33222222222211111111110000000000
3397 10987654321098765432109876543210
7684e580 3398 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3399 autizb. */
193614f2 3400 return 706;
e60bb1dd 3401 }
a06ea964
NC
3402 }
3403 }
3404 }
3405 else
3406 {
e60bb1dd 3407 if (((word >> 12) & 0x1) == 0)
a06ea964 3408 {
e60bb1dd 3409 if (((word >> 13) & 0x1) == 0)
a06ea964 3410 {
e60bb1dd
YZ
3411 if (((word >> 14) & 0x1) == 0)
3412 {
3413 if (((word >> 30) & 0x1) == 0)
3414 {
3415 /* 33222222222211111111110000000000
3416 10987654321098765432109876543210
7684e580 3417 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3418 sdiv. */
193614f2 3419 return 712;
e60bb1dd
YZ
3420 }
3421 else
3422 {
a2cfc830
SN
3423 if (((word >> 16) & 0x1) == 0)
3424 {
3425 /* 33222222222211111111110000000000
3426 10987654321098765432109876543210
7684e580 3427 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3428 rev. */
193614f2 3429 return 688;
a2cfc830
SN
3430 }
3431 else
3432 {
3433 /* 33222222222211111111110000000000
3434 10987654321098765432109876543210
7684e580 3435 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3436 pacdb. */
193614f2 3437 return 696;
a2cfc830 3438 }
e60bb1dd
YZ
3439 }
3440 }
3441 else
3442 {
3443 /* 33222222222211111111110000000000
3444 10987654321098765432109876543210
7684e580 3445 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3446 crc32x. */
b731bc3b 3447 return 730;
e60bb1dd 3448 }
a06ea964
NC
3449 }
3450 else
3451 {
a2cfc830
SN
3452 if (((word >> 30) & 0x1) == 0)
3453 {
3454 /* 33222222222211111111110000000000
3455 10987654321098765432109876543210
7684e580 3456 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3457 rorv. */
193614f2 3458 return 719;
a2cfc830
SN
3459 }
3460 else
3461 {
3462 /* 33222222222211111111110000000000
3463 10987654321098765432109876543210
7684e580 3464 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3465 pacdzb. */
193614f2 3466 return 704;
a2cfc830 3467 }
a06ea964
NC
3468 }
3469 }
3470 else
3471 {
a2cfc830
SN
3472 if (((word >> 13) & 0x1) == 0)
3473 {
3474 if (((word >> 14) & 0x1) == 0)
3475 {
3476 /* 33222222222211111111110000000000
3477 10987654321098765432109876543210
7684e580 3478 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 3479 autdb. */
193614f2 3480 return 700;
a2cfc830
SN
3481 }
3482 else
3483 {
3484 /* 33222222222211111111110000000000
3485 10987654321098765432109876543210
7684e580 3486 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 3487 crc32cx. */
b731bc3b 3488 return 734;
a2cfc830
SN
3489 }
3490 }
3491 else
3492 {
3493 /* 33222222222211111111110000000000
3494 10987654321098765432109876543210
7684e580 3495 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 3496 autdzb. */
193614f2 3497 return 708;
a2cfc830 3498 }
a06ea964
NC
3499 }
3500 }
3501 }
3502 }
3503 }
3504 else
3505 {
3506 if (((word >> 29) & 0x1) == 0)
3507 {
3508 if (((word >> 30) & 0x1) == 0)
3509 {
3510 /* 33222222222211111111110000000000
3511 10987654321098765432109876543210
7684e580 3512 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3513 bic. */
e54010f1 3514 return 998;
a06ea964
NC
3515 }
3516 else
3517 {
3518 /* 33222222222211111111110000000000
3519 10987654321098765432109876543210
7684e580 3520 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3521 eon. */
e54010f1 3522 return 1005;
a06ea964
NC
3523 }
3524 }
3525 else
3526 {
3527 if (((word >> 30) & 0x1) == 0)
3528 {
3529 /* 33222222222211111111110000000000
3530 10987654321098765432109876543210
7684e580 3531 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3532 orn. */
e54010f1 3533 return 1002;
a06ea964
NC
3534 }
3535 else
3536 {
3537 /* 33222222222211111111110000000000
3538 10987654321098765432109876543210
7684e580 3539 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3540 bics. */
e54010f1 3541 return 1008;
a06ea964
NC
3542 }
3543 }
3544 }
3545 }
3546 }
3547 else
3548 {
3549 if (((word >> 27) & 0x1) == 0)
3550 {
3551 if (((word >> 23) & 0x1) == 0)
3552 {
3553 if (((word >> 29) & 0x1) == 0)
3554 {
3555 if (((word >> 30) & 0x1) == 0)
3556 {
3557 /* 33222222222211111111110000000000
3558 10987654321098765432109876543210
7684e580 3559 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3560 sbfm. */
193614f2 3561 return 618;
a06ea964
NC
3562 }
3563 else
3564 {
3565 /* 33222222222211111111110000000000
3566 10987654321098765432109876543210
7684e580 3567 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3568 ubfm. */
193614f2 3569 return 629;
a06ea964
NC
3570 }
3571 }
3572 else
3573 {
3574 /* 33222222222211111111110000000000
3575 10987654321098765432109876543210
7684e580 3576 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3577 bfm. */
193614f2 3578 return 625;
a06ea964
NC
3579 }
3580 }
3581 else
3582 {
3583 /* 33222222222211111111110000000000
3584 10987654321098765432109876543210
7684e580 3585 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3586 extr. */
b731bc3b 3587 return 757;
a06ea964
NC
3588 }
3589 }
3590 else
3591 {
3592 if (((word >> 21) & 0x1) == 0)
3593 {
3594 if (((word >> 28) & 0x1) == 0)
3595 {
3596 if (((word >> 29) & 0x1) == 0)
3597 {
3598 if (((word >> 30) & 0x1) == 0)
3599 {
3600 /* 33222222222211111111110000000000
3601 10987654321098765432109876543210
7684e580 3602 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3603 add. */
193614f2 3604 return 21;
a06ea964
NC
3605 }
3606 else
3607 {
3608 /* 33222222222211111111110000000000
3609 10987654321098765432109876543210
7684e580 3610 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3611 sub. */
193614f2 3612 return 24;
a06ea964
NC
3613 }
3614 }
3615 else
3616 {
3617 if (((word >> 30) & 0x1) == 0)
3618 {
3619 /* 33222222222211111111110000000000
3620 10987654321098765432109876543210
7684e580 3621 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3622 adds. */
193614f2 3623 return 22;
a06ea964
NC
3624 }
3625 else
3626 {
3627 /* 33222222222211111111110000000000
3628 10987654321098765432109876543210
7684e580 3629 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3630 subs. */
193614f2 3631 return 26;
a06ea964
NC
3632 }
3633 }
3634 }
3635 else
3636 {
3637 if (((word >> 15) & 0x1) == 0)
3638 {
3639 if (((word >> 22) & 0x1) == 0)
3640 {
3641 /* 33222222222211111111110000000000
3642 10987654321098765432109876543210
7684e580 3643 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 3644 madd. */
b731bc3b 3645 return 735;
a06ea964
NC
3646 }
3647 else
3648 {
3649 if (((word >> 23) & 0x1) == 0)
3650 {
3651 /* 33222222222211111111110000000000
3652 10987654321098765432109876543210
7684e580 3653 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 3654 smulh. */
b731bc3b 3655 return 743;
a06ea964
NC
3656 }
3657 else
3658 {
3659 /* 33222222222211111111110000000000
3660 10987654321098765432109876543210
7684e580 3661 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 3662 umulh. */
b731bc3b 3663 return 748;
a06ea964
NC
3664 }
3665 }
3666 }
3667 else
3668 {
3669 /* 33222222222211111111110000000000
3670 10987654321098765432109876543210
7684e580 3671 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 3672 msub. */
b731bc3b 3673 return 737;
a06ea964
NC
3674 }
3675 }
3676 }
3677 else
3678 {
3679 if (((word >> 23) & 0x1) == 0)
3680 {
3681 if (((word >> 28) & 0x1) == 0)
3682 {
3683 if (((word >> 29) & 0x1) == 0)
3684 {
3685 if (((word >> 30) & 0x1) == 0)
3686 {
3687 /* 33222222222211111111110000000000
3688 10987654321098765432109876543210
7684e580 3689 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3690 add. */
3691 return 6;
3692 }
3693 else
3694 {
3695 /* 33222222222211111111110000000000
3696 10987654321098765432109876543210
7684e580 3697 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3698 sub. */
3699 return 9;
3700 }
3701 }
3702 else
3703 {
3704 if (((word >> 30) & 0x1) == 0)
3705 {
3706 /* 33222222222211111111110000000000
3707 10987654321098765432109876543210
7684e580 3708 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3709 adds. */
3710 return 7;
3711 }
3712 else
3713 {
3714 /* 33222222222211111111110000000000
3715 10987654321098765432109876543210
7684e580 3716 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3717 subs. */
3718 return 10;
3719 }
3720 }
3721 }
3722 else
3723 {
3724 if (((word >> 15) & 0x1) == 0)
3725 {
3726 /* 33222222222211111111110000000000
3727 10987654321098765432109876543210
7684e580 3728 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3729 smaddl. */
b731bc3b 3730 return 739;
a06ea964
NC
3731 }
3732 else
3733 {
3734 /* 33222222222211111111110000000000
3735 10987654321098765432109876543210
7684e580 3736 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3737 smsubl. */
b731bc3b 3738 return 741;
a06ea964
NC
3739 }
3740 }
3741 }
3742 else
3743 {
3744 if (((word >> 15) & 0x1) == 0)
3745 {
3746 /* 33222222222211111111110000000000
3747 10987654321098765432109876543210
7684e580 3748 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3749 umaddl. */
b731bc3b 3750 return 744;
a06ea964
NC
3751 }
3752 else
3753 {
3754 /* 33222222222211111111110000000000
3755 10987654321098765432109876543210
7684e580 3756 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3757 umsubl. */
b731bc3b 3758 return 746;
a06ea964
NC
3759 }
3760 }
3761 }
3762 }
3763 }
3764 }
3765 }
3766 else
3767 {
3768 if (((word >> 27) & 0x1) == 0)
3769 {
c0890d26 3770 if (((word >> 28) & 0x1) == 0)
a06ea964 3771 {
c0890d26
RS
3772 if (((word >> 24) & 0x1) == 0)
3773 {
582e12bf 3774 if (((word >> 29) & 0x1) == 0)
c0890d26 3775 {
582e12bf 3776 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
3777 {
3778 if (((word >> 14) & 0x1) == 0)
3779 {
3780 if (((word >> 15) & 0x1) == 0)
3781 {
3782 if (((word >> 21) & 0x1) == 0)
3783 {
3784 if (((word >> 30) & 0x1) == 0)
3785 {
3786 if (((word >> 31) & 0x1) == 0)
3787 {
3788 if (((word >> 16) & 0x1) == 0)
3789 {
3790 if (((word >> 17) & 0x1) == 0)
3791 {
3792 if (((word >> 18) & 0x1) == 0)
3793 {
3794 if (((word >> 19) & 0x1) == 0)
3795 {
3796 if (((word >> 20) & 0x1) == 0)
3797 {
3798 /* 33222222222211111111110000000000
3799 10987654321098765432109876543210
7684e580 3800 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 3801 add. */
b83b4b13 3802 return 1275;
c0890d26
RS
3803 }
3804 else
3805 {
3806 /* 33222222222211111111110000000000
3807 10987654321098765432109876543210
7684e580 3808 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 3809 mul. */
b83b4b13 3810 return 1744;
c0890d26
RS
3811 }
3812 }
3813 else
3814 {
3815 if (((word >> 20) & 0x1) == 0)
3816 {
3817 /* 33222222222211111111110000000000
3818 10987654321098765432109876543210
7684e580 3819 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 3820 smax. */
b83b4b13 3821 return 1823;
c0890d26
RS
3822 }
3823 else
3824 {
3825 /* 33222222222211111111110000000000
3826 10987654321098765432109876543210
7684e580 3827 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 3828 orr. */
b83b4b13 3829 return 1755;
c0890d26
RS
3830 }
3831 }
3832 }
3833 else
3834 {
3835 if (((word >> 19) & 0x1) == 0)
3836 {
3837 /* 33222222222211111111110000000000
3838 10987654321098765432109876543210
7684e580 3839 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 3840 sdiv. */
b83b4b13 3841 return 1814;
c0890d26
RS
3842 }
3843 else
3844 {
3845 /* 33222222222211111111110000000000
3846 10987654321098765432109876543210
7684e580 3847 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 3848 sabd. */
b83b4b13 3849 return 1805;
c0890d26
RS
3850 }
3851 }
3852 }
3853 else
3854 {
3855 if (((word >> 18) & 0x1) == 0)
3856 {
3857 if (((word >> 19) & 0x1) == 0)
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
7684e580 3861 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 3862 smulh. */
b83b4b13 3863 return 1828;
c0890d26
RS
3864 }
3865 else
3866 {
3867 if (((word >> 20) & 0x1) == 0)
3868 {
3869 /* 33222222222211111111110000000000
3870 10987654321098765432109876543210
7684e580 3871 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 3872 smin. */
b83b4b13 3873 return 1826;
c0890d26
RS
3874 }
3875 else
3876 {
3877 /* 33222222222211111111110000000000
3878 10987654321098765432109876543210
7684e580 3879 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 3880 and. */
b83b4b13 3881 return 1283;
c0890d26
RS
3882 }
3883 }
3884 }
3885 else
3886 {
3887 /* 33222222222211111111110000000000
3888 10987654321098765432109876543210
7684e580 3889 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 3890 sdivr. */
b83b4b13 3891 return 1815;
c0890d26
RS
3892 }
3893 }
3894 }
3895 else
3896 {
3897 if (((word >> 17) & 0x1) == 0)
3898 {
3899 if (((word >> 18) & 0x1) == 0)
3900 {
3901 if (((word >> 19) & 0x1) == 0)
3902 {
3903 /* 33222222222211111111110000000000
3904 10987654321098765432109876543210
7684e580 3905 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 3906 sub. */
b83b4b13 3907 return 1944;
c0890d26
RS
3908 }
3909 else
3910 {
3911 if (((word >> 20) & 0x1) == 0)
3912 {
3913 /* 33222222222211111111110000000000
3914 10987654321098765432109876543210
7684e580 3915 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 3916 umax. */
b83b4b13 3917 return 1972;
c0890d26
RS
3918 }
3919 else
3920 {
3921 /* 33222222222211111111110000000000
3922 10987654321098765432109876543210
7684e580 3923 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 3924 eor. */
b83b4b13 3925 return 1370;
c0890d26
RS
3926 }
3927 }
3928 }
3929 else
3930 {
3931 if (((word >> 19) & 0x1) == 0)
3932 {
3933 /* 33222222222211111111110000000000
3934 10987654321098765432109876543210
7684e580 3935 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 3936 udiv. */
b83b4b13 3937 return 1966;
c0890d26
RS
3938 }
3939 else
3940 {
3941 /* 33222222222211111111110000000000
3942 10987654321098765432109876543210
7684e580 3943 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 3944 uabd. */
b83b4b13 3945 return 1957;
c0890d26
RS
3946 }
3947 }
3948 }
3949 else
3950 {
3951 if (((word >> 18) & 0x1) == 0)
3952 {
3953 if (((word >> 19) & 0x1) == 0)
3954 {
3955 if (((word >> 20) & 0x1) == 0)
3956 {
3957 /* 33222222222211111111110000000000
3958 10987654321098765432109876543210
7684e580 3959 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 3960 subr. */
b83b4b13 3961 return 1946;
c0890d26
RS
3962 }
3963 else
3964 {
3965 /* 33222222222211111111110000000000
3966 10987654321098765432109876543210
7684e580 3967 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 3968 umulh. */
b83b4b13 3969 return 1977;
c0890d26
RS
3970 }
3971 }
3972 else
3973 {
3974 if (((word >> 20) & 0x1) == 0)
3975 {
3976 /* 33222222222211111111110000000000
3977 10987654321098765432109876543210
7684e580 3978 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 3979 umin. */
b83b4b13 3980 return 1975;
c0890d26
RS
3981 }
3982 else
3983 {
3984 /* 33222222222211111111110000000000
3985 10987654321098765432109876543210
7684e580 3986 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 3987 bic. */
b83b4b13 3988 return 1295;
c0890d26
RS
3989 }
3990 }
3991 }
3992 else
3993 {
3994 /* 33222222222211111111110000000000
3995 10987654321098765432109876543210
7684e580 3996 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 3997 udivr. */
b83b4b13 3998 return 1967;
c0890d26
RS
3999 }
4000 }
4001 }
4002 }
4003 else
4004 {
4005 if (((word >> 23) & 0x1) == 0)
4006 {
4007 /* 33222222222211111111110000000000
4008 10987654321098765432109876543210
7684e580 4009 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 4010 ld1sb. */
b83b4b13 4011 return 1557;
c0890d26
RS
4012 }
4013 else
4014 {
4015 /* 33222222222211111111110000000000
4016 10987654321098765432109876543210
7684e580 4017 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 4018 ld1sh. */
b83b4b13 4019 return 1568;
c0890d26
RS
4020 }
4021 }
4022 }
4023 else
4024 {
4025 if (((word >> 23) & 0x1) == 0)
4026 {
4027 /* 33222222222211111111110000000000
4028 10987654321098765432109876543210
7684e580 4029 x10001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 4030 ld1sb. */
b83b4b13 4031 return 1561;
c0890d26
RS
4032 }
4033 else
4034 {
582e12bf
RS
4035 if (((word >> 31) & 0x1) == 0)
4036 {
4037 if (((word >> 10) & 0x1) == 0)
4038 {
4039 /* 33222222222211111111110000000000
4040 10987654321098765432109876543210
7684e580 4041 010001x01x0xxxxx000xx0xxxxxxxxxx
582e12bf 4042 sdot. */
b83b4b13 4043 return 1816;
582e12bf
RS
4044 }
4045 else
4046 {
4047 /* 33222222222211111111110000000000
4048 10987654321098765432109876543210
7684e580 4049 010001x01x0xxxxx000xx1xxxxxxxxxx
582e12bf 4050 udot. */
b83b4b13 4051 return 1968;
582e12bf
RS
4052 }
4053 }
4054 else
4055 {
4056 /* 33222222222211111111110000000000
4057 10987654321098765432109876543210
7684e580 4058 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 4059 ld1sh. */
b83b4b13 4060 return 1572;
582e12bf 4061 }
c0890d26
RS
4062 }
4063 }
4064 }
4065 else
4066 {
4067 if (((word >> 30) & 0x1) == 0)
4068 {
4069 if (((word >> 31) & 0x1) == 0)
4070 {
4071 if (((word >> 10) & 0x1) == 0)
4072 {
4073 if (((word >> 11) & 0x1) == 0)
4074 {
4075 if (((word >> 12) & 0x1) == 0)
4076 {
4077 /* 33222222222211111111110000000000
4078 10987654321098765432109876543210
7684e580 4079 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 4080 add. */
b83b4b13 4081 return 1273;
c0890d26
RS
4082 }
4083 else
4084 {
4085 /* 33222222222211111111110000000000
4086 10987654321098765432109876543210
7684e580 4087 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 4088 sqadd. */
b83b4b13 4089 return 1830;
c0890d26
RS
4090 }
4091 }
4092 else
4093 {
4094 /* 33222222222211111111110000000000
4095 10987654321098765432109876543210
7684e580 4096 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 4097 sqsub. */
b83b4b13 4098 return 1860;
c0890d26
RS
4099 }
4100 }
4101 else
4102 {
4103 if (((word >> 11) & 0x1) == 0)
4104 {
4105 if (((word >> 12) & 0x1) == 0)
4106 {
4107 /* 33222222222211111111110000000000
4108 10987654321098765432109876543210
7684e580 4109 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 4110 sub. */
b83b4b13 4111 return 1942;
c0890d26
RS
4112 }
4113 else
4114 {
4115 /* 33222222222211111111110000000000
4116 10987654321098765432109876543210
7684e580 4117 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 4118 uqadd. */
b83b4b13 4119 return 1978;
c0890d26
RS
4120 }
4121 }
4122 else
4123 {
4124 /* 33222222222211111111110000000000
4125 10987654321098765432109876543210
7684e580 4126 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 4127 uqsub. */
b83b4b13 4128 return 2008;
c0890d26
RS
4129 }
4130 }
4131 }
4132 else
4133 {
4134 if (((word >> 23) & 0x1) == 0)
4135 {
4136 /* 33222222222211111111110000000000
4137 10987654321098765432109876543210
7684e580 4138 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4139 prfb. */
b83b4b13 4140 return 1763;
c0890d26
RS
4141 }
4142 else
4143 {
4144 /* 33222222222211111111110000000000
4145 10987654321098765432109876543210
7684e580 4146 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 4147 ld1sh. */
b83b4b13 4148 return 1569;
c0890d26
RS
4149 }
4150 }
4151 }
4152 else
4153 {
4154 if (((word >> 23) & 0x1) == 0)
4155 {
4156 /* 33222222222211111111110000000000
4157 10987654321098765432109876543210
7684e580 4158 x10001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4159 prfb. */
b83b4b13 4160 return 1764;
c0890d26
RS
4161 }
4162 else
4163 {
582e12bf
RS
4164 if (((word >> 31) & 0x1) == 0)
4165 {
4166 if (((word >> 10) & 0x1) == 0)
4167 {
4168 if (((word >> 22) & 0x1) == 0)
4169 {
4170 /* 33222222222211111111110000000000
4171 10987654321098765432109876543210
7684e580 4172 010001x0101xxxxx000xx0xxxxxxxxxx
582e12bf 4173 sdot. */
b83b4b13 4174 return 1817;
582e12bf
RS
4175 }
4176 else
4177 {
4178 /* 33222222222211111111110000000000
4179 10987654321098765432109876543210
7684e580 4180 010001x0111xxxxx000xx0xxxxxxxxxx
582e12bf 4181 sdot. */
b83b4b13 4182 return 1818;
582e12bf
RS
4183 }
4184 }
4185 else
4186 {
4187 if (((word >> 22) & 0x1) == 0)
4188 {
4189 /* 33222222222211111111110000000000
4190 10987654321098765432109876543210
7684e580 4191 010001x0101xxxxx000xx1xxxxxxxxxx
582e12bf 4192 udot. */
b83b4b13 4193 return 1969;
582e12bf
RS
4194 }
4195 else
4196 {
4197 /* 33222222222211111111110000000000
4198 10987654321098765432109876543210
7684e580 4199 010001x0111xxxxx000xx1xxxxxxxxxx
582e12bf 4200 udot. */
b83b4b13 4201 return 1970;
582e12bf
RS
4202 }
4203 }
4204 }
4205 else
4206 {
4207 /* 33222222222211111111110000000000
4208 10987654321098765432109876543210
7684e580 4209 110001x01x1xxxxx000xxxxxxxxxxxxx
582e12bf 4210 ld1sh. */
b83b4b13 4211 return 1573;
582e12bf 4212 }
c0890d26
RS
4213 }
4214 }
4215 }
4216 }
4217 else
4218 {
4219 if (((word >> 30) & 0x1) == 0)
4220 {
4221 if (((word >> 31) & 0x1) == 0)
4222 {
4223 if (((word >> 21) & 0x1) == 0)
4224 {
4225 if (((word >> 16) & 0x1) == 0)
4226 {
4227 if (((word >> 18) & 0x1) == 0)
4228 {
4229 if (((word >> 19) & 0x1) == 0)
4230 {
4231 if (((word >> 20) & 0x1) == 0)
4232 {
4233 /* 33222222222211111111110000000000
4234 10987654321098765432109876543210
7684e580 4235 000001x0xx0000x0100xxxxxxxxxxxxx
c0890d26 4236 asr. */
b83b4b13 4237 return 1291;
c0890d26
RS
4238 }
4239 else
4240 {
4241 /* 33222222222211111111110000000000
4242 10987654321098765432109876543210
7684e580 4243 000001x0xx0100x0100xxxxxxxxxxxxx
c0890d26 4244 asr. */
b83b4b13 4245 return 1289;
c0890d26
RS
4246 }
4247 }
4248 else
4249 {
4250 /* 33222222222211111111110000000000
4251 10987654321098765432109876543210
7684e580 4252 000001x0xx0x10x0100xxxxxxxxxxxxx
c0890d26 4253 asr. */
b83b4b13 4254 return 1290;
c0890d26
RS
4255 }
4256 }
4257 else
4258 {
4259 if (((word >> 20) & 0x1) == 0)
4260 {
4261 /* 33222222222211111111110000000000
4262 10987654321098765432109876543210
7684e580 4263 000001x0xx00x1x0100xxxxxxxxxxxxx
c0890d26 4264 asrd. */
b83b4b13 4265 return 1292;
c0890d26
RS
4266 }
4267 else
4268 {
4269 /* 33222222222211111111110000000000
4270 10987654321098765432109876543210
7684e580 4271 000001x0xx01x1x0100xxxxxxxxxxxxx
c0890d26 4272 asrr. */
b83b4b13 4273 return 1293;
c0890d26
RS
4274 }
4275 }
4276 }
4277 else
4278 {
4279 if (((word >> 17) & 0x1) == 0)
4280 {
4281 if (((word >> 18) & 0x1) == 0)
4282 {
4283 if (((word >> 19) & 0x1) == 0)
4284 {
4285 if (((word >> 20) & 0x1) == 0)
4286 {
4287 /* 33222222222211111111110000000000
4288 10987654321098765432109876543210
7684e580 4289 000001x0xx000001100xxxxxxxxxxxxx
c0890d26 4290 lsr. */
b83b4b13 4291 return 1735;
c0890d26
RS
4292 }
4293 else
4294 {
4295 /* 33222222222211111111110000000000
4296 10987654321098765432109876543210
7684e580 4297 000001x0xx010001100xxxxxxxxxxxxx
c0890d26 4298 lsr. */
b83b4b13 4299 return 1733;
c0890d26
RS
4300 }
4301 }
4302 else
4303 {
4304 /* 33222222222211111111110000000000
4305 10987654321098765432109876543210
7684e580 4306 000001x0xx0x1001100xxxxxxxxxxxxx
c0890d26 4307 lsr. */
b83b4b13 4308 return 1734;
c0890d26
RS
4309 }
4310 }
4311 else
4312 {
4313 /* 33222222222211111111110000000000
4314 10987654321098765432109876543210
7684e580 4315 000001x0xx0xx101100xxxxxxxxxxxxx
c0890d26 4316 lsrr. */
b83b4b13 4317 return 1736;
c0890d26
RS
4318 }
4319 }
4320 else
4321 {
4322 if (((word >> 18) & 0x1) == 0)
4323 {
4324 if (((word >> 19) & 0x1) == 0)
4325 {
4326 if (((word >> 20) & 0x1) == 0)
4327 {
4328 /* 33222222222211111111110000000000
4329 10987654321098765432109876543210
7684e580 4330 000001x0xx000011100xxxxxxxxxxxxx
c0890d26 4331 lsl. */
b83b4b13 4332 return 1729;
c0890d26
RS
4333 }
4334 else
4335 {
4336 /* 33222222222211111111110000000000
4337 10987654321098765432109876543210
7684e580 4338 000001x0xx010011100xxxxxxxxxxxxx
c0890d26 4339 lsl. */
b83b4b13 4340 return 1727;
c0890d26
RS
4341 }
4342 }
4343 else
4344 {
4345 /* 33222222222211111111110000000000
4346 10987654321098765432109876543210
7684e580 4347 000001x0xx0x1011100xxxxxxxxxxxxx
c0890d26 4348 lsl. */
b83b4b13 4349 return 1728;
c0890d26
RS
4350 }
4351 }
4352 else
4353 {
4354 /* 33222222222211111111110000000000
4355 10987654321098765432109876543210
7684e580 4356 000001x0xx0xx111100xxxxxxxxxxxxx
c0890d26 4357 lslr. */
b83b4b13 4358 return 1730;
c0890d26
RS
4359 }
4360 }
4361 }
4362 }
4363 else
4364 {
4365 if (((word >> 10) & 0x1) == 0)
4366 {
4367 if (((word >> 12) & 0x1) == 0)
4368 {
4369 /* 33222222222211111111110000000000
4370 10987654321098765432109876543210
7684e580 4371 000001x0xx1xxxxx1000x0xxxxxxxxxx
c0890d26 4372 asr. */
b83b4b13 4373 return 1287;
c0890d26
RS
4374 }
4375 else
4376 {
4377 /* 33222222222211111111110000000000
4378 10987654321098765432109876543210
7684e580 4379 000001x0xx1xxxxx1001x0xxxxxxxxxx
c0890d26 4380 asr. */
b83b4b13 4381 return 1288;
c0890d26
RS
4382 }
4383 }
4384 else
4385 {
4386 if (((word >> 11) & 0x1) == 0)
4387 {
4388 if (((word >> 12) & 0x1) == 0)
4389 {
4390 /* 33222222222211111111110000000000
4391 10987654321098765432109876543210
7684e580 4392 000001x0xx1xxxxx100001xxxxxxxxxx
c0890d26 4393 lsr. */
b83b4b13 4394 return 1731;
c0890d26
RS
4395 }
4396 else
4397 {
4398 /* 33222222222211111111110000000000
4399 10987654321098765432109876543210
7684e580 4400 000001x0xx1xxxxx100101xxxxxxxxxx
c0890d26 4401 lsr. */
b83b4b13 4402 return 1732;
c0890d26
RS
4403 }
4404 }
4405 else
4406 {
4407 if (((word >> 12) & 0x1) == 0)
4408 {
4409 /* 33222222222211111111110000000000
4410 10987654321098765432109876543210
7684e580 4411 000001x0xx1xxxxx100011xxxxxxxxxx
c0890d26 4412 lsl. */
b83b4b13 4413 return 1725;
c0890d26
RS
4414 }
4415 else
4416 {
4417 /* 33222222222211111111110000000000
4418 10987654321098765432109876543210
7684e580 4419 000001x0xx1xxxxx100111xxxxxxxxxx
c0890d26 4420 lsl. */
b83b4b13 4421 return 1726;
c0890d26
RS
4422 }
4423 }
4424 }
4425 }
4426 }
4427 else
4428 {
4429 if (((word >> 22) & 0x1) == 0)
4430 {
4431 if (((word >> 23) & 0x1) == 0)
4432 {
4433 /* 33222222222211111111110000000000
4434 10987654321098765432109876543210
7684e580 4435 100001x000xxxxxx100xxxxxxxxxxxxx
c0890d26 4436 ld1sb. */
b83b4b13 4437 return 1563;
c0890d26
RS
4438 }
4439 else
4440 {
4441 /* 33222222222211111111110000000000
4442 10987654321098765432109876543210
7684e580 4443 100001x010xxxxxx100xxxxxxxxxxxxx
c0890d26 4444 ld1sh. */
b83b4b13 4445 return 1576;
c0890d26
RS
4446 }
4447 }
4448 else
4449 {
4450 if (((word >> 23) & 0x1) == 0)
4451 {
4452 /* 33222222222211111111110000000000
4453 10987654321098765432109876543210
7684e580 4454 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 4455 ld1rb. */
b83b4b13 4456 return 1533;
c0890d26
RS
4457 }
4458 else
4459 {
4460 /* 33222222222211111111110000000000
4461 10987654321098765432109876543210
7684e580 4462 100001x011xxxxxx100xxxxxxxxxxxxx
c0890d26 4463 ld1rsw. */
b83b4b13 4464 return 1554;
c0890d26
RS
4465 }
4466 }
4467 }
4468 }
4469 else
4470 {
4471 if (((word >> 21) & 0x1) == 0)
4472 {
4473 if (((word >> 23) & 0x1) == 0)
4474 {
4475 /* 33222222222211111111110000000000
4476 10987654321098765432109876543210
7684e580 4477 x10001x00x0xxxxx100xxxxxxxxxxxxx
c0890d26 4478 ld1sb. */
b83b4b13 4479 return 1562;
c0890d26
RS
4480 }
4481 else
4482 {
4483 /* 33222222222211111111110000000000
4484 10987654321098765432109876543210
7684e580 4485 x10001x01x0xxxxx100xxxxxxxxxxxxx
c0890d26 4486 ld1sh. */
b83b4b13 4487 return 1574;
c0890d26
RS
4488 }
4489 }
4490 else
4491 {
4492 if (((word >> 22) & 0x1) == 0)
4493 {
4494 if (((word >> 23) & 0x1) == 0)
4495 {
4496 /* 33222222222211111111110000000000
4497 10987654321098765432109876543210
7684e580 4498 x10001x0001xxxxx100xxxxxxxxxxxxx
c0890d26 4499 ld1sb. */
b83b4b13 4500 return 1567;
c0890d26
RS
4501 }
4502 else
4503 {
4504 /* 33222222222211111111110000000000
4505 10987654321098765432109876543210
7684e580 4506 x10001x0101xxxxx100xxxxxxxxxxxxx
c0890d26 4507 ld1sh. */
b83b4b13 4508 return 1579;
c0890d26
RS
4509 }
4510 }
4511 else
4512 {
4513 if (((word >> 23) & 0x1) == 0)
4514 {
4515 /* 33222222222211111111110000000000
4516 10987654321098765432109876543210
7684e580 4517 x10001x0011xxxxx100xxxxxxxxxxxxx
c0890d26 4518 prfb. */
b83b4b13 4519 return 1765;
c0890d26
RS
4520 }
4521 else
4522 {
4523 /* 33222222222211111111110000000000
4524 10987654321098765432109876543210
7684e580 4525 x10001x0111xxxxx100xxxxxxxxxxxxx
c0890d26 4526 ld1sh. */
b83b4b13 4527 return 1575;
c0890d26
RS
4528 }
4529 }
4530 }
4531 }
4532 }
4533 }
4534 else
4535 {
4536 if (((word >> 15) & 0x1) == 0)
4537 {
4538 if (((word >> 21) & 0x1) == 0)
4539 {
4540 if (((word >> 30) & 0x1) == 0)
4541 {
4542 if (((word >> 31) & 0x1) == 0)
4543 {
4544 /* 33222222222211111111110000000000
4545 10987654321098765432109876543210
7684e580 4546 000001x0xx0xxxxx010xxxxxxxxxxxxx
c0890d26 4547 mla. */
b83b4b13 4548 return 1738;
c0890d26
RS
4549 }
4550 else
4551 {
4552 if (((word >> 23) & 0x1) == 0)
4553 {
4554 /* 33222222222211111111110000000000
4555 10987654321098765432109876543210
7684e580 4556 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4557 ld1b. */
b83b4b13 4558 return 1499;
c0890d26
RS
4559 }
4560 else
4561 {
4562 /* 33222222222211111111110000000000
4563 10987654321098765432109876543210
7684e580 4564 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4565 ld1h. */
b83b4b13 4566 return 1519;
c0890d26
RS
4567 }
4568 }
4569 }
4570 else
4571 {
4572 if (((word >> 23) & 0x1) == 0)
4573 {
4574 /* 33222222222211111111110000000000
4575 10987654321098765432109876543210
7684e580 4576 x10001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4577 ld1b. */
b83b4b13 4578 return 1504;
c0890d26
RS
4579 }
4580 else
4581 {
4582 /* 33222222222211111111110000000000
4583 10987654321098765432109876543210
7684e580 4584 x10001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4585 ld1h. */
b83b4b13 4586 return 1524;
c0890d26
RS
4587 }
4588 }
4589 }
4590 else
4591 {
4592 if (((word >> 30) & 0x1) == 0)
4593 {
4594 if (((word >> 31) & 0x1) == 0)
4595 {
4596 if (((word >> 11) & 0x1) == 0)
4597 {
4598 if (((word >> 12) & 0x1) == 0)
4599 {
4600 if (((word >> 10) & 0x1) == 0)
4601 {
4602 /* 33222222222211111111110000000000
4603 10987654321098765432109876543210
7684e580 4604 000001x0xx1xxxxx010000xxxxxxxxxx
c0890d26 4605 index. */
b83b4b13 4606 return 1490;
c0890d26
RS
4607 }
4608 else
4609 {
4610 /* 33222222222211111111110000000000
4611 10987654321098765432109876543210
7684e580 4612 000001x0xx1xxxxx010001xxxxxxxxxx
c0890d26 4613 index. */
b83b4b13 4614 return 1491;
c0890d26
RS
4615 }
4616 }
4617 else
4618 {
4619 if (((word >> 22) & 0x1) == 0)
4620 {
4621 if (((word >> 23) & 0x1) == 0)
4622 {
4623 /* 33222222222211111111110000000000
4624 10987654321098765432109876543210
7684e580 4625 000001x0001xxxxx01010xxxxxxxxxxx
c0890d26 4626 addvl. */
b83b4b13 4627 return 1277;
c0890d26
RS
4628 }
4629 else
4630 {
4631 /* 33222222222211111111110000000000
4632 10987654321098765432109876543210
7684e580 4633 000001x0101xxxxx01010xxxxxxxxxxx
c0890d26 4634 rdvl. */
b83b4b13 4635 return 1799;
c0890d26
RS
4636 }
4637 }
4638 else
4639 {
4640 /* 33222222222211111111110000000000
4641 10987654321098765432109876543210
7684e580 4642 000001x0x11xxxxx01010xxxxxxxxxxx
c0890d26 4643 addpl. */
b83b4b13 4644 return 1276;
c0890d26
RS
4645 }
4646 }
4647 }
4648 else
4649 {
4650 if (((word >> 10) & 0x1) == 0)
4651 {
4652 /* 33222222222211111111110000000000
4653 10987654321098765432109876543210
7684e580 4654 000001x0xx1xxxxx010x10xxxxxxxxxx
c0890d26 4655 index. */
b83b4b13 4656 return 1492;
c0890d26
RS
4657 }
4658 else
4659 {
4660 /* 33222222222211111111110000000000
4661 10987654321098765432109876543210
7684e580 4662 000001x0xx1xxxxx010x11xxxxxxxxxx
c0890d26 4663 index. */
b83b4b13 4664 return 1489;
c0890d26
RS
4665 }
4666 }
4667 }
4668 else
4669 {
4670 if (((word >> 23) & 0x1) == 0)
4671 {
4672 /* 33222222222211111111110000000000
4673 10987654321098765432109876543210
7684e580 4674 100001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4675 prfw. */
b83b4b13 4676 return 1783;
c0890d26
RS
4677 }
4678 else
4679 {
4680 /* 33222222222211111111110000000000
4681 10987654321098765432109876543210
7684e580 4682 100001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4683 ld1h. */
b83b4b13 4684 return 1520;
c0890d26
RS
4685 }
4686 }
4687 }
4688 else
4689 {
4690 if (((word >> 23) & 0x1) == 0)
4691 {
4692 /* 33222222222211111111110000000000
4693 10987654321098765432109876543210
7684e580 4694 x10001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4695 prfw. */
b83b4b13 4696 return 1785;
c0890d26
RS
4697 }
4698 else
4699 {
4700 /* 33222222222211111111110000000000
4701 10987654321098765432109876543210
7684e580 4702 x10001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4703 ld1h. */
b83b4b13 4704 return 1525;
c0890d26
RS
4705 }
4706 }
4707 }
4708 }
4709 else
4710 {
4711 if (((word >> 30) & 0x1) == 0)
4712 {
4713 if (((word >> 31) & 0x1) == 0)
4714 {
4715 if (((word >> 21) & 0x1) == 0)
4716 {
4717 /* 33222222222211111111110000000000
4718 10987654321098765432109876543210
7684e580 4719 000001x0xx0xxxxx110xxxxxxxxxxxxx
c0890d26 4720 mad. */
b83b4b13 4721 return 1737;
c0890d26
RS
4722 }
4723 else
4724 {
4725 if (((word >> 10) & 0x1) == 0)
4726 {
4727 if (((word >> 11) & 0x1) == 0)
4728 {
4729 if (((word >> 20) & 0x1) == 0)
4730 {
4731 if (((word >> 22) & 0x1) == 0)
4732 {
4733 /* 33222222222211111111110000000000
4734 10987654321098765432109876543210
7684e580 4735 000001x0x010xxxx110x00xxxxxxxxxx
c0890d26 4736 sqincw. */
b83b4b13 4737 return 1857;
c0890d26
RS
4738 }
4739 else
4740 {
4741 if (((word >> 23) & 0x1) == 0)
4742 {
4743 /* 33222222222211111111110000000000
4744 10987654321098765432109876543210
7684e580 4745 000001x00110xxxx110x00xxxxxxxxxx
c0890d26 4746 sqinch. */
b83b4b13 4747 return 1851;
c0890d26
RS
4748 }
4749 else
4750 {
4751 /* 33222222222211111111110000000000
4752 10987654321098765432109876543210
7684e580 4753 000001x01110xxxx110x00xxxxxxxxxx
c0890d26 4754 sqincd. */
b83b4b13 4755 return 1848;
c0890d26
RS
4756 }
4757 }
4758 }
4759 else
4760 {
4761 if (((word >> 22) & 0x1) == 0)
4762 {
4763 /* 33222222222211111111110000000000
4764 10987654321098765432109876543210
7684e580 4765 000001x0x011xxxx110x00xxxxxxxxxx
c0890d26 4766 incw. */
b83b4b13 4767 return 1487;
c0890d26
RS
4768 }
4769 else
4770 {
4771 if (((word >> 23) & 0x1) == 0)
4772 {
4773 /* 33222222222211111111110000000000
4774 10987654321098765432109876543210
7684e580 4775 000001x00111xxxx110x00xxxxxxxxxx
c0890d26 4776 inch. */
b83b4b13 4777 return 1483;
c0890d26
RS
4778 }
4779 else
4780 {
4781 /* 33222222222211111111110000000000
4782 10987654321098765432109876543210
7684e580 4783 000001x01111xxxx110x00xxxxxxxxxx
c0890d26 4784 incd. */
b83b4b13 4785 return 1481;
c0890d26
RS
4786 }
4787 }
4788 }
4789 }
4790 else
4791 {
4792 if (((word >> 22) & 0x1) == 0)
4793 {
4794 /* 33222222222211111111110000000000
4795 10987654321098765432109876543210
7684e580 4796 000001x0x01xxxxx110x10xxxxxxxxxx
c0890d26 4797 sqdecw. */
b83b4b13 4798 return 1843;
c0890d26
RS
4799 }
4800 else
4801 {
4802 if (((word >> 23) & 0x1) == 0)
4803 {
4804 /* 33222222222211111111110000000000
4805 10987654321098765432109876543210
7684e580 4806 000001x0011xxxxx110x10xxxxxxxxxx
c0890d26 4807 sqdech. */
b83b4b13 4808 return 1837;
c0890d26
RS
4809 }
4810 else
4811 {
4812 /* 33222222222211111111110000000000
4813 10987654321098765432109876543210
7684e580 4814 000001x0111xxxxx110x10xxxxxxxxxx
c0890d26 4815 sqdecd. */
b83b4b13 4816 return 1834;
c0890d26
RS
4817 }
4818 }
4819 }
4820 }
4821 else
4822 {
4823 if (((word >> 11) & 0x1) == 0)
4824 {
4825 if (((word >> 20) & 0x1) == 0)
4826 {
4827 if (((word >> 22) & 0x1) == 0)
4828 {
4829 /* 33222222222211111111110000000000
4830 10987654321098765432109876543210
7684e580 4831 000001x0x010xxxx110x01xxxxxxxxxx
c0890d26 4832 uqincw. */
b83b4b13 4833 return 2005;
c0890d26
RS
4834 }
4835 else
4836 {
4837 if (((word >> 23) & 0x1) == 0)
4838 {
4839 /* 33222222222211111111110000000000
4840 10987654321098765432109876543210
7684e580 4841 000001x00110xxxx110x01xxxxxxxxxx
c0890d26 4842 uqinch. */
b83b4b13 4843 return 1999;
c0890d26
RS
4844 }
4845 else
4846 {
4847 /* 33222222222211111111110000000000
4848 10987654321098765432109876543210
7684e580 4849 000001x01110xxxx110x01xxxxxxxxxx
c0890d26 4850 uqincd. */
b83b4b13 4851 return 1996;
c0890d26
RS
4852 }
4853 }
4854 }
4855 else
4856 {
4857 if (((word >> 22) & 0x1) == 0)
4858 {
4859 /* 33222222222211111111110000000000
4860 10987654321098765432109876543210
7684e580 4861 000001x0x011xxxx110x01xxxxxxxxxx
c0890d26 4862 decw. */
b83b4b13 4863 return 1362;
c0890d26
RS
4864 }
4865 else
4866 {
4867 if (((word >> 23) & 0x1) == 0)
4868 {
4869 /* 33222222222211111111110000000000
4870 10987654321098765432109876543210
7684e580 4871 000001x00111xxxx110x01xxxxxxxxxx
c0890d26 4872 dech. */
b83b4b13 4873 return 1358;
c0890d26
RS
4874 }
4875 else
4876 {
4877 /* 33222222222211111111110000000000
4878 10987654321098765432109876543210
7684e580 4879 000001x01111xxxx110x01xxxxxxxxxx
c0890d26 4880 decd. */
b83b4b13 4881 return 1356;
c0890d26
RS
4882 }
4883 }
4884 }
4885 }
4886 else
4887 {
4888 if (((word >> 22) & 0x1) == 0)
4889 {
4890 /* 33222222222211111111110000000000
4891 10987654321098765432109876543210
7684e580 4892 000001x0x01xxxxx110x11xxxxxxxxxx
c0890d26 4893 uqdecw. */
b83b4b13 4894 return 1991;
c0890d26
RS
4895 }
4896 else
4897 {
4898 if (((word >> 23) & 0x1) == 0)
4899 {
4900 /* 33222222222211111111110000000000
4901 10987654321098765432109876543210
7684e580 4902 000001x0011xxxxx110x11xxxxxxxxxx
c0890d26 4903 uqdech. */
b83b4b13 4904 return 1985;
c0890d26
RS
4905 }
4906 else
4907 {
4908 /* 33222222222211111111110000000000
4909 10987654321098765432109876543210
7684e580 4910 000001x0111xxxxx110x11xxxxxxxxxx
c0890d26 4911 uqdecd. */
b83b4b13 4912 return 1982;
c0890d26
RS
4913 }
4914 }
4915 }
4916 }
4917 }
4918 }
4919 else
4920 {
4921 if (((word >> 22) & 0x1) == 0)
4922 {
4923 if (((word >> 21) & 0x1) == 0)
4924 {
4925 if (((word >> 23) & 0x1) == 0)
4926 {
4927 /* 33222222222211111111110000000000
4928 10987654321098765432109876543210
7684e580 4929 100001x0000xxxxx110xxxxxxxxxxxxx
c0890d26 4930 prfb. */
b83b4b13 4931 return 1762;
c0890d26
RS
4932 }
4933 else
4934 {
4935 /* 33222222222211111111110000000000
4936 10987654321098765432109876543210
7684e580 4937 100001x0100xxxxx110xxxxxxxxxxxxx
c0890d26 4938 prfh. */
b83b4b13 4939 return 1777;
c0890d26
RS
4940 }
4941 }
4942 else
4943 {
4944 if (((word >> 23) & 0x1) == 0)
4945 {
4946 /* 33222222222211111111110000000000
4947 10987654321098765432109876543210
7684e580 4948 100001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4949 ld1b. */
b83b4b13 4950 return 1506;
c0890d26
RS
4951 }
4952 else
4953 {
4954 /* 33222222222211111111110000000000
4955 10987654321098765432109876543210
7684e580 4956 100001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4957 ld1h. */
b83b4b13 4958 return 1528;
c0890d26
RS
4959 }
4960 }
4961 }
4962 else
4963 {
4964 if (((word >> 23) & 0x1) == 0)
4965 {
4966 /* 33222222222211111111110000000000
4967 10987654321098765432109876543210
7684e580 4968 100001x001xxxxxx110xxxxxxxxxxxxx
c0890d26 4969 ld1rb. */
b83b4b13 4970 return 1535;
c0890d26
RS
4971 }
4972 else
4973 {
4974 /* 33222222222211111111110000000000
4975 10987654321098765432109876543210
7684e580 4976 100001x011xxxxxx110xxxxxxxxxxxxx
c0890d26 4977 ld1rh. */
b83b4b13 4978 return 1539;
c0890d26
RS
4979 }
4980 }
4981 }
4982 }
4983 else
4984 {
4985 if (((word >> 21) & 0x1) == 0)
4986 {
4987 if (((word >> 23) & 0x1) == 0)
4988 {
4989 /* 33222222222211111111110000000000
4990 10987654321098765432109876543210
7684e580 4991 x10001x00x0xxxxx110xxxxxxxxxxxxx
c0890d26 4992 ld1b. */
b83b4b13 4993 return 1505;
c0890d26
RS
4994 }
4995 else
4996 {
4997 /* 33222222222211111111110000000000
4998 10987654321098765432109876543210
7684e580 4999 x10001x01x0xxxxx110xxxxxxxxxxxxx
c0890d26 5000 ld1h. */
b83b4b13 5001 return 1526;
c0890d26
RS
5002 }
5003 }
5004 else
5005 {
5006 if (((word >> 22) & 0x1) == 0)
5007 {
5008 if (((word >> 23) & 0x1) == 0)
5009 {
5010 /* 33222222222211111111110000000000
5011 10987654321098765432109876543210
7684e580 5012 x10001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 5013 ld1b. */
b83b4b13 5014 return 1511;
c0890d26
RS
5015 }
5016 else
5017 {
5018 /* 33222222222211111111110000000000
5019 10987654321098765432109876543210
7684e580 5020 x10001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 5021 ld1h. */
b83b4b13 5022 return 1532;
c0890d26
RS
5023 }
5024 }
5025 else
5026 {
5027 if (((word >> 23) & 0x1) == 0)
5028 {
5029 /* 33222222222211111111110000000000
5030 10987654321098765432109876543210
7684e580 5031 x10001x0011xxxxx110xxxxxxxxxxxxx
c0890d26 5032 prfw. */
b83b4b13 5033 return 1786;
c0890d26
RS
5034 }
5035 else
5036 {
5037 /* 33222222222211111111110000000000
5038 10987654321098765432109876543210
7684e580 5039 x10001x0111xxxxx110xxxxxxxxxxxxx
c0890d26 5040 ld1h. */
b83b4b13 5041 return 1527;
c0890d26
RS
5042 }
5043 }
5044 }
5045 }
5046 }
5047 }
5048 }
5049 else
5050 {
582e12bf 5051 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
5052 {
5053 if (((word >> 15) & 0x1) == 0)
5054 {
582e12bf 5055 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5056 {
5057 if (((word >> 30) & 0x1) == 0)
5058 {
5059 if (((word >> 31) & 0x1) == 0)
5060 {
582e12bf 5061 if (((word >> 17) & 0x1) == 0)
c0890d26 5062 {
582e12bf 5063 if (((word >> 19) & 0x1) == 0)
c0890d26 5064 {
582e12bf
RS
5065 if (((word >> 20) & 0x1) == 0)
5066 {
5067 if (((word >> 16) & 0x1) == 0)
5068 {
5069 /* 33222222222211111111110000000000
5070 10987654321098765432109876543210
7684e580 5071 000001x0xx000x00001xxxxxxxxxxxxx
582e12bf 5072 saddv. */
b83b4b13 5073 return 1806;
582e12bf
RS
5074 }
5075 else
5076 {
5077 /* 33222222222211111111110000000000
5078 10987654321098765432109876543210
7684e580 5079 000001x0xx000x01001xxxxxxxxxxxxx
582e12bf 5080 uaddv. */
b83b4b13 5081 return 1958;
582e12bf
RS
5082 }
5083 }
5084 else
5085 {
5086 /* 33222222222211111111110000000000
5087 10987654321098765432109876543210
7684e580 5088 000001x0xx010x0x001xxxxxxxxxxxxx
582e12bf 5089 movprfx. */
b83b4b13 5090 return 1741;
582e12bf 5091 }
c0890d26
RS
5092 }
5093 else
5094 {
582e12bf
RS
5095 if (((word >> 16) & 0x1) == 0)
5096 {
5097 if (((word >> 20) & 0x1) == 0)
5098 {
5099 /* 33222222222211111111110000000000
5100 10987654321098765432109876543210
7684e580 5101 000001x0xx001x00001xxxxxxxxxxxxx
582e12bf 5102 smaxv. */
b83b4b13 5103 return 1824;
582e12bf
RS
5104 }
5105 else
5106 {
5107 /* 33222222222211111111110000000000
5108 10987654321098765432109876543210
7684e580 5109 000001x0xx011x00001xxxxxxxxxxxxx
582e12bf 5110 orv. */
b83b4b13 5111 return 1758;
582e12bf
RS
5112 }
5113 }
5114 else
5115 {
5116 if (((word >> 20) & 0x1) == 0)
5117 {
5118 /* 33222222222211111111110000000000
5119 10987654321098765432109876543210
7684e580 5120 000001x0xx001x01001xxxxxxxxxxxxx
582e12bf 5121 umaxv. */
b83b4b13 5122 return 1973;
582e12bf
RS
5123 }
5124 else
5125 {
5126 /* 33222222222211111111110000000000
5127 10987654321098765432109876543210
7684e580 5128 000001x0xx011x01001xxxxxxxxxxxxx
582e12bf 5129 eorv. */
b83b4b13 5130 return 1373;
582e12bf
RS
5131 }
5132 }
c0890d26
RS
5133 }
5134 }
5135 else
5136 {
582e12bf 5137 if (((word >> 16) & 0x1) == 0)
c0890d26 5138 {
582e12bf
RS
5139 if (((word >> 20) & 0x1) == 0)
5140 {
5141 /* 33222222222211111111110000000000
5142 10987654321098765432109876543210
7684e580 5143 000001x0xx00xx10001xxxxxxxxxxxxx
582e12bf 5144 sminv. */
b83b4b13 5145 return 1827;
582e12bf
RS
5146 }
5147 else
5148 {
5149 /* 33222222222211111111110000000000
5150 10987654321098765432109876543210
7684e580 5151 000001x0xx01xx10001xxxxxxxxxxxxx
582e12bf 5152 andv. */
b83b4b13 5153 return 1286;
582e12bf 5154 }
c0890d26
RS
5155 }
5156 else
5157 {
5158 /* 33222222222211111111110000000000
5159 10987654321098765432109876543210
7684e580 5160 000001x0xx0xxx11001xxxxxxxxxxxxx
582e12bf 5161 uminv. */
b83b4b13 5162 return 1976;
c0890d26
RS
5163 }
5164 }
5165 }
c0890d26
RS
5166 else
5167 {
5168 if (((word >> 23) & 0x1) == 0)
5169 {
5170 /* 33222222222211111111110000000000
5171 10987654321098765432109876543210
7684e580 5172 100001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5173 ldff1sb. */
b83b4b13 5174 return 1657;
c0890d26
RS
5175 }
5176 else
5177 {
5178 /* 33222222222211111111110000000000
5179 10987654321098765432109876543210
7684e580 5180 100001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5181 ldff1sh. */
b83b4b13 5182 return 1668;
c0890d26
RS
5183 }
5184 }
5185 }
582e12bf 5186 else
c0890d26 5187 {
582e12bf 5188 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
5189 {
5190 /* 33222222222211111111110000000000
5191 10987654321098765432109876543210
7684e580 5192 x10001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5193 ldff1sb. */
b83b4b13 5194 return 1664;
c0890d26
RS
5195 }
5196 else
5197 {
5198 /* 33222222222211111111110000000000
5199 10987654321098765432109876543210
7684e580 5200 x10001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5201 ldff1sh. */
b83b4b13 5202 return 1674;
c0890d26
RS
5203 }
5204 }
582e12bf
RS
5205 }
5206 else
5207 {
5208 if (((word >> 23) & 0x1) == 0)
c0890d26 5209 {
582e12bf 5210 if (((word >> 30) & 0x1) == 0)
c0890d26 5211 {
582e12bf 5212 if (((word >> 31) & 0x1) == 0)
c0890d26 5213 {
582e12bf 5214 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5215 {
5216 /* 33222222222211111111110000000000
5217 10987654321098765432109876543210
7684e580 5218 000001x0001xxxxx001xxxxxxxxxxxxx
582e12bf 5219 and. */
b83b4b13 5220 return 1281;
c0890d26
RS
5221 }
5222 else
5223 {
5224 /* 33222222222211111111110000000000
5225 10987654321098765432109876543210
7684e580 5226 000001x0011xxxxx001xxxxxxxxxxxxx
582e12bf 5227 orr. */
b83b4b13 5228 return 1753;
c0890d26
RS
5229 }
5230 }
c0890d26
RS
5231 else
5232 {
5233 /* 33222222222211111111110000000000
5234 10987654321098765432109876543210
7684e580 5235 100001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5236 prfh. */
b83b4b13 5237 return 1776;
c0890d26
RS
5238 }
5239 }
5240 else
5241 {
582e12bf
RS
5242 /* 33222222222211111111110000000000
5243 10987654321098765432109876543210
7684e580 5244 x10001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5245 prfh. */
b83b4b13 5246 return 1778;
c0890d26
RS
5247 }
5248 }
5249 else
5250 {
582e12bf 5251 if (((word >> 30) & 0x1) == 0)
c0890d26 5252 {
582e12bf 5253 if (((word >> 31) & 0x1) == 0)
c0890d26 5254 {
582e12bf
RS
5255 if (((word >> 22) & 0x1) == 0)
5256 {
5257 /* 33222222222211111111110000000000
5258 10987654321098765432109876543210
7684e580 5259 000001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 5260 eor. */
b83b4b13 5261 return 1368;
582e12bf
RS
5262 }
5263 else
5264 {
5265 /* 33222222222211111111110000000000
5266 10987654321098765432109876543210
7684e580 5267 000001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 5268 bic. */
b83b4b13 5269 return 1294;
582e12bf 5270 }
c0890d26
RS
5271 }
5272 else
5273 {
5274 /* 33222222222211111111110000000000
5275 10987654321098765432109876543210
7684e580 5276 100001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5277 ldff1sh. */
b83b4b13 5278 return 1669;
c0890d26
RS
5279 }
5280 }
5281 else
5282 {
582e12bf
RS
5283 /* 33222222222211111111110000000000
5284 10987654321098765432109876543210
7684e580 5285 x10001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5286 ldff1sh. */
b83b4b13 5287 return 1675;
c0890d26
RS
5288 }
5289 }
5290 }
5291 }
5292 else
5293 {
582e12bf 5294 if (((word >> 30) & 0x1) == 0)
c0890d26 5295 {
582e12bf 5296 if (((word >> 31) & 0x1) == 0)
c0890d26 5297 {
582e12bf 5298 if (((word >> 21) & 0x1) == 0)
c0890d26 5299 {
582e12bf 5300 if (((word >> 16) & 0x1) == 0)
c0890d26 5301 {
582e12bf 5302 if (((word >> 17) & 0x1) == 0)
c0890d26 5303 {
582e12bf 5304 if (((word >> 18) & 0x1) == 0)
c0890d26 5305 {
582e12bf 5306 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5307 {
5308 /* 33222222222211111111110000000000
5309 10987654321098765432109876543210
7684e580 5310 000001x0xx0x0000101xxxxxxxxxxxxx
582e12bf 5311 sxtb. */
b83b4b13 5312 return 1949;
c0890d26
RS
5313 }
5314 else
5315 {
5316 /* 33222222222211111111110000000000
5317 10987654321098765432109876543210
7684e580 5318 000001x0xx0x1000101xxxxxxxxxxxxx
582e12bf 5319 cls. */
b83b4b13 5320 return 1314;
c0890d26
RS
5321 }
5322 }
5323 else
5324 {
582e12bf
RS
5325 if (((word >> 19) & 0x1) == 0)
5326 {
5327 /* 33222222222211111111110000000000
5328 10987654321098765432109876543210
7684e580 5329 000001x0xx0x0100101xxxxxxxxxxxxx
582e12bf 5330 sxtw. */
b83b4b13 5331 return 1951;
582e12bf
RS
5332 }
5333 else
5334 {
5335 /* 33222222222211111111110000000000
5336 10987654321098765432109876543210
7684e580 5337 000001x0xx0x1100101xxxxxxxxxxxxx
582e12bf 5338 fabs. */
b83b4b13 5339 return 1376;
582e12bf 5340 }
c0890d26
RS
5341 }
5342 }
5343 else
5344 {
582e12bf 5345 if (((word >> 18) & 0x1) == 0)
c0890d26 5346 {
582e12bf 5347 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5348 {
5349 /* 33222222222211111111110000000000
5350 10987654321098765432109876543210
7684e580 5351 000001x0xx0x0010101xxxxxxxxxxxxx
582e12bf 5352 sxth. */
b83b4b13 5353 return 1950;
c0890d26
RS
5354 }
5355 else
5356 {
5357 /* 33222222222211111111110000000000
5358 10987654321098765432109876543210
7684e580 5359 000001x0xx0x1010101xxxxxxxxxxxxx
582e12bf 5360 cnt. */
b83b4b13 5361 return 1343;
c0890d26
RS
5362 }
5363 }
5364 else
5365 {
582e12bf 5366 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5367 {
5368 /* 33222222222211111111110000000000
5369 10987654321098765432109876543210
7684e580 5370 000001x0xx0x0110101xxxxxxxxxxxxx
582e12bf 5371 abs. */
b83b4b13 5372 return 1272;
c0890d26
RS
5373 }
5374 else
5375 {
5376 /* 33222222222211111111110000000000
5377 10987654321098765432109876543210
7684e580 5378 000001x0xx0x1110101xxxxxxxxxxxxx
582e12bf 5379 not. */
b83b4b13 5380 return 1750;
c0890d26
RS
5381 }
5382 }
5383 }
5384 }
5385 else
5386 {
582e12bf 5387 if (((word >> 17) & 0x1) == 0)
c0890d26 5388 {
582e12bf
RS
5389 if (((word >> 18) & 0x1) == 0)
5390 {
5391 if (((word >> 19) & 0x1) == 0)
5392 {
5393 /* 33222222222211111111110000000000
5394 10987654321098765432109876543210
7684e580 5395 000001x0xx0x0001101xxxxxxxxxxxxx
582e12bf 5396 uxtb. */
b83b4b13 5397 return 2012;
582e12bf
RS
5398 }
5399 else
5400 {
5401 /* 33222222222211111111110000000000
5402 10987654321098765432109876543210
7684e580 5403 000001x0xx0x1001101xxxxxxxxxxxxx
582e12bf 5404 clz. */
b83b4b13 5405 return 1315;
582e12bf
RS
5406 }
5407 }
5408 else
5409 {
5410 if (((word >> 19) & 0x1) == 0)
5411 {
5412 /* 33222222222211111111110000000000
5413 10987654321098765432109876543210
7684e580 5414 000001x0xx0x0101101xxxxxxxxxxxxx
582e12bf 5415 uxtw. */
b83b4b13 5416 return 2014;
582e12bf
RS
5417 }
5418 else
5419 {
5420 /* 33222222222211111111110000000000
5421 10987654321098765432109876543210
7684e580 5422 000001x0xx0x1101101xxxxxxxxxxxxx
582e12bf 5423 fneg. */
b83b4b13 5424 return 1453;
582e12bf
RS
5425 }
5426 }
5427 }
5428 else
5429 {
5430 if (((word >> 18) & 0x1) == 0)
5431 {
5432 if (((word >> 19) & 0x1) == 0)
5433 {
5434 /* 33222222222211111111110000000000
5435 10987654321098765432109876543210
7684e580 5436 000001x0xx0x0011101xxxxxxxxxxxxx
582e12bf 5437 uxth. */
b83b4b13 5438 return 2013;
582e12bf
RS
5439 }
5440 else
5441 {
5442 /* 33222222222211111111110000000000
5443 10987654321098765432109876543210
7684e580 5444 000001x0xx0x1011101xxxxxxxxxxxxx
582e12bf 5445 cnot. */
b83b4b13 5446 return 1342;
582e12bf
RS
5447 }
5448 }
5449 else
c0890d26
RS
5450 {
5451 /* 33222222222211111111110000000000
5452 10987654321098765432109876543210
7684e580 5453 000001x0xx0xx111101xxxxxxxxxxxxx
582e12bf 5454 neg. */
b83b4b13 5455 return 1747;
582e12bf
RS
5456 }
5457 }
5458 }
5459 }
5460 else
5461 {
5462 if (((word >> 12) & 0x1) == 0)
5463 {
5464 if (((word >> 23) & 0x1) == 0)
5465 {
5466 if (((word >> 22) & 0x1) == 0)
5467 {
5468 /* 33222222222211111111110000000000
5469 10987654321098765432109876543210
7684e580 5470 000001x0001xxxxx1010xxxxxxxxxxxx
582e12bf 5471 adr. */
b83b4b13 5472 return 1278;
c0890d26
RS
5473 }
5474 else
5475 {
5476 /* 33222222222211111111110000000000
5477 10987654321098765432109876543210
7684e580 5478 000001x0011xxxxx1010xxxxxxxxxxxx
582e12bf 5479 adr. */
b83b4b13 5480 return 1279;
c0890d26
RS
5481 }
5482 }
5483 else
5484 {
5485 /* 33222222222211111111110000000000
5486 10987654321098765432109876543210
7684e580 5487 000001x01x1xxxxx1010xxxxxxxxxxxx
582e12bf 5488 adr. */
b83b4b13 5489 return 1280;
582e12bf
RS
5490 }
5491 }
5492 else
5493 {
5494 if (((word >> 10) & 0x1) == 0)
5495 {
5496 if (((word >> 11) & 0x1) == 0)
5497 {
5498 /* 33222222222211111111110000000000
5499 10987654321098765432109876543210
7684e580 5500 000001x0xx1xxxxx101100xxxxxxxxxx
582e12bf 5501 ftssel. */
b83b4b13 5502 return 1479;
582e12bf
RS
5503 }
5504 else
5505 {
5506 /* 33222222222211111111110000000000
5507 10987654321098765432109876543210
7684e580 5508 000001x0xx1xxxxx101110xxxxxxxxxx
582e12bf 5509 fexpa. */
b83b4b13 5510 return 1423;
582e12bf
RS
5511 }
5512 }
5513 else
5514 {
5515 /* 33222222222211111111110000000000
5516 10987654321098765432109876543210
7684e580 5517 000001x0xx1xxxxx1011x1xxxxxxxxxx
582e12bf 5518 movprfx. */
b83b4b13 5519 return 1740;
c0890d26
RS
5520 }
5521 }
5522 }
582e12bf
RS
5523 }
5524 else
5525 {
5526 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5527 {
5528 if (((word >> 23) & 0x1) == 0)
5529 {
5530 /* 33222222222211111111110000000000
5531 10987654321098765432109876543210
7684e580 5532 100001x000xxxxxx101xxxxxxxxxxxxx
c0890d26 5533 ldff1sb. */
b83b4b13 5534 return 1666;
c0890d26
RS
5535 }
5536 else
5537 {
5538 /* 33222222222211111111110000000000
5539 10987654321098765432109876543210
7684e580 5540 100001x010xxxxxx101xxxxxxxxxxxxx
c0890d26 5541 ldff1sh. */
b83b4b13 5542 return 1678;
582e12bf
RS
5543 }
5544 }
5545 else
5546 {
5547 if (((word >> 23) & 0x1) == 0)
5548 {
5549 /* 33222222222211111111110000000000
5550 10987654321098765432109876543210
7684e580 5551 100001x001xxxxxx101xxxxxxxxxxxxx
582e12bf 5552 ld1rb. */
b83b4b13 5553 return 1534;
582e12bf
RS
5554 }
5555 else
5556 {
5557 /* 33222222222211111111110000000000
5558 10987654321098765432109876543210
7684e580 5559 100001x011xxxxxx101xxxxxxxxxxxxx
582e12bf 5560 ld1rh. */
b83b4b13 5561 return 1538;
c0890d26
RS
5562 }
5563 }
5564 }
582e12bf
RS
5565 }
5566 else
5567 {
5568 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5569 {
5570 if (((word >> 23) & 0x1) == 0)
5571 {
5572 /* 33222222222211111111110000000000
5573 10987654321098765432109876543210
7684e580 5574 x10001x00x0xxxxx101xxxxxxxxxxxxx
c0890d26 5575 ldff1sb. */
b83b4b13 5576 return 1665;
c0890d26
RS
5577 }
5578 else
5579 {
5580 /* 33222222222211111111110000000000
5581 10987654321098765432109876543210
7684e580 5582 x10001x01x0xxxxx101xxxxxxxxxxxxx
c0890d26 5583 ldff1sh. */
b83b4b13 5584 return 1676;
c0890d26
RS
5585 }
5586 }
582e12bf 5587 else
c0890d26 5588 {
582e12bf 5589 if (((word >> 22) & 0x1) == 0)
c0890d26 5590 {
582e12bf 5591 if (((word >> 23) & 0x1) == 0)
c0890d26 5592 {
582e12bf
RS
5593 /* 33222222222211111111110000000000
5594 10987654321098765432109876543210
7684e580 5595 x10001x0001xxxxx101xxxxxxxxxxxxx
582e12bf 5596 ldff1sb. */
b83b4b13 5597 return 1667;
c0890d26
RS
5598 }
5599 else
5600 {
5601 /* 33222222222211111111110000000000
5602 10987654321098765432109876543210
7684e580 5603 x10001x0101xxxxx101xxxxxxxxxxxxx
582e12bf 5604 ldff1sh. */
b83b4b13 5605 return 1679;
c0890d26
RS
5606 }
5607 }
5608 else
5609 {
582e12bf
RS
5610 if (((word >> 23) & 0x1) == 0)
5611 {
5612 /* 33222222222211111111110000000000
5613 10987654321098765432109876543210
7684e580 5614 x10001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 5615 prfh. */
b83b4b13 5616 return 1779;
582e12bf
RS
5617 }
5618 else
5619 {
5620 /* 33222222222211111111110000000000
5621 10987654321098765432109876543210
7684e580 5622 x10001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 5623 ldff1sh. */
b83b4b13 5624 return 1677;
582e12bf 5625 }
c0890d26
RS
5626 }
5627 }
582e12bf
RS
5628 }
5629 }
5630 }
5631 else
5632 {
5633 if (((word >> 15) & 0x1) == 0)
5634 {
5635 if (((word >> 21) & 0x1) == 0)
5636 {
5637 if (((word >> 30) & 0x1) == 0)
c0890d26 5638 {
582e12bf 5639 if (((word >> 31) & 0x1) == 0)
c0890d26 5640 {
582e12bf
RS
5641 /* 33222222222211111111110000000000
5642 10987654321098765432109876543210
7684e580 5643 000001x0xx0xxxxx011xxxxxxxxxxxxx
582e12bf 5644 mls. */
b83b4b13 5645 return 1739;
582e12bf
RS
5646 }
5647 else
5648 {
5649 if (((word >> 23) & 0x1) == 0)
c0890d26 5650 {
582e12bf
RS
5651 /* 33222222222211111111110000000000
5652 10987654321098765432109876543210
7684e580 5653 100001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5654 ldff1b. */
b83b4b13 5655 return 1623;
c0890d26
RS
5656 }
5657 else
5658 {
5659 /* 33222222222211111111110000000000
5660 10987654321098765432109876543210
7684e580 5661 100001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5662 ldff1h. */
b83b4b13 5663 return 1643;
c0890d26
RS
5664 }
5665 }
582e12bf
RS
5666 }
5667 else
5668 {
5669 if (((word >> 23) & 0x1) == 0)
5670 {
5671 /* 33222222222211111111110000000000
5672 10987654321098765432109876543210
7684e580 5673 x10001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5674 ldff1b. */
b83b4b13 5675 return 1632;
582e12bf 5676 }
c0890d26
RS
5677 else
5678 {
5679 /* 33222222222211111111110000000000
5680 10987654321098765432109876543210
7684e580 5681 x10001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5682 ldff1h. */
b83b4b13 5683 return 1651;
582e12bf
RS
5684 }
5685 }
5686 }
5687 else
5688 {
5689 if (((word >> 23) & 0x1) == 0)
5690 {
5691 if (((word >> 30) & 0x1) == 0)
5692 {
5693 /* 33222222222211111111110000000000
5694 10987654321098765432109876543210
7684e580 5695 x00001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5696 prfd. */
b83b4b13 5697 return 1769;
582e12bf
RS
5698 }
5699 else
5700 {
5701 /* 33222222222211111111110000000000
5702 10987654321098765432109876543210
7684e580 5703 x10001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5704 prfd. */
b83b4b13 5705 return 1771;
582e12bf
RS
5706 }
5707 }
5708 else
5709 {
5710 if (((word >> 30) & 0x1) == 0)
5711 {
5712 /* 33222222222211111111110000000000
5713 10987654321098765432109876543210
7684e580 5714 x00001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5715 ldff1h. */
b83b4b13 5716 return 1644;
582e12bf
RS
5717 }
5718 else
5719 {
5720 /* 33222222222211111111110000000000
5721 10987654321098765432109876543210
7684e580 5722 x10001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5723 ldff1h. */
b83b4b13 5724 return 1652;
c0890d26
RS
5725 }
5726 }
5727 }
5728 }
5729 else
5730 {
5731 if (((word >> 30) & 0x1) == 0)
5732 {
5733 if (((word >> 31) & 0x1) == 0)
5734 {
5735 if (((word >> 21) & 0x1) == 0)
5736 {
582e12bf
RS
5737 /* 33222222222211111111110000000000
5738 10987654321098765432109876543210
7684e580 5739 000001x0xx0xxxxx111xxxxxxxxxxxxx
582e12bf 5740 msb. */
b83b4b13 5741 return 1742;
582e12bf
RS
5742 }
5743 else
5744 {
5745 if (((word >> 10) & 0x1) == 0)
c0890d26 5746 {
582e12bf 5747 if (((word >> 11) & 0x1) == 0)
c0890d26 5748 {
582e12bf 5749 if (((word >> 12) & 0x1) == 0)
c0890d26 5750 {
582e12bf 5751 if (((word >> 20) & 0x1) == 0)
c0890d26 5752 {
582e12bf
RS
5753 if (((word >> 22) & 0x1) == 0)
5754 {
5755 if (((word >> 23) & 0x1) == 0)
5756 {
5757 /* 33222222222211111111110000000000
5758 10987654321098765432109876543210
7684e580 5759 000001x00010xxxx111000xxxxxxxxxx
582e12bf 5760 cntb. */
b83b4b13 5761 return 1344;
582e12bf
RS
5762 }
5763 else
5764 {
5765 /* 33222222222211111111110000000000
5766 10987654321098765432109876543210
7684e580 5767 000001x01010xxxx111000xxxxxxxxxx
582e12bf 5768 cntw. */
b83b4b13 5769 return 1348;
582e12bf
RS
5770 }
5771 }
5772 else
5773 {
5774 if (((word >> 23) & 0x1) == 0)
5775 {
5776 /* 33222222222211111111110000000000
5777 10987654321098765432109876543210
7684e580 5778 000001x00110xxxx111000xxxxxxxxxx
582e12bf 5779 cnth. */
b83b4b13 5780 return 1346;
582e12bf
RS
5781 }
5782 else
5783 {
5784 /* 33222222222211111111110000000000
5785 10987654321098765432109876543210
7684e580 5786 000001x01110xxxx111000xxxxxxxxxx
582e12bf 5787 cntd. */
b83b4b13 5788 return 1345;
582e12bf
RS
5789 }
5790 }
c0890d26
RS
5791 }
5792 else
5793 {
582e12bf
RS
5794 if (((word >> 22) & 0x1) == 0)
5795 {
5796 if (((word >> 23) & 0x1) == 0)
5797 {
5798 /* 33222222222211111111110000000000
5799 10987654321098765432109876543210
7684e580 5800 000001x00011xxxx111000xxxxxxxxxx
582e12bf 5801 incb. */
b83b4b13 5802 return 1480;
582e12bf
RS
5803 }
5804 else
5805 {
5806 /* 33222222222211111111110000000000
5807 10987654321098765432109876543210
7684e580 5808 000001x01011xxxx111000xxxxxxxxxx
582e12bf 5809 incw. */
b83b4b13 5810 return 1488;
582e12bf
RS
5811 }
5812 }
5813 else
5814 {
5815 if (((word >> 23) & 0x1) == 0)
5816 {
5817 /* 33222222222211111111110000000000
5818 10987654321098765432109876543210
7684e580 5819 000001x00111xxxx111000xxxxxxxxxx
582e12bf 5820 inch. */
b83b4b13 5821 return 1484;
582e12bf
RS
5822 }
5823 else
5824 {
5825 /* 33222222222211111111110000000000
5826 10987654321098765432109876543210
7684e580 5827 000001x01111xxxx111000xxxxxxxxxx
582e12bf 5828 incd. */
b83b4b13 5829 return 1482;
582e12bf
RS
5830 }
5831 }
c0890d26
RS
5832 }
5833 }
5834 else
5835 {
582e12bf 5836 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
5837 {
5838 if (((word >> 22) & 0x1) == 0)
5839 {
5840 if (((word >> 23) & 0x1) == 0)
5841 {
5842 /* 33222222222211111111110000000000
5843 10987654321098765432109876543210
7684e580 5844 000001x00010xxxx111100xxxxxxxxxx
c0890d26 5845 sqincb. */
b83b4b13 5846 return 1847;
c0890d26
RS
5847 }
5848 else
5849 {
5850 /* 33222222222211111111110000000000
5851 10987654321098765432109876543210
7684e580 5852 000001x01010xxxx111100xxxxxxxxxx
c0890d26 5853 sqincw. */
b83b4b13 5854 return 1859;
c0890d26
RS
5855 }
5856 }
5857 else
5858 {
5859 if (((word >> 23) & 0x1) == 0)
5860 {
5861 /* 33222222222211111111110000000000
5862 10987654321098765432109876543210
7684e580 5863 000001x00110xxxx111100xxxxxxxxxx
c0890d26 5864 sqinch. */
b83b4b13 5865 return 1853;
c0890d26
RS
5866 }
5867 else
5868 {
5869 /* 33222222222211111111110000000000
5870 10987654321098765432109876543210
7684e580 5871 000001x01110xxxx111100xxxxxxxxxx
c0890d26 5872 sqincd. */
b83b4b13 5873 return 1850;
c0890d26
RS
5874 }
5875 }
5876 }
5877 else
5878 {
5879 if (((word >> 22) & 0x1) == 0)
5880 {
5881 if (((word >> 23) & 0x1) == 0)
5882 {
5883 /* 33222222222211111111110000000000
5884 10987654321098765432109876543210
7684e580 5885 000001x00011xxxx111100xxxxxxxxxx
c0890d26 5886 sqincb. */
b83b4b13 5887 return 1846;
c0890d26
RS
5888 }
5889 else
5890 {
5891 /* 33222222222211111111110000000000
5892 10987654321098765432109876543210
7684e580 5893 000001x01011xxxx111100xxxxxxxxxx
c0890d26 5894 sqincw. */
b83b4b13 5895 return 1858;
c0890d26
RS
5896 }
5897 }
5898 else
5899 {
5900 if (((word >> 23) & 0x1) == 0)
5901 {
5902 /* 33222222222211111111110000000000
5903 10987654321098765432109876543210
7684e580 5904 000001x00111xxxx111100xxxxxxxxxx
c0890d26 5905 sqinch. */
b83b4b13 5906 return 1852;
c0890d26
RS
5907 }
5908 else
5909 {
5910 /* 33222222222211111111110000000000
5911 10987654321098765432109876543210
7684e580 5912 000001x01111xxxx111100xxxxxxxxxx
c0890d26 5913 sqincd. */
b83b4b13 5914 return 1849;
c0890d26
RS
5915 }
5916 }
5917 }
5918 }
5919 }
5920 else
5921 {
5922 if (((word >> 20) & 0x1) == 0)
5923 {
5924 if (((word >> 22) & 0x1) == 0)
5925 {
5926 if (((word >> 23) & 0x1) == 0)
5927 {
5928 /* 33222222222211111111110000000000
5929 10987654321098765432109876543210
7684e580 5930 000001x00010xxxx111x10xxxxxxxxxx
c0890d26 5931 sqdecb. */
b83b4b13 5932 return 1833;
c0890d26
RS
5933 }
5934 else
5935 {
5936 /* 33222222222211111111110000000000
5937 10987654321098765432109876543210
7684e580 5938 000001x01010xxxx111x10xxxxxxxxxx
c0890d26 5939 sqdecw. */
b83b4b13 5940 return 1845;
c0890d26
RS
5941 }
5942 }
5943 else
5944 {
5945 if (((word >> 23) & 0x1) == 0)
5946 {
5947 /* 33222222222211111111110000000000
5948 10987654321098765432109876543210
7684e580 5949 000001x00110xxxx111x10xxxxxxxxxx
c0890d26 5950 sqdech. */
b83b4b13 5951 return 1839;
c0890d26
RS
5952 }
5953 else
5954 {
5955 /* 33222222222211111111110000000000
5956 10987654321098765432109876543210
7684e580 5957 000001x01110xxxx111x10xxxxxxxxxx
c0890d26 5958 sqdecd. */
b83b4b13 5959 return 1836;
c0890d26
RS
5960 }
5961 }
5962 }
5963 else
5964 {
5965 if (((word >> 22) & 0x1) == 0)
5966 {
5967 if (((word >> 23) & 0x1) == 0)
5968 {
5969 /* 33222222222211111111110000000000
5970 10987654321098765432109876543210
7684e580 5971 000001x00011xxxx111x10xxxxxxxxxx
c0890d26 5972 sqdecb. */
b83b4b13 5973 return 1832;
c0890d26
RS
5974 }
5975 else
5976 {
5977 /* 33222222222211111111110000000000
5978 10987654321098765432109876543210
7684e580 5979 000001x01011xxxx111x10xxxxxxxxxx
c0890d26 5980 sqdecw. */
b83b4b13 5981 return 1844;
c0890d26
RS
5982 }
5983 }
5984 else
5985 {
5986 if (((word >> 23) & 0x1) == 0)
5987 {
5988 /* 33222222222211111111110000000000
5989 10987654321098765432109876543210
7684e580 5990 000001x00111xxxx111x10xxxxxxxxxx
c0890d26 5991 sqdech. */
b83b4b13 5992 return 1838;
c0890d26
RS
5993 }
5994 else
5995 {
5996 /* 33222222222211111111110000000000
5997 10987654321098765432109876543210
7684e580 5998 000001x01111xxxx111x10xxxxxxxxxx
c0890d26 5999 sqdecd. */
b83b4b13 6000 return 1835;
c0890d26
RS
6001 }
6002 }
6003 }
6004 }
6005 }
6006 else
6007 {
6008 if (((word >> 11) & 0x1) == 0)
6009 {
6010 if (((word >> 12) & 0x1) == 0)
6011 {
6012 if (((word >> 22) & 0x1) == 0)
6013 {
6014 if (((word >> 23) & 0x1) == 0)
6015 {
6016 /* 33222222222211111111110000000000
6017 10987654321098765432109876543210
7684e580 6018 000001x0001xxxxx111001xxxxxxxxxx
c0890d26 6019 decb. */
b83b4b13 6020 return 1355;
c0890d26
RS
6021 }
6022 else
6023 {
6024 /* 33222222222211111111110000000000
6025 10987654321098765432109876543210
7684e580 6026 000001x0101xxxxx111001xxxxxxxxxx
c0890d26 6027 decw. */
b83b4b13 6028 return 1363;
c0890d26
RS
6029 }
6030 }
6031 else
6032 {
6033 if (((word >> 23) & 0x1) == 0)
6034 {
6035 /* 33222222222211111111110000000000
6036 10987654321098765432109876543210
7684e580 6037 000001x0011xxxxx111001xxxxxxxxxx
c0890d26 6038 dech. */
b83b4b13 6039 return 1359;
c0890d26
RS
6040 }
6041 else
6042 {
6043 /* 33222222222211111111110000000000
6044 10987654321098765432109876543210
7684e580 6045 000001x0111xxxxx111001xxxxxxxxxx
c0890d26 6046 decd. */
b83b4b13 6047 return 1357;
c0890d26
RS
6048 }
6049 }
6050 }
6051 else
6052 {
6053 if (((word >> 20) & 0x1) == 0)
6054 {
6055 if (((word >> 22) & 0x1) == 0)
6056 {
6057 if (((word >> 23) & 0x1) == 0)
6058 {
6059 /* 33222222222211111111110000000000
6060 10987654321098765432109876543210
7684e580 6061 000001x00010xxxx111101xxxxxxxxxx
c0890d26 6062 uqincb. */
b83b4b13 6063 return 1994;
c0890d26
RS
6064 }
6065 else
6066 {
6067 /* 33222222222211111111110000000000
6068 10987654321098765432109876543210
7684e580 6069 000001x01010xxxx111101xxxxxxxxxx
c0890d26 6070 uqincw. */
b83b4b13 6071 return 2006;
c0890d26
RS
6072 }
6073 }
6074 else
6075 {
6076 if (((word >> 23) & 0x1) == 0)
6077 {
6078 /* 33222222222211111111110000000000
6079 10987654321098765432109876543210
7684e580 6080 000001x00110xxxx111101xxxxxxxxxx
c0890d26 6081 uqinch. */
b83b4b13 6082 return 2000;
c0890d26
RS
6083 }
6084 else
6085 {
6086 /* 33222222222211111111110000000000
6087 10987654321098765432109876543210
7684e580 6088 000001x01110xxxx111101xxxxxxxxxx
c0890d26 6089 uqincd. */
b83b4b13 6090 return 1997;
c0890d26
RS
6091 }
6092 }
6093 }
6094 else
6095 {
6096 if (((word >> 22) & 0x1) == 0)
6097 {
6098 if (((word >> 23) & 0x1) == 0)
6099 {
6100 /* 33222222222211111111110000000000
6101 10987654321098765432109876543210
7684e580 6102 000001x00011xxxx111101xxxxxxxxxx
c0890d26 6103 uqincb. */
b83b4b13 6104 return 1995;
c0890d26
RS
6105 }
6106 else
6107 {
6108 /* 33222222222211111111110000000000
6109 10987654321098765432109876543210
7684e580 6110 000001x01011xxxx111101xxxxxxxxxx
c0890d26 6111 uqincw. */
b83b4b13 6112 return 2007;
c0890d26
RS
6113 }
6114 }
6115 else
6116 {
6117 if (((word >> 23) & 0x1) == 0)
6118 {
6119 /* 33222222222211111111110000000000
6120 10987654321098765432109876543210
7684e580 6121 000001x00111xxxx111101xxxxxxxxxx
c0890d26 6122 uqinch. */
b83b4b13 6123 return 2001;
c0890d26
RS
6124 }
6125 else
6126 {
6127 /* 33222222222211111111110000000000
6128 10987654321098765432109876543210
7684e580 6129 000001x01111xxxx111101xxxxxxxxxx
c0890d26 6130 uqincd. */
b83b4b13 6131 return 1998;
c0890d26
RS
6132 }
6133 }
6134 }
6135 }
6136 }
6137 else
6138 {
6139 if (((word >> 20) & 0x1) == 0)
6140 {
6141 if (((word >> 22) & 0x1) == 0)
6142 {
6143 if (((word >> 23) & 0x1) == 0)
6144 {
6145 /* 33222222222211111111110000000000
6146 10987654321098765432109876543210
7684e580 6147 000001x00010xxxx111x11xxxxxxxxxx
c0890d26 6148 uqdecb. */
b83b4b13 6149 return 1980;
c0890d26
RS
6150 }
6151 else
6152 {
6153 /* 33222222222211111111110000000000
6154 10987654321098765432109876543210
7684e580 6155 000001x01010xxxx111x11xxxxxxxxxx
c0890d26 6156 uqdecw. */
b83b4b13 6157 return 1992;
c0890d26
RS
6158 }
6159 }
6160 else
6161 {
6162 if (((word >> 23) & 0x1) == 0)
6163 {
6164 /* 33222222222211111111110000000000
6165 10987654321098765432109876543210
7684e580 6166 000001x00110xxxx111x11xxxxxxxxxx
c0890d26 6167 uqdech. */
b83b4b13 6168 return 1986;
c0890d26
RS
6169 }
6170 else
6171 {
6172 /* 33222222222211111111110000000000
6173 10987654321098765432109876543210
7684e580 6174 000001x01110xxxx111x11xxxxxxxxxx
c0890d26 6175 uqdecd. */
b83b4b13 6176 return 1983;
c0890d26
RS
6177 }
6178 }
6179 }
6180 else
6181 {
6182 if (((word >> 22) & 0x1) == 0)
6183 {
6184 if (((word >> 23) & 0x1) == 0)
6185 {
6186 /* 33222222222211111111110000000000
6187 10987654321098765432109876543210
7684e580 6188 000001x00011xxxx111x11xxxxxxxxxx
c0890d26 6189 uqdecb. */
b83b4b13 6190 return 1981;
c0890d26
RS
6191 }
6192 else
6193 {
6194 /* 33222222222211111111110000000000
6195 10987654321098765432109876543210
7684e580 6196 000001x01011xxxx111x11xxxxxxxxxx
c0890d26 6197 uqdecw. */
b83b4b13 6198 return 1993;
c0890d26
RS
6199 }
6200 }
6201 else
6202 {
6203 if (((word >> 23) & 0x1) == 0)
6204 {
6205 /* 33222222222211111111110000000000
6206 10987654321098765432109876543210
7684e580 6207 000001x00111xxxx111x11xxxxxxxxxx
c0890d26 6208 uqdech. */
b83b4b13 6209 return 1987;
c0890d26
RS
6210 }
6211 else
6212 {
6213 /* 33222222222211111111110000000000
6214 10987654321098765432109876543210
7684e580 6215 000001x01111xxxx111x11xxxxxxxxxx
c0890d26 6216 uqdecd. */
b83b4b13 6217 return 1984;
c0890d26
RS
6218 }
6219 }
6220 }
6221 }
6222 }
6223 }
6224 }
6225 else
6226 {
6227 if (((word >> 22) & 0x1) == 0)
6228 {
582e12bf
RS
6229 if (((word >> 21) & 0x1) == 0)
6230 {
6231 if (((word >> 23) & 0x1) == 0)
6232 {
6233 /* 33222222222211111111110000000000
6234 10987654321098765432109876543210
7684e580 6235 100001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6236 prfb. */
b83b4b13 6237 return 1766;
582e12bf
RS
6238 }
6239 else
6240 {
6241 /* 33222222222211111111110000000000
6242 10987654321098765432109876543210
7684e580 6243 100001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6244 prfh. */
b83b4b13 6245 return 1780;
582e12bf
RS
6246 }
6247 }
6248 else
6249 {
6250 if (((word >> 23) & 0x1) == 0)
6251 {
6252 /* 33222222222211111111110000000000
6253 10987654321098765432109876543210
7684e580 6254 100001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6255 ldff1b. */
b83b4b13 6256 return 1634;
582e12bf
RS
6257 }
6258 else
6259 {
6260 /* 33222222222211111111110000000000
6261 10987654321098765432109876543210
7684e580 6262 100001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6263 ldff1h. */
b83b4b13 6264 return 1655;
582e12bf
RS
6265 }
6266 }
6267 }
6268 else
6269 {
6270 if (((word >> 23) & 0x1) == 0)
6271 {
6272 /* 33222222222211111111110000000000
6273 10987654321098765432109876543210
7684e580 6274 100001x001xxxxxx111xxxxxxxxxxxxx
582e12bf 6275 ld1rb. */
b83b4b13 6276 return 1536;
582e12bf
RS
6277 }
6278 else
6279 {
6280 /* 33222222222211111111110000000000
6281 10987654321098765432109876543210
7684e580 6282 100001x011xxxxxx111xxxxxxxxxxxxx
582e12bf 6283 ld1rh. */
b83b4b13 6284 return 1540;
582e12bf
RS
6285 }
6286 }
6287 }
6288 }
6289 else
6290 {
6291 if (((word >> 21) & 0x1) == 0)
6292 {
6293 if (((word >> 22) & 0x1) == 0)
6294 {
6295 if (((word >> 23) & 0x1) == 0)
6296 {
6297 /* 33222222222211111111110000000000
6298 10987654321098765432109876543210
7684e580 6299 x10001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6300 prfb. */
b83b4b13 6301 return 1768;
582e12bf
RS
6302 }
6303 else
6304 {
6305 /* 33222222222211111111110000000000
6306 10987654321098765432109876543210
7684e580 6307 x10001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6308 prfh. */
b83b4b13 6309 return 1782;
582e12bf
RS
6310 }
6311 }
6312 else
6313 {
6314 if (((word >> 23) & 0x1) == 0)
6315 {
6316 /* 33222222222211111111110000000000
6317 10987654321098765432109876543210
7684e580 6318 x10001x0010xxxxx111xxxxxxxxxxxxx
582e12bf 6319 ldff1b. */
b83b4b13 6320 return 1633;
582e12bf
RS
6321 }
6322 else
6323 {
6324 /* 33222222222211111111110000000000
6325 10987654321098765432109876543210
7684e580 6326 x10001x0110xxxxx111xxxxxxxxxxxxx
582e12bf 6327 ldff1h. */
b83b4b13 6328 return 1653;
582e12bf
RS
6329 }
6330 }
6331 }
6332 else
6333 {
6334 if (((word >> 22) & 0x1) == 0)
6335 {
6336 if (((word >> 23) & 0x1) == 0)
6337 {
6338 /* 33222222222211111111110000000000
6339 10987654321098765432109876543210
7684e580 6340 x10001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6341 ldff1b. */
b83b4b13 6342 return 1635;
582e12bf
RS
6343 }
6344 else
6345 {
6346 /* 33222222222211111111110000000000
6347 10987654321098765432109876543210
7684e580 6348 x10001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6349 ldff1h. */
b83b4b13 6350 return 1656;
582e12bf
RS
6351 }
6352 }
6353 else
6354 {
6355 if (((word >> 23) & 0x1) == 0)
6356 {
6357 /* 33222222222211111111110000000000
6358 10987654321098765432109876543210
7684e580 6359 x10001x0011xxxxx111xxxxxxxxxxxxx
582e12bf 6360 prfd. */
b83b4b13 6361 return 1772;
582e12bf
RS
6362 }
6363 else
6364 {
6365 /* 33222222222211111111110000000000
6366 10987654321098765432109876543210
7684e580 6367 x10001x0111xxxxx111xxxxxxxxxxxxx
582e12bf 6368 ldff1h. */
b83b4b13 6369 return 1654;
582e12bf
RS
6370 }
6371 }
6372 }
6373 }
6374 }
6375 }
6376 }
6377 }
6378 else
6379 {
6380 if (((word >> 21) & 0x1) == 0)
6381 {
6382 if (((word >> 15) & 0x1) == 0)
6383 {
6384 if (((word >> 30) & 0x1) == 0)
6385 {
6386 if (((word >> 13) & 0x1) == 0)
6387 {
6388 if (((word >> 14) & 0x1) == 0)
6389 {
6390 if (((word >> 31) & 0x1) == 0)
6391 {
6392 if (((word >> 4) & 0x1) == 0)
6393 {
6394 /* 33222222222211111111110000000000
6395 10987654321098765432109876543210
7684e580 6396 001001x0xx0xxxxx000xxxxxxxx0xxxx
582e12bf 6397 cmphs. */
b83b4b13 6398 return 1328;
582e12bf
RS
6399 }
6400 else
6401 {
6402 /* 33222222222211111111110000000000
6403 10987654321098765432109876543210
7684e580 6404 001001x0xx0xxxxx000xxxxxxxx1xxxx
582e12bf 6405 cmphi. */
b83b4b13 6406 return 1325;
582e12bf
RS
6407 }
6408 }
6409 else
6410 {
6411 if (((word >> 23) & 0x1) == 0)
6412 {
6413 /* 33222222222211111111110000000000
6414 10987654321098765432109876543210
7684e580 6415 101001x00x0xxxxx000xxxxxxxxxxxxx
582e12bf 6416 ld1rqb. */
b83b4b13 6417 return 1542;
582e12bf
RS
6418 }
6419 else
6420 {
6421 /* 33222222222211111111110000000000
6422 10987654321098765432109876543210
7684e580 6423 101001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 6424 ld1rqh. */
b83b4b13 6425 return 1546;
582e12bf
RS
6426 }
6427 }
6428 }
6429 else
6430 {
6431 if (((word >> 31) & 0x1) == 0)
6432 {
6433 if (((word >> 4) & 0x1) == 0)
6434 {
6435 /* 33222222222211111111110000000000
6436 10987654321098765432109876543210
7684e580 6437 001001x0xx0xxxxx010xxxxxxxx0xxxx
582e12bf 6438 cmpge. */
b83b4b13 6439 return 1319;
582e12bf
RS
6440 }
6441 else
6442 {
6443 /* 33222222222211111111110000000000
6444 10987654321098765432109876543210
7684e580 6445 001001x0xx0xxxxx010xxxxxxxx1xxxx
582e12bf 6446 cmpgt. */
b83b4b13 6447 return 1322;
582e12bf
RS
6448 }
6449 }
6450 else
6451 {
6452 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
6453 {
6454 if (((word >> 23) & 0x1) == 0)
6455 {
6456 /* 33222222222211111111110000000000
6457 10987654321098765432109876543210
7684e580 6458 101001x0000xxxxx010xxxxxxxxxxxxx
582e12bf 6459 ld1b. */
b83b4b13 6460 return 1500;
c0890d26
RS
6461 }
6462 else
6463 {
6464 /* 33222222222211111111110000000000
6465 10987654321098765432109876543210
7684e580 6466 101001x0100xxxxx010xxxxxxxxxxxxx
582e12bf 6467 ld1sw. */
b83b4b13 6468 return 1580;
c0890d26
RS
6469 }
6470 }
6471 else
6472 {
6473 if (((word >> 23) & 0x1) == 0)
6474 {
6475 /* 33222222222211111111110000000000
6476 10987654321098765432109876543210
7684e580 6477 101001x0010xxxxx010xxxxxxxxxxxxx
582e12bf 6478 ld1b. */
b83b4b13 6479 return 1502;
c0890d26
RS
6480 }
6481 else
6482 {
6483 /* 33222222222211111111110000000000
6484 10987654321098765432109876543210
7684e580 6485 101001x0110xxxxx010xxxxxxxxxxxxx
582e12bf 6486 ld1h. */
b83b4b13 6487 return 1522;
c0890d26
RS
6488 }
6489 }
6490 }
582e12bf
RS
6491 }
6492 }
6493 else
6494 {
6495 if (((word >> 14) & 0x1) == 0)
6496 {
6497 if (((word >> 31) & 0x1) == 0)
6498 {
6499 if (((word >> 4) & 0x1) == 0)
6500 {
6501 /* 33222222222211111111110000000000
6502 10987654321098765432109876543210
7684e580 6503 001001x0xx0xxxxx001xxxxxxxx0xxxx
582e12bf 6504 cmpeq. */
b83b4b13 6505 return 1316;
582e12bf
RS
6506 }
6507 else
6508 {
6509 /* 33222222222211111111110000000000
6510 10987654321098765432109876543210
7684e580 6511 001001x0xx0xxxxx001xxxxxxxx1xxxx
582e12bf 6512 cmpne. */
b83b4b13 6513 return 1339;
582e12bf
RS
6514 }
6515 }
c0890d26
RS
6516 else
6517 {
6518 if (((word >> 23) & 0x1) == 0)
6519 {
6520 /* 33222222222211111111110000000000
6521 10987654321098765432109876543210
7684e580 6522 101001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 6523 ld1rqb. */
b83b4b13 6524 return 1541;
c0890d26
RS
6525 }
6526 else
6527 {
6528 /* 33222222222211111111110000000000
6529 10987654321098765432109876543210
7684e580 6530 101001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 6531 ld1rqh. */
b83b4b13 6532 return 1545;
582e12bf
RS
6533 }
6534 }
6535 }
6536 else
6537 {
6538 if (((word >> 31) & 0x1) == 0)
6539 {
6540 if (((word >> 4) & 0x1) == 0)
6541 {
6542 /* 33222222222211111111110000000000
6543 10987654321098765432109876543210
7684e580 6544 001001x0xx0xxxxx011xxxxxxxx0xxxx
582e12bf 6545 cmplt. */
b83b4b13 6546 return 1337;
582e12bf
RS
6547 }
6548 else
6549 {
6550 /* 33222222222211111111110000000000
6551 10987654321098765432109876543210
7684e580 6552 001001x0xx0xxxxx011xxxxxxxx1xxxx
582e12bf 6553 cmple. */
b83b4b13 6554 return 1331;
582e12bf
RS
6555 }
6556 }
6557 else
6558 {
6559 if (((word >> 22) & 0x1) == 0)
6560 {
6561 if (((word >> 23) & 0x1) == 0)
6562 {
6563 /* 33222222222211111111110000000000
6564 10987654321098765432109876543210
7684e580 6565 101001x0000xxxxx011xxxxxxxxxxxxx
582e12bf 6566 ldff1b. */
b83b4b13 6567 return 1624;
582e12bf
RS
6568 }
6569 else
6570 {
6571 /* 33222222222211111111110000000000
6572 10987654321098765432109876543210
7684e580 6573 101001x0100xxxxx011xxxxxxxxxxxxx
582e12bf 6574 ldff1sw. */
b83b4b13 6575 return 1680;
582e12bf
RS
6576 }
6577 }
6578 else
6579 {
6580 if (((word >> 23) & 0x1) == 0)
6581 {
6582 /* 33222222222211111111110000000000
6583 10987654321098765432109876543210
7684e580 6584 101001x0010xxxxx011xxxxxxxxxxxxx
582e12bf 6585 ldff1b. */
b83b4b13 6586 return 1628;
582e12bf
RS
6587 }
6588 else
6589 {
6590 /* 33222222222211111111110000000000
6591 10987654321098765432109876543210
7684e580 6592 101001x0110xxxxx011xxxxxxxxxxxxx
582e12bf 6593 ldff1h. */
b83b4b13 6594 return 1647;
582e12bf 6595 }
c0890d26
RS
6596 }
6597 }
6598 }
6599 }
582e12bf
RS
6600 }
6601 else
6602 {
6603 if (((word >> 31) & 0x1) == 0)
6604 {
6605 /* 33222222222211111111110000000000
6606 10987654321098765432109876543210
7684e580 6607 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
582e12bf 6608 fcmla. */
b83b4b13 6609 return 1385;
582e12bf 6610 }
c0890d26
RS
6611 else
6612 {
582e12bf 6613 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
6614 {
6615 if (((word >> 22) & 0x1) == 0)
6616 {
582e12bf
RS
6617 /* 33222222222211111111110000000000
6618 10987654321098765432109876543210
7684e580 6619 111001x0x00xxxxx0x0xxxxxxxxxxxxx
582e12bf 6620 st1b. */
b83b4b13 6621 return 1862;
c0890d26
RS
6622 }
6623 else
6624 {
6625 if (((word >> 23) & 0x1) == 0)
6626 {
6627 /* 33222222222211111111110000000000
6628 10987654321098765432109876543210
7684e580 6629 111001x0010xxxxx0x0xxxxxxxxxxxxx
582e12bf 6630 st1b. */
b83b4b13 6631 return 1866;
c0890d26
RS
6632 }
6633 else
6634 {
6635 /* 33222222222211111111110000000000
6636 10987654321098765432109876543210
7684e580 6637 111001x0110xxxxx0x0xxxxxxxxxxxxx
582e12bf 6638 st1h. */
b83b4b13 6639 return 1887;
c0890d26
RS
6640 }
6641 }
6642 }
6643 else
6644 {
6645 if (((word >> 22) & 0x1) == 0)
6646 {
6647 if (((word >> 23) & 0x1) == 0)
6648 {
6649 /* 33222222222211111111110000000000
6650 10987654321098765432109876543210
7684e580 6651 111001x0000xxxxx0x1xxxxxxxxxxxxx
582e12bf 6652 stnt1b. */
b83b4b13 6653 return 1932;
c0890d26
RS
6654 }
6655 else
6656 {
6657 /* 33222222222211111111110000000000
6658 10987654321098765432109876543210
7684e580 6659 111001x0100xxxxx0x1xxxxxxxxxxxxx
582e12bf 6660 stnt1h. */
b83b4b13 6661 return 1936;
c0890d26
RS
6662 }
6663 }
6664 else
6665 {
6666 if (((word >> 23) & 0x1) == 0)
6667 {
6668 /* 33222222222211111111110000000000
6669 10987654321098765432109876543210
7684e580 6670 111001x0010xxxxx0x1xxxxxxxxxxxxx
582e12bf 6671 st3b. */
b83b4b13 6672 return 1916;
c0890d26
RS
6673 }
6674 else
6675 {
6676 /* 33222222222211111111110000000000
6677 10987654321098765432109876543210
7684e580 6678 111001x0110xxxxx0x1xxxxxxxxxxxxx
582e12bf 6679 st3h. */
b83b4b13 6680 return 1920;
c0890d26
RS
6681 }
6682 }
6683 }
6684 }
6685 }
6686 }
582e12bf 6687 else
c0890d26 6688 {
582e12bf 6689 if (((word >> 13) & 0x1) == 0)
c0890d26 6690 {
582e12bf 6691 if (((word >> 30) & 0x1) == 0)
c0890d26 6692 {
582e12bf 6693 if (((word >> 14) & 0x1) == 0)
c0890d26 6694 {
582e12bf
RS
6695 if (((word >> 4) & 0x1) == 0)
6696 {
6697 /* 33222222222211111111110000000000
6698 10987654321098765432109876543210
7684e580 6699 x01001x0xx0xxxxx100xxxxxxxx0xxxx
582e12bf 6700 cmpge. */
b83b4b13 6701 return 1320;
582e12bf
RS
6702 }
6703 else
6704 {
6705 /* 33222222222211111111110000000000
6706 10987654321098765432109876543210
7684e580 6707 x01001x0xx0xxxxx100xxxxxxxx1xxxx
582e12bf 6708 cmpgt. */
b83b4b13 6709 return 1323;
582e12bf 6710 }
c0890d26
RS
6711 }
6712 else
c0890d26
RS
6713 {
6714 if (((word >> 31) & 0x1) == 0)
6715 {
6716 if (((word >> 4) & 0x1) == 0)
6717 {
6718 /* 33222222222211111111110000000000
6719 10987654321098765432109876543210
7684e580 6720 001001x0xx0xxxxx110xxxxxxxx0xxxx
582e12bf 6721 cmphs. */
b83b4b13 6722 return 1329;
c0890d26
RS
6723 }
6724 else
6725 {
6726 /* 33222222222211111111110000000000
6727 10987654321098765432109876543210
7684e580 6728 001001x0xx0xxxxx110xxxxxxxx1xxxx
582e12bf 6729 cmphi. */
b83b4b13 6730 return 1326;
c0890d26
RS
6731 }
6732 }
6733 else
6734 {
582e12bf 6735 if (((word >> 22) & 0x1) == 0)
c0890d26 6736 {
582e12bf 6737 if (((word >> 23) & 0x1) == 0)
c0890d26 6738 {
582e12bf
RS
6739 /* 33222222222211111111110000000000
6740 10987654321098765432109876543210
7684e580 6741 101001x0000xxxxx110xxxxxxxxxxxxx
582e12bf 6742 ldnt1b. */
b83b4b13 6743 return 1715;
c0890d26
RS
6744 }
6745 else
6746 {
582e12bf
RS
6747 /* 33222222222211111111110000000000
6748 10987654321098765432109876543210
7684e580 6749 101001x0100xxxxx110xxxxxxxxxxxxx
582e12bf 6750 ldnt1h. */
b83b4b13 6751 return 1719;
c0890d26
RS
6752 }
6753 }
6754 else
6755 {
582e12bf 6756 if (((word >> 23) & 0x1) == 0)
c0890d26 6757 {
582e12bf
RS
6758 /* 33222222222211111111110000000000
6759 10987654321098765432109876543210
7684e580 6760 101001x0010xxxxx110xxxxxxxxxxxxx
582e12bf 6761 ld3b. */
b83b4b13 6762 return 1607;
c0890d26
RS
6763 }
6764 else
6765 {
582e12bf
RS
6766 /* 33222222222211111111110000000000
6767 10987654321098765432109876543210
7684e580 6768 101001x0110xxxxx110xxxxxxxxxxxxx
582e12bf 6769 ld3h. */
b83b4b13 6770 return 1611;
c0890d26
RS
6771 }
6772 }
6773 }
6774 }
582e12bf
RS
6775 }
6776 else
6777 {
6778 if (((word >> 31) & 0x1) == 0)
6779 {
6780 /* 33222222222211111111110000000000
6781 10987654321098765432109876543210
7684e580 6782 011001x0xx0xxxxx1x0xxxxxxxxxxxxx
582e12bf 6783 fcadd. */
b83b4b13 6784 return 1384;
582e12bf 6785 }
c0890d26
RS
6786 else
6787 {
6788 if (((word >> 22) & 0x1) == 0)
6789 {
6790 if (((word >> 23) & 0x1) == 0)
6791 {
6792 /* 33222222222211111111110000000000
6793 10987654321098765432109876543210
7684e580 6794 111001x0000xxxxx1x0xxxxxxxxxxxxx
c0890d26 6795 st1b. */
b83b4b13 6796 return 1863;
c0890d26
RS
6797 }
6798 else
6799 {
6800 /* 33222222222211111111110000000000
6801 10987654321098765432109876543210
7684e580 6802 111001x0100xxxxx1x0xxxxxxxxxxxxx
c0890d26 6803 st1h. */
b83b4b13 6804 return 1882;
c0890d26
RS
6805 }
6806 }
6807 else
6808 {
6809 if (((word >> 23) & 0x1) == 0)
6810 {
6811 /* 33222222222211111111110000000000
6812 10987654321098765432109876543210
7684e580 6813 111001x0010xxxxx1x0xxxxxxxxxxxxx
c0890d26 6814 st1b. */
b83b4b13 6815 return 1867;
c0890d26
RS
6816 }
6817 else
6818 {
6819 /* 33222222222211111111110000000000
6820 10987654321098765432109876543210
7684e580 6821 111001x0110xxxxx1x0xxxxxxxxxxxxx
c0890d26 6822 st1h. */
b83b4b13 6823 return 1888;
c0890d26
RS
6824 }
6825 }
6826 }
6827 }
6828 }
6829 else
6830 {
582e12bf 6831 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
6832 {
6833 if (((word >> 30) & 0x1) == 0)
6834 {
6835 if (((word >> 31) & 0x1) == 0)
6836 {
6837 if (((word >> 4) & 0x1) == 0)
6838 {
6839 /* 33222222222211111111110000000000
6840 10987654321098765432109876543210
7684e580 6841 001001x0xx0xxxxx101xxxxxxxx0xxxx
582e12bf 6842 cmpeq. */
b83b4b13 6843 return 1317;
c0890d26
RS
6844 }
6845 else
6846 {
6847 /* 33222222222211111111110000000000
6848 10987654321098765432109876543210
7684e580 6849 001001x0xx0xxxxx101xxxxxxxx1xxxx
582e12bf 6850 cmpne. */
b83b4b13 6851 return 1340;
c0890d26
RS
6852 }
6853 }
6854 else
6855 {
582e12bf 6856 if (((word >> 20) & 0x1) == 0)
c0890d26 6857 {
582e12bf 6858 if (((word >> 22) & 0x1) == 0)
c0890d26 6859 {
582e12bf
RS
6860 if (((word >> 23) & 0x1) == 0)
6861 {
6862 /* 33222222222211111111110000000000
6863 10987654321098765432109876543210
7684e580 6864 101001x00000xxxx101xxxxxxxxxxxxx
582e12bf 6865 ld1b. */
b83b4b13 6866 return 1507;
582e12bf
RS
6867 }
6868 else
6869 {
6870 /* 33222222222211111111110000000000
6871 10987654321098765432109876543210
7684e580 6872 101001x01000xxxx101xxxxxxxxxxxxx
582e12bf 6873 ld1sw. */
b83b4b13 6874 return 1585;
582e12bf 6875 }
c0890d26
RS
6876 }
6877 else
6878 {
582e12bf
RS
6879 if (((word >> 23) & 0x1) == 0)
6880 {
6881 /* 33222222222211111111110000000000
6882 10987654321098765432109876543210
7684e580 6883 101001x00100xxxx101xxxxxxxxxxxxx
582e12bf 6884 ld1b. */
b83b4b13 6885 return 1509;
582e12bf
RS
6886 }
6887 else
6888 {
6889 /* 33222222222211111111110000000000
6890 10987654321098765432109876543210
7684e580 6891 101001x01100xxxx101xxxxxxxxxxxxx
582e12bf 6892 ld1h. */
b83b4b13 6893 return 1530;
582e12bf 6894 }
c0890d26
RS
6895 }
6896 }
6897 else
6898 {
582e12bf 6899 if (((word >> 22) & 0x1) == 0)
c0890d26 6900 {
582e12bf
RS
6901 if (((word >> 23) & 0x1) == 0)
6902 {
6903 /* 33222222222211111111110000000000
6904 10987654321098765432109876543210
7684e580 6905 101001x00001xxxx101xxxxxxxxxxxxx
582e12bf 6906 ldnf1b. */
b83b4b13 6907 return 1699;
582e12bf
RS
6908 }
6909 else
6910 {
6911 /* 33222222222211111111110000000000
6912 10987654321098765432109876543210
7684e580 6913 101001x01001xxxx101xxxxxxxxxxxxx
582e12bf 6914 ldnf1sw. */
b83b4b13 6915 return 1712;
582e12bf 6916 }
c0890d26
RS
6917 }
6918 else
6919 {
582e12bf
RS
6920 if (((word >> 23) & 0x1) == 0)
6921 {
6922 /* 33222222222211111111110000000000
6923 10987654321098765432109876543210
7684e580 6924 101001x00101xxxx101xxxxxxxxxxxxx
582e12bf 6925 ldnf1b. */
b83b4b13 6926 return 1701;
582e12bf
RS
6927 }
6928 else
6929 {
6930 /* 33222222222211111111110000000000
6931 10987654321098765432109876543210
7684e580 6932 101001x01101xxxx101xxxxxxxxxxxxx
582e12bf 6933 ldnf1h. */
b83b4b13 6934 return 1705;
582e12bf 6935 }
c0890d26
RS
6936 }
6937 }
6938 }
6939 }
6940 else
6941 {
6942 if (((word >> 22) & 0x1) == 0)
6943 {
6944 if (((word >> 23) & 0x1) == 0)
6945 {
6946 /* 33222222222211111111110000000000
6947 10987654321098765432109876543210
7684e580 6948 x11001x0000xxxxx101xxxxxxxxxxxxx
582e12bf 6949 st1b. */
b83b4b13 6950 return 1864;
c0890d26
RS
6951 }
6952 else
6953 {
6954 /* 33222222222211111111110000000000
6955 10987654321098765432109876543210
7684e580 6956 x11001x0100xxxxx101xxxxxxxxxxxxx
582e12bf 6957 st1h. */
b83b4b13 6958 return 1883;
c0890d26
RS
6959 }
6960 }
6961 else
6962 {
6963 if (((word >> 23) & 0x1) == 0)
6964 {
6965 /* 33222222222211111111110000000000
6966 10987654321098765432109876543210
7684e580 6967 x11001x0010xxxxx101xxxxxxxxxxxxx
582e12bf 6968 st1b. */
b83b4b13 6969 return 1871;
c0890d26
RS
6970 }
6971 else
6972 {
6973 /* 33222222222211111111110000000000
6974 10987654321098765432109876543210
7684e580 6975 x11001x0110xxxxx101xxxxxxxxxxxxx
582e12bf 6976 st1h. */
b83b4b13 6977 return 1892;
c0890d26
RS
6978 }
6979 }
6980 }
6981 }
6982 else
6983 {
6984 if (((word >> 30) & 0x1) == 0)
6985 {
6986 if (((word >> 31) & 0x1) == 0)
6987 {
6988 if (((word >> 4) & 0x1) == 0)
6989 {
6990 /* 33222222222211111111110000000000
6991 10987654321098765432109876543210
7684e580 6992 001001x0xx0xxxxx111xxxxxxxx0xxxx
c0890d26 6993 cmplo. */
b83b4b13 6994 return 1333;
c0890d26
RS
6995 }
6996 else
6997 {
6998 /* 33222222222211111111110000000000
6999 10987654321098765432109876543210
7684e580 7000 001001x0xx0xxxxx111xxxxxxxx1xxxx
c0890d26 7001 cmpls. */
b83b4b13 7002 return 1335;
c0890d26
RS
7003 }
7004 }
7005 else
7006 {
7007 if (((word >> 22) & 0x1) == 0)
7008 {
7009 if (((word >> 23) & 0x1) == 0)
7010 {
7011 /* 33222222222211111111110000000000
7012 10987654321098765432109876543210
7684e580 7013 101001x0000xxxxx111xxxxxxxxxxxxx
c0890d26 7014 ldnt1b. */
b83b4b13 7015 return 1716;
c0890d26
RS
7016 }
7017 else
7018 {
7019 /* 33222222222211111111110000000000
7020 10987654321098765432109876543210
7684e580 7021 101001x0100xxxxx111xxxxxxxxxxxxx
c0890d26 7022 ldnt1h. */
b83b4b13 7023 return 1720;
c0890d26
RS
7024 }
7025 }
7026 else
7027 {
7028 if (((word >> 23) & 0x1) == 0)
7029 {
7030 /* 33222222222211111111110000000000
7031 10987654321098765432109876543210
7684e580 7032 101001x0010xxxxx111xxxxxxxxxxxxx
c0890d26 7033 ld3b. */
b83b4b13 7034 return 1608;
c0890d26
RS
7035 }
7036 else
7037 {
7038 /* 33222222222211111111110000000000
7039 10987654321098765432109876543210
7684e580 7040 101001x0110xxxxx111xxxxxxxxxxxxx
c0890d26 7041 ld3h. */
b83b4b13 7042 return 1612;
c0890d26
RS
7043 }
7044 }
7045 }
7046 }
7047 else
7048 {
7049 if (((word >> 20) & 0x1) == 0)
7050 {
7051 if (((word >> 22) & 0x1) == 0)
7052 {
7053 /* 33222222222211111111110000000000
7054 10987654321098765432109876543210
7684e580 7055 x11001x0x000xxxx111xxxxxxxxxxxxx
c0890d26 7056 st1b. */
b83b4b13 7057 return 1869;
c0890d26
RS
7058 }
7059 else
7060 {
7061 if (((word >> 23) & 0x1) == 0)
7062 {
7063 /* 33222222222211111111110000000000
7064 10987654321098765432109876543210
7684e580 7065 x11001x00100xxxx111xxxxxxxxxxxxx
c0890d26 7066 st1b. */
b83b4b13 7067 return 1872;
c0890d26
RS
7068 }
7069 else
7070 {
7071 /* 33222222222211111111110000000000
7072 10987654321098765432109876543210
7684e580 7073 x11001x01100xxxx111xxxxxxxxxxxxx
c0890d26 7074 st1h. */
b83b4b13 7075 return 1893;
c0890d26
RS
7076 }
7077 }
7078 }
7079 else
7080 {
7081 if (((word >> 22) & 0x1) == 0)
7082 {
7083 if (((word >> 23) & 0x1) == 0)
7084 {
7085 /* 33222222222211111111110000000000
7086 10987654321098765432109876543210
7684e580 7087 x11001x00001xxxx111xxxxxxxxxxxxx
c0890d26 7088 stnt1b. */
b83b4b13 7089 return 1933;
c0890d26
RS
7090 }
7091 else
7092 {
7093 /* 33222222222211111111110000000000
7094 10987654321098765432109876543210
7684e580 7095 x11001x01001xxxx111xxxxxxxxxxxxx
c0890d26 7096 stnt1h. */
b83b4b13 7097 return 1937;
c0890d26
RS
7098 }
7099 }
7100 else
7101 {
7102 if (((word >> 23) & 0x1) == 0)
7103 {
7104 /* 33222222222211111111110000000000
7105 10987654321098765432109876543210
7684e580 7106 x11001x00101xxxx111xxxxxxxxxxxxx
c0890d26 7107 st3b. */
b83b4b13 7108 return 1917;
c0890d26
RS
7109 }
7110 else
7111 {
7112 /* 33222222222211111111110000000000
7113 10987654321098765432109876543210
7684e580 7114 x11001x01101xxxx111xxxxxxxxxxxxx
c0890d26 7115 st3h. */
b83b4b13 7116 return 1921;
582e12bf
RS
7117 }
7118 }
7119 }
7120 }
7121 }
7122 }
7123 }
7124 }
7125 else
7126 {
7127 if (((word >> 13) & 0x1) == 0)
7128 {
7129 if (((word >> 30) & 0x1) == 0)
7130 {
7131 if (((word >> 31) & 0x1) == 0)
7132 {
7133 if (((word >> 4) & 0x1) == 0)
7134 {
7135 /* 33222222222211111111110000000000
7136 10987654321098765432109876543210
7684e580 7137 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
582e12bf 7138 cmphs. */
b83b4b13 7139 return 1330;
582e12bf
RS
7140 }
7141 else
7142 {
7143 /* 33222222222211111111110000000000
7144 10987654321098765432109876543210
7684e580 7145 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
582e12bf 7146 cmphi. */
b83b4b13 7147 return 1327;
582e12bf
RS
7148 }
7149 }
7150 else
7151 {
7152 if (((word >> 15) & 0x1) == 0)
7153 {
7154 if (((word >> 22) & 0x1) == 0)
7155 {
7156 if (((word >> 23) & 0x1) == 0)
7157 {
7158 /* 33222222222211111111110000000000
7159 10987654321098765432109876543210
7684e580 7160 101001x0001xxxxx0x0xxxxxxxxxxxxx
582e12bf 7161 ld1b. */
b83b4b13 7162 return 1501;
582e12bf
RS
7163 }
7164 else
7165 {
7166 /* 33222222222211111111110000000000
7167 10987654321098765432109876543210
7684e580 7168 101001x0101xxxxx0x0xxxxxxxxxxxxx
582e12bf 7169 ld1h. */
b83b4b13 7170 return 1521;
582e12bf
RS
7171 }
7172 }
7173 else
7174 {
7175 if (((word >> 23) & 0x1) == 0)
7176 {
7177 /* 33222222222211111111110000000000
7178 10987654321098765432109876543210
7684e580 7179 101001x0011xxxxx0x0xxxxxxxxxxxxx
582e12bf 7180 ld1b. */
b83b4b13 7181 return 1503;
582e12bf
RS
7182 }
7183 else
7184 {
7185 /* 33222222222211111111110000000000
7186 10987654321098765432109876543210
7684e580 7187 101001x0111xxxxx0x0xxxxxxxxxxxxx
582e12bf 7188 ld1h. */
b83b4b13 7189 return 1523;
582e12bf
RS
7190 }
7191 }
7192 }
7193 else
7194 {
7195 if (((word >> 22) & 0x1) == 0)
7196 {
7197 if (((word >> 23) & 0x1) == 0)
7198 {
7199 /* 33222222222211111111110000000000
7200 10987654321098765432109876543210
7684e580 7201 101001x0001xxxxx1x0xxxxxxxxxxxxx
582e12bf 7202 ld2b. */
b83b4b13 7203 return 1599;
582e12bf
RS
7204 }
7205 else
7206 {
7207 /* 33222222222211111111110000000000
7208 10987654321098765432109876543210
7684e580 7209 101001x0101xxxxx1x0xxxxxxxxxxxxx
582e12bf 7210 ld2h. */
b83b4b13 7211 return 1603;
582e12bf
RS
7212 }
7213 }
7214 else
7215 {
7216 if (((word >> 23) & 0x1) == 0)
7217 {
7218 /* 33222222222211111111110000000000
7219 10987654321098765432109876543210
7684e580 7220 101001x0011xxxxx1x0xxxxxxxxxxxxx
582e12bf 7221 ld4b. */
b83b4b13 7222 return 1615;
582e12bf
RS
7223 }
7224 else
7225 {
7226 /* 33222222222211111111110000000000
7227 10987654321098765432109876543210
7684e580 7228 101001x0111xxxxx1x0xxxxxxxxxxxxx
582e12bf 7229 ld4h. */
b83b4b13 7230 return 1619;
582e12bf
RS
7231 }
7232 }
7233 }
7234 }
7235 }
7236 else
7237 {
7238 if (((word >> 15) & 0x1) == 0)
7239 {
7240 if (((word >> 14) & 0x1) == 0)
7241 {
7242 if (((word >> 12) & 0x1) == 0)
7243 {
7244 if (((word >> 10) & 0x1) == 0)
7245 {
7246 if (((word >> 23) & 0x1) == 0)
7247 {
7248 /* 33222222222211111111110000000000
7249 10987654321098765432109876543210
7684e580 7250 x11001x00x1xxxxx0000x0xxxxxxxxxx
582e12bf 7251 fmla. */
b83b4b13 7252 return 1438;
582e12bf
RS
7253 }
7254 else
7255 {
7256 if (((word >> 22) & 0x1) == 0)
7257 {
7258 /* 33222222222211111111110000000000
7259 10987654321098765432109876543210
7684e580 7260 x11001x0101xxxxx0000x0xxxxxxxxxx
582e12bf 7261 fmla. */
b83b4b13 7262 return 1439;
582e12bf
RS
7263 }
7264 else
7265 {
7266 /* 33222222222211111111110000000000
7267 10987654321098765432109876543210
7684e580 7268 x11001x0111xxxxx0000x0xxxxxxxxxx
582e12bf 7269 fmla. */
b83b4b13 7270 return 1440;
582e12bf
RS
7271 }
7272 }
7273 }
7274 else
7275 {
7276 if (((word >> 23) & 0x1) == 0)
7277 {
7278 /* 33222222222211111111110000000000
7279 10987654321098765432109876543210
7684e580 7280 x11001x00x1xxxxx0000x1xxxxxxxxxx
582e12bf 7281 fmls. */
b83b4b13 7282 return 1442;
582e12bf
RS
7283 }
7284 else
7285 {
7286 if (((word >> 22) & 0x1) == 0)
7287 {
7288 /* 33222222222211111111110000000000
7289 10987654321098765432109876543210
7684e580 7290 x11001x0101xxxxx0000x1xxxxxxxxxx
582e12bf 7291 fmls. */
b83b4b13 7292 return 1443;
582e12bf
RS
7293 }
7294 else
7295 {
7296 /* 33222222222211111111110000000000
7297 10987654321098765432109876543210
7684e580 7298 x11001x0111xxxxx0000x1xxxxxxxxxx
582e12bf 7299 fmls. */
b83b4b13 7300 return 1444;
582e12bf 7301 }
c0890d26
RS
7302 }
7303 }
7304 }
582e12bf
RS
7305 else
7306 {
7307 if (((word >> 22) & 0x1) == 0)
7308 {
7309 /* 33222222222211111111110000000000
7310 10987654321098765432109876543210
7684e580 7311 x11001x0x01xxxxx0001xxxxxxxxxxxx
582e12bf 7312 fcmla. */
b83b4b13 7313 return 1386;
582e12bf
RS
7314 }
7315 else
7316 {
7317 /* 33222222222211111111110000000000
7318 10987654321098765432109876543210
7684e580 7319 x11001x0x11xxxxx0001xxxxxxxxxxxx
582e12bf 7320 fcmla. */
b83b4b13 7321 return 1387;
582e12bf
RS
7322 }
7323 }
7324 }
7325 else
7326 {
7327 if (((word >> 22) & 0x1) == 0)
7328 {
7329 if (((word >> 23) & 0x1) == 0)
7330 {
7331 /* 33222222222211111111110000000000
7332 10987654321098765432109876543210
7684e580 7333 x11001x0001xxxxx010xxxxxxxxxxxxx
582e12bf 7334 st1b. */
b83b4b13 7335 return 1865;
582e12bf
RS
7336 }
7337 else
7338 {
7339 /* 33222222222211111111110000000000
7340 10987654321098765432109876543210
7684e580 7341 x11001x0101xxxxx010xxxxxxxxxxxxx
582e12bf 7342 st1h. */
b83b4b13 7343 return 1884;
582e12bf
RS
7344 }
7345 }
7346 else
7347 {
7348 if (((word >> 23) & 0x1) == 0)
7349 {
7350 /* 33222222222211111111110000000000
7351 10987654321098765432109876543210
7684e580 7352 x11001x0011xxxxx010xxxxxxxxxxxxx
582e12bf 7353 st1b. */
b83b4b13 7354 return 1868;
582e12bf
RS
7355 }
7356 else
7357 {
7358 /* 33222222222211111111110000000000
7359 10987654321098765432109876543210
7684e580 7360 x11001x0111xxxxx010xxxxxxxxxxxxx
582e12bf 7361 st1h. */
b83b4b13 7362 return 1889;
582e12bf
RS
7363 }
7364 }
7365 }
7366 }
7367 else
7368 {
7369 if (((word >> 22) & 0x1) == 0)
7370 {
7371 /* 33222222222211111111110000000000
7372 10987654321098765432109876543210
7684e580 7373 x11001x0x01xxxxx1x0xxxxxxxxxxxxx
582e12bf 7374 st1h. */
b83b4b13 7375 return 1885;
582e12bf
RS
7376 }
7377 else
7378 {
7379 /* 33222222222211111111110000000000
7380 10987654321098765432109876543210
7684e580 7381 x11001x0x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 7382 st1h. */
b83b4b13 7383 return 1890;
c0890d26
RS
7384 }
7385 }
7386 }
7387 }
7388 else
7389 {
7390 if (((word >> 30) & 0x1) == 0)
7391 {
7392 if (((word >> 31) & 0x1) == 0)
7393 {
7394 if (((word >> 4) & 0x1) == 0)
7395 {
7396 /* 33222222222211111111110000000000
7397 10987654321098765432109876543210
7684e580 7398 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
c0890d26 7399 cmplo. */
b83b4b13 7400 return 1334;
c0890d26
RS
7401 }
7402 else
7403 {
7404 /* 33222222222211111111110000000000
7405 10987654321098765432109876543210
7684e580 7406 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
c0890d26 7407 cmpls. */
b83b4b13 7408 return 1336;
c0890d26
RS
7409 }
7410 }
7411 else
7412 {
7413 if (((word >> 14) & 0x1) == 0)
7414 {
7415 if (((word >> 20) & 0x1) == 0)
7416 {
7417 if (((word >> 22) & 0x1) == 0)
7418 {
7419 if (((word >> 23) & 0x1) == 0)
7420 {
7421 /* 33222222222211111111110000000000
7422 10987654321098765432109876543210
7684e580 7423 101001x00010xxxxx01xxxxxxxxxxxxx
c0890d26 7424 ld1b. */
b83b4b13 7425 return 1508;
c0890d26
RS
7426 }
7427 else
7428 {
7429 /* 33222222222211111111110000000000
7430 10987654321098765432109876543210
7684e580 7431 101001x01010xxxxx01xxxxxxxxxxxxx
c0890d26 7432 ld1h. */
b83b4b13 7433 return 1529;
c0890d26
RS
7434 }
7435 }
7436 else
7437 {
7438 if (((word >> 23) & 0x1) == 0)
7439 {
7440 /* 33222222222211111111110000000000
7441 10987654321098765432109876543210
7684e580 7442 101001x00110xxxxx01xxxxxxxxxxxxx
c0890d26 7443 ld1b. */
b83b4b13 7444 return 1510;
c0890d26
RS
7445 }
7446 else
7447 {
7448 /* 33222222222211111111110000000000
7449 10987654321098765432109876543210
7684e580 7450 101001x01110xxxxx01xxxxxxxxxxxxx
c0890d26 7451 ld1h. */
b83b4b13 7452 return 1531;
c0890d26
RS
7453 }
7454 }
7455 }
7456 else
7457 {
7458 if (((word >> 22) & 0x1) == 0)
7459 {
7460 if (((word >> 23) & 0x1) == 0)
7461 {
7462 /* 33222222222211111111110000000000
7463 10987654321098765432109876543210
7684e580 7464 101001x00011xxxxx01xxxxxxxxxxxxx
c0890d26 7465 ldnf1b. */
b83b4b13 7466 return 1700;
c0890d26
RS
7467 }
7468 else
7469 {
7470 /* 33222222222211111111110000000000
7471 10987654321098765432109876543210
7684e580 7472 101001x01011xxxxx01xxxxxxxxxxxxx
c0890d26 7473 ldnf1h. */
b83b4b13 7474 return 1704;
c0890d26
RS
7475 }
7476 }
7477 else
7478 {
7479 if (((word >> 23) & 0x1) == 0)
7480 {
7481 /* 33222222222211111111110000000000
7482 10987654321098765432109876543210
7684e580 7483 101001x00111xxxxx01xxxxxxxxxxxxx
c0890d26 7484 ldnf1b. */
b83b4b13 7485 return 1702;
c0890d26
RS
7486 }
7487 else
7488 {
7489 /* 33222222222211111111110000000000
7490 10987654321098765432109876543210
7684e580 7491 101001x01111xxxxx01xxxxxxxxxxxxx
c0890d26 7492 ldnf1h. */
b83b4b13 7493 return 1706;
c0890d26
RS
7494 }
7495 }
7496 }
7497 }
7498 else
7499 {
7500 if (((word >> 15) & 0x1) == 0)
7501 {
7502 if (((word >> 22) & 0x1) == 0)
7503 {
7504 if (((word >> 23) & 0x1) == 0)
7505 {
7506 /* 33222222222211111111110000000000
7507 10987654321098765432109876543210
7684e580 7508 101001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7509 ldff1b. */
b83b4b13 7510 return 1626;
c0890d26
RS
7511 }
7512 else
7513 {
7514 /* 33222222222211111111110000000000
7515 10987654321098765432109876543210
7684e580 7516 101001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7517 ldff1h. */
b83b4b13 7518 return 1645;
c0890d26
RS
7519 }
7520 }
7521 else
7522 {
7523 if (((word >> 23) & 0x1) == 0)
7524 {
7525 /* 33222222222211111111110000000000
7526 10987654321098765432109876543210
7684e580 7527 101001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7528 ldff1b. */
b83b4b13 7529 return 1630;
c0890d26
RS
7530 }
7531 else
7532 {
7533 /* 33222222222211111111110000000000
7534 10987654321098765432109876543210
7684e580 7535 101001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7536 ldff1h. */
b83b4b13 7537 return 1649;
c0890d26
RS
7538 }
7539 }
7540 }
7541 else
7542 {
7543 if (((word >> 22) & 0x1) == 0)
7544 {
7545 if (((word >> 23) & 0x1) == 0)
7546 {
7547 /* 33222222222211111111110000000000
7548 10987654321098765432109876543210
7684e580 7549 101001x0001xxxxx111xxxxxxxxxxxxx
c0890d26 7550 ld2b. */
b83b4b13 7551 return 1600;
c0890d26
RS
7552 }
7553 else
7554 {
7555 /* 33222222222211111111110000000000
7556 10987654321098765432109876543210
7684e580 7557 101001x0101xxxxx111xxxxxxxxxxxxx
c0890d26 7558 ld2h. */
b83b4b13 7559 return 1604;
c0890d26
RS
7560 }
7561 }
7562 else
7563 {
7564 if (((word >> 23) & 0x1) == 0)
7565 {
7566 /* 33222222222211111111110000000000
7567 10987654321098765432109876543210
7684e580 7568 101001x0011xxxxx111xxxxxxxxxxxxx
c0890d26 7569 ld4b. */
b83b4b13 7570 return 1616;
c0890d26
RS
7571 }
7572 else
7573 {
7574 /* 33222222222211111111110000000000
7575 10987654321098765432109876543210
7684e580 7576 101001x0111xxxxx111xxxxxxxxxxxxx
c0890d26 7577 ld4h. */
b83b4b13 7578 return 1620;
c0890d26
RS
7579 }
7580 }
7581 }
7582 }
7583 }
7584 }
7585 else
7586 {
7587 if (((word >> 14) & 0x1) == 0)
7588 {
582e12bf 7589 if (((word >> 15) & 0x1) == 0)
c0890d26
RS
7590 {
7591 if (((word >> 23) & 0x1) == 0)
7592 {
7593 /* 33222222222211111111110000000000
7594 10987654321098765432109876543210
7684e580 7595 x11001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 7596 fmul. */
b83b4b13 7597 return 1449;
c0890d26
RS
7598 }
7599 else
582e12bf
RS
7600 {
7601 if (((word >> 22) & 0x1) == 0)
7602 {
7603 /* 33222222222211111111110000000000
7604 10987654321098765432109876543210
7684e580 7605 x11001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 7606 fmul. */
b83b4b13 7607 return 1450;
582e12bf
RS
7608 }
7609 else
7610 {
7611 /* 33222222222211111111110000000000
7612 10987654321098765432109876543210
7684e580 7613 x11001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 7614 fmul. */
b83b4b13 7615 return 1451;
582e12bf
RS
7616 }
7617 }
7618 }
7619 else
7620 {
7621 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
7622 {
7623 /* 33222222222211111111110000000000
7624 10987654321098765432109876543210
7684e580 7625 x11001x0x01xxxxx101xxxxxxxxxxxxx
c0890d26 7626 st1h. */
b83b4b13 7627 return 1886;
582e12bf
RS
7628 }
7629 else
7630 {
7631 if (((word >> 23) & 0x1) == 0)
7632 {
7633 /* 33222222222211111111110000000000
7634 10987654321098765432109876543210
7684e580 7635 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 7636 st1b. */
b83b4b13 7637 return 1873;
582e12bf
RS
7638 }
7639 else
7640 {
7641 /* 33222222222211111111110000000000
7642 10987654321098765432109876543210
7684e580 7643 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 7644 st1h. */
b83b4b13 7645 return 1894;
582e12bf 7646 }
c0890d26
RS
7647 }
7648 }
7649 }
7650 else
7651 {
7652 if (((word >> 15) & 0x1) == 0)
7653 {
7654 if (((word >> 22) & 0x1) == 0)
7655 {
7656 if (((word >> 23) & 0x1) == 0)
7657 {
7658 /* 33222222222211111111110000000000
7659 10987654321098765432109876543210
7684e580 7660 x11001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7661 st2b. */
b83b4b13 7662 return 1908;
c0890d26
RS
7663 }
7664 else
7665 {
7666 /* 33222222222211111111110000000000
7667 10987654321098765432109876543210
7684e580 7668 x11001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7669 st2h. */
b83b4b13 7670 return 1912;
c0890d26
RS
7671 }
7672 }
7673 else
7674 {
7675 if (((word >> 23) & 0x1) == 0)
7676 {
7677 /* 33222222222211111111110000000000
7678 10987654321098765432109876543210
7684e580 7679 x11001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7680 st4b. */
b83b4b13 7681 return 1924;
c0890d26
RS
7682 }
7683 else
7684 {
7685 /* 33222222222211111111110000000000
7686 10987654321098765432109876543210
7684e580 7687 x11001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7688 st4h. */
b83b4b13 7689 return 1928;
c0890d26
RS
7690 }
7691 }
7692 }
7693 else
7694 {
7695 if (((word >> 20) & 0x1) == 0)
7696 {
7697 if (((word >> 22) & 0x1) == 0)
7698 {
7699 if (((word >> 23) & 0x1) == 0)
7700 {
7701 /* 33222222222211111111110000000000
7702 10987654321098765432109876543210
7684e580 7703 x11001x00010xxxx111xxxxxxxxxxxxx
c0890d26 7704 st1b. */
b83b4b13 7705 return 1870;
c0890d26
RS
7706 }
7707 else
7708 {
7709 /* 33222222222211111111110000000000
7710 10987654321098765432109876543210
7684e580 7711 x11001x01010xxxx111xxxxxxxxxxxxx
c0890d26 7712 st1h. */
b83b4b13 7713 return 1891;
c0890d26
RS
7714 }
7715 }
7716 else
7717 {
7718 if (((word >> 23) & 0x1) == 0)
7719 {
7720 /* 33222222222211111111110000000000
7721 10987654321098765432109876543210
7684e580 7722 x11001x00110xxxx111xxxxxxxxxxxxx
c0890d26 7723 st1b. */
b83b4b13 7724 return 1874;
c0890d26
RS
7725 }
7726 else
7727 {
7728 /* 33222222222211111111110000000000
7729 10987654321098765432109876543210
7684e580 7730 x11001x01110xxxx111xxxxxxxxxxxxx
c0890d26 7731 st1h. */
b83b4b13 7732 return 1895;
c0890d26
RS
7733 }
7734 }
7735 }
7736 else
7737 {
7738 if (((word >> 22) & 0x1) == 0)
7739 {
7740 if (((word >> 23) & 0x1) == 0)
7741 {
7742 /* 33222222222211111111110000000000
7743 10987654321098765432109876543210
7684e580 7744 x11001x00011xxxx111xxxxxxxxxxxxx
c0890d26 7745 st2b. */
b83b4b13 7746 return 1909;
c0890d26
RS
7747 }
7748 else
7749 {
7750 /* 33222222222211111111110000000000
7751 10987654321098765432109876543210
7684e580 7752 x11001x01011xxxx111xxxxxxxxxxxxx
c0890d26 7753 st2h. */
b83b4b13 7754 return 1913;
c0890d26
RS
7755 }
7756 }
7757 else
7758 {
7759 if (((word >> 23) & 0x1) == 0)
7760 {
7761 /* 33222222222211111111110000000000
7762 10987654321098765432109876543210
7684e580 7763 x11001x00111xxxx111xxxxxxxxxxxxx
c0890d26 7764 st4b. */
b83b4b13 7765 return 1925;
c0890d26
RS
7766 }
7767 else
7768 {
7769 /* 33222222222211111111110000000000
7770 10987654321098765432109876543210
7684e580 7771 x11001x01111xxxx111xxxxxxxxxxxxx
c0890d26 7772 st4h. */
b83b4b13 7773 return 1929;
c0890d26
RS
7774 }
7775 }
7776 }
7777 }
7778 }
7779 }
7780 }
7781 }
7782 }
7783 }
7784 else
7785 {
7786 if (((word >> 29) & 0x1) == 0)
7787 {
7788 if (((word >> 30) & 0x1) == 0)
7789 {
7790 if (((word >> 31) & 0x1) == 0)
7791 {
7792 if (((word >> 21) & 0x1) == 0)
7793 {
7794 if (((word >> 20) & 0x1) == 0)
7795 {
7796 if (((word >> 22) & 0x1) == 0)
7797 {
7798 if (((word >> 23) & 0x1) == 0)
7799 {
7800 /* 33222222222211111111110000000000
7801 10987654321098765432109876543210
7684e580 7802 000001x10000xxxxxxxxxxxxxxxxxxxx
c0890d26 7803 orr. */
b83b4b13 7804 return 1754;
c0890d26
RS
7805 }
7806 else
7807 {
7808 /* 33222222222211111111110000000000
7809 10987654321098765432109876543210
7684e580 7810 000001x11000xxxxxxxxxxxxxxxxxxxx
c0890d26 7811 and. */
b83b4b13 7812 return 1282;
c0890d26
RS
7813 }
7814 }
7815 else
7816 {
7817 if (((word >> 23) & 0x1) == 0)
7818 {
7819 /* 33222222222211111111110000000000
7820 10987654321098765432109876543210
7684e580 7821 000001x10100xxxxxxxxxxxxxxxxxxxx
c0890d26 7822 eor. */
b83b4b13 7823 return 1369;
c0890d26
RS
7824 }
7825 else
7826 {
7827 /* 33222222222211111111110000000000
7828 10987654321098765432109876543210
7684e580 7829 000001x11100xxxxxxxxxxxxxxxxxxxx
c0890d26 7830 dupm. */
b83b4b13 7831 return 1367;
c0890d26
RS
7832 }
7833 }
7834 }
7835 else
7836 {
7837 if (((word >> 15) & 0x1) == 0)
7838 {
7839 /* 33222222222211111111110000000000
7840 10987654321098765432109876543210
7684e580 7841 000001x1xx01xxxx0xxxxxxxxxxxxxxx
c0890d26 7842 cpy. */
b83b4b13 7843 return 1352;
c0890d26
RS
7844 }
7845 else
7846 {
7847 /* 33222222222211111111110000000000
7848 10987654321098765432109876543210
7684e580 7849 000001x1xx01xxxx1xxxxxxxxxxxxxxx
c0890d26 7850 fcpy. */
b83b4b13 7851 return 1399;
c0890d26
RS
7852 }
7853 }
7854 }
7855 else
7856 {
7857 if (((word >> 14) & 0x1) == 0)
7858 {
7859 if (((word >> 13) & 0x1) == 0)
7860 {
7861 if (((word >> 15) & 0x1) == 0)
7862 {
7863 /* 33222222222211111111110000000000
7864 10987654321098765432109876543210
7684e580 7865 000001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 7866 ext. */
b83b4b13 7867 return 1374;
c0890d26
RS
7868 }
7869 else
7870 {
7871 if (((word >> 16) & 0x1) == 0)
7872 {
7873 if (((word >> 17) & 0x1) == 0)
7874 {
7875 if (((word >> 18) & 0x1) == 0)
7876 {
7877 if (((word >> 19) & 0x1) == 0)
7878 {
7879 /* 33222222222211111111110000000000
7880 10987654321098765432109876543210
7684e580 7881 000001x1xx1x0000100xxxxxxxxxxxxx
c0890d26 7882 cpy. */
b83b4b13 7883 return 1350;
c0890d26
RS
7884 }
7885 else
7886 {
7887 /* 33222222222211111111110000000000
7888 10987654321098765432109876543210
7684e580 7889 000001x1xx1x1000100xxxxxxxxxxxxx
c0890d26 7890 clasta. */
b83b4b13 7891 return 1308;
c0890d26
RS
7892 }
7893 }
7894 else
7895 {
7896 if (((word >> 19) & 0x1) == 0)
7897 {
7898 /* 33222222222211111111110000000000
7899 10987654321098765432109876543210
7684e580 7900 000001x1xx1x0100100xxxxxxxxxxxxx
c0890d26 7901 revb. */
b83b4b13 7902 return 1802;
c0890d26
RS
7903 }
7904 else
7905 {
7906 /* 33222222222211111111110000000000
7907 10987654321098765432109876543210
7684e580 7908 000001x1xx1x1100100xxxxxxxxxxxxx
c0890d26 7909 splice. */
b83b4b13 7910 return 1829;
c0890d26
RS
7911 }
7912 }
7913 }
7914 else
7915 {
7916 if (((word >> 18) & 0x1) == 0)
7917 {
7918 if (((word >> 19) & 0x1) == 0)
7919 {
7920 /* 33222222222211111111110000000000
7921 10987654321098765432109876543210
7684e580 7922 000001x1xx1x0010100xxxxxxxxxxxxx
c0890d26 7923 lasta. */
b83b4b13 7924 return 1496;
c0890d26
RS
7925 }
7926 else
7927 {
7928 /* 33222222222211111111110000000000
7929 10987654321098765432109876543210
7684e580 7930 000001x1xx1x1010100xxxxxxxxxxxxx
c0890d26 7931 clasta. */
b83b4b13 7932 return 1309;
c0890d26
RS
7933 }
7934 }
7935 else
7936 {
7937 /* 33222222222211111111110000000000
7938 10987654321098765432109876543210
7684e580 7939 000001x1xx1xx110100xxxxxxxxxxxxx
c0890d26 7940 revw. */
b83b4b13 7941 return 1804;
c0890d26
RS
7942 }
7943 }
7944 }
7945 else
7946 {
7947 if (((word >> 17) & 0x1) == 0)
7948 {
7949 if (((word >> 18) & 0x1) == 0)
7950 {
7951 if (((word >> 19) & 0x1) == 0)
7952 {
7953 /* 33222222222211111111110000000000
7954 10987654321098765432109876543210
7684e580 7955 000001x1xx1x0001100xxxxxxxxxxxxx
c0890d26 7956 compact. */
b83b4b13 7957 return 1349;
c0890d26
RS
7958 }
7959 else
7960 {
7961 /* 33222222222211111111110000000000
7962 10987654321098765432109876543210
7684e580 7963 000001x1xx1x1001100xxxxxxxxxxxxx
c0890d26 7964 clastb. */
b83b4b13 7965 return 1311;
c0890d26
RS
7966 }
7967 }
7968 else
7969 {
7970 /* 33222222222211111111110000000000
7971 10987654321098765432109876543210
7684e580 7972 000001x1xx1xx101100xxxxxxxxxxxxx
c0890d26 7973 revh. */
b83b4b13 7974 return 1803;
c0890d26
RS
7975 }
7976 }
7977 else
7978 {
7979 if (((word >> 18) & 0x1) == 0)
7980 {
7981 if (((word >> 19) & 0x1) == 0)
7982 {
7983 /* 33222222222211111111110000000000
7984 10987654321098765432109876543210
7684e580 7985 000001x1xx1x0011100xxxxxxxxxxxxx
c0890d26 7986 lastb. */
b83b4b13 7987 return 1498;
c0890d26
RS
7988 }
7989 else
7990 {
7991 /* 33222222222211111111110000000000
7992 10987654321098765432109876543210
7684e580 7993 000001x1xx1x1011100xxxxxxxxxxxxx
c0890d26 7994 clastb. */
b83b4b13 7995 return 1312;
c0890d26
RS
7996 }
7997 }
7998 else
7999 {
8000 /* 33222222222211111111110000000000
8001 10987654321098765432109876543210
7684e580 8002 000001x1xx1xx111100xxxxxxxxxxxxx
c0890d26 8003 rbit. */
b83b4b13 8004 return 1795;
c0890d26
RS
8005 }
8006 }
8007 }
8008 }
8009 }
8010 else
8011 {
8012 if (((word >> 15) & 0x1) == 0)
8013 {
8014 if (((word >> 11) & 0x1) == 0)
8015 {
8016 if (((word >> 12) & 0x1) == 0)
8017 {
8018 /* 33222222222211111111110000000000
8019 10987654321098765432109876543210
7684e580 8020 000001x1xx1xxxxx00100xxxxxxxxxxx
c0890d26 8021 dup. */
b83b4b13 8022 return 1365;
c0890d26
RS
8023 }
8024 else
8025 {
8026 /* 33222222222211111111110000000000
8027 10987654321098765432109876543210
7684e580 8028 000001x1xx1xxxxx00110xxxxxxxxxxx
c0890d26 8029 tbl. */
b83b4b13 8030 return 1952;
c0890d26
RS
8031 }
8032 }
8033 else
8034 {
8035 if (((word >> 16) & 0x1) == 0)
8036 {
8037 if (((word >> 17) & 0x1) == 0)
8038 {
8039 if (((word >> 18) & 0x1) == 0)
8040 {
8041 if (((word >> 19) & 0x1) == 0)
8042 {
8043 if (((word >> 20) & 0x1) == 0)
8044 {
8045 /* 33222222222211111111110000000000
8046 10987654321098765432109876543210
7684e580 8047 000001x1xx100000001x1xxxxxxxxxxx
c0890d26 8048 dup. */
b83b4b13 8049 return 1364;
c0890d26
RS
8050 }
8051 else
8052 {
8053 /* 33222222222211111111110000000000
8054 10987654321098765432109876543210
7684e580 8055 000001x1xx110000001x1xxxxxxxxxxx
c0890d26 8056 sunpklo. */
b83b4b13 8057 return 1948;
c0890d26
RS
8058 }
8059 }
8060 else
8061 {
8062 /* 33222222222211111111110000000000
8063 10987654321098765432109876543210
7684e580 8064 000001x1xx1x1000001x1xxxxxxxxxxx
c0890d26 8065 rev. */
b83b4b13 8066 return 1801;
c0890d26
RS
8067 }
8068 }
8069 else
8070 {
8071 if (((word >> 20) & 0x1) == 0)
8072 {
8073 /* 33222222222211111111110000000000
8074 10987654321098765432109876543210
7684e580 8075 000001x1xx10x100001x1xxxxxxxxxxx
c0890d26 8076 insr. */
b83b4b13 8077 return 1493;
c0890d26
RS
8078 }
8079 else
8080 {
8081 /* 33222222222211111111110000000000
8082 10987654321098765432109876543210
7684e580 8083 000001x1xx11x100001x1xxxxxxxxxxx
c0890d26 8084 insr. */
b83b4b13 8085 return 1494;
c0890d26
RS
8086 }
8087 }
8088 }
8089 else
8090 {
8091 /* 33222222222211111111110000000000
8092 10987654321098765432109876543210
7684e580 8093 000001x1xx1xxx10001x1xxxxxxxxxxx
c0890d26 8094 uunpklo. */
b83b4b13 8095 return 2011;
c0890d26
RS
8096 }
8097 }
8098 else
8099 {
8100 if (((word >> 17) & 0x1) == 0)
8101 {
8102 /* 33222222222211111111110000000000
8103 10987654321098765432109876543210
7684e580 8104 000001x1xx1xxx01001x1xxxxxxxxxxx
c0890d26 8105 sunpkhi. */
b83b4b13 8106 return 1947;
c0890d26
RS
8107 }
8108 else
8109 {
8110 /* 33222222222211111111110000000000
8111 10987654321098765432109876543210
7684e580 8112 000001x1xx1xxx11001x1xxxxxxxxxxx
c0890d26 8113 uunpkhi. */
b83b4b13 8114 return 2010;
c0890d26
RS
8115 }
8116 }
8117 }
8118 }
8119 else
8120 {
8121 if (((word >> 16) & 0x1) == 0)
8122 {
8123 if (((word >> 19) & 0x1) == 0)
8124 {
8125 if (((word >> 20) & 0x1) == 0)
8126 {
8127 /* 33222222222211111111110000000000
8128 10987654321098765432109876543210
7684e580 8129 000001x1xx100xx0101xxxxxxxxxxxxx
c0890d26 8130 lasta. */
b83b4b13 8131 return 1495;
c0890d26
RS
8132 }
8133 else
8134 {
8135 /* 33222222222211111111110000000000
8136 10987654321098765432109876543210
7684e580 8137 000001x1xx110xx0101xxxxxxxxxxxxx
c0890d26 8138 clasta. */
b83b4b13 8139 return 1310;
c0890d26
RS
8140 }
8141 }
8142 else
8143 {
8144 /* 33222222222211111111110000000000
8145 10987654321098765432109876543210
7684e580 8146 000001x1xx1x1xx0101xxxxxxxxxxxxx
c0890d26 8147 cpy. */
b83b4b13 8148 return 1351;
c0890d26
RS
8149 }
8150 }
8151 else
8152 {
8153 if (((word >> 20) & 0x1) == 0)
8154 {
8155 /* 33222222222211111111110000000000
8156 10987654321098765432109876543210
7684e580 8157 000001x1xx10xxx1101xxxxxxxxxxxxx
c0890d26 8158 lastb. */
b83b4b13 8159 return 1497;
c0890d26
RS
8160 }
8161 else
8162 {
8163 /* 33222222222211111111110000000000
8164 10987654321098765432109876543210
7684e580 8165 000001x1xx11xxx1101xxxxxxxxxxxxx
c0890d26 8166 clastb. */
b83b4b13 8167 return 1313;
c0890d26
RS
8168 }
8169 }
8170 }
8171 }
8172 }
8173 else
8174 {
8175 if (((word >> 15) & 0x1) == 0)
8176 {
8177 if (((word >> 10) & 0x1) == 0)
8178 {
8179 if (((word >> 11) & 0x1) == 0)
8180 {
8181 if (((word >> 12) & 0x1) == 0)
8182 {
8183 if (((word >> 13) & 0x1) == 0)
8184 {
8185 if (((word >> 20) & 0x1) == 0)
8186 {
8187 /* 33222222222211111111110000000000
8188 10987654321098765432109876543210
7684e580 8189 000001x1xx10xxxx010000xxxxxxxxxx
c0890d26 8190 zip1. */
b83b4b13 8191 return 2028;
c0890d26
RS
8192 }
8193 else
8194 {
8195 if (((word >> 16) & 0x1) == 0)
8196 {
8197 if (((word >> 18) & 0x1) == 0)
8198 {
8199 /* 33222222222211111111110000000000
8200 10987654321098765432109876543210
7684e580 8201 000001x1xx11x0x0010000xxxxxxxxxx
c0890d26 8202 punpklo. */
b83b4b13 8203 return 1794;
c0890d26
RS
8204 }
8205 else
8206 {
8207 /* 33222222222211111111110000000000
8208 10987654321098765432109876543210
7684e580 8209 000001x1xx11x1x0010000xxxxxxxxxx
c0890d26 8210 rev. */
b83b4b13 8211 return 1800;
c0890d26
RS
8212 }
8213 }
8214 else
8215 {
8216 /* 33222222222211111111110000000000
8217 10987654321098765432109876543210
7684e580 8218 000001x1xx11xxx1010000xxxxxxxxxx
c0890d26 8219 punpkhi. */
b83b4b13 8220 return 1793;
c0890d26
RS
8221 }
8222 }
8223 }
8224 else
8225 {
8226 /* 33222222222211111111110000000000
8227 10987654321098765432109876543210
7684e580 8228 000001x1xx1xxxxx011000xxxxxxxxxx
c0890d26 8229 zip1. */
b83b4b13 8230 return 2029;
c0890d26
RS
8231 }
8232 }
8233 else
8234 {
8235 if (((word >> 13) & 0x1) == 0)
8236 {
8237 /* 33222222222211111111110000000000
8238 10987654321098765432109876543210
7684e580 8239 000001x1xx1xxxxx010100xxxxxxxxxx
c0890d26 8240 trn1. */
b83b4b13 8241 return 1953;
c0890d26
RS
8242 }
8243 else
8244 {
8245 /* 33222222222211111111110000000000
8246 10987654321098765432109876543210
7684e580 8247 000001x1xx1xxxxx011100xxxxxxxxxx
c0890d26 8248 trn1. */
b83b4b13 8249 return 1954;
c0890d26
RS
8250 }
8251 }
8252 }
8253 else
8254 {
8255 if (((word >> 13) & 0x1) == 0)
8256 {
8257 /* 33222222222211111111110000000000
8258 10987654321098765432109876543210
7684e580 8259 000001x1xx1xxxxx010x10xxxxxxxxxx
c0890d26 8260 uzp1. */
b83b4b13 8261 return 2015;
c0890d26
RS
8262 }
8263 else
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
7684e580 8267 000001x1xx1xxxxx011x10xxxxxxxxxx
c0890d26 8268 uzp1. */
b83b4b13 8269 return 2016;
c0890d26
RS
8270 }
8271 }
8272 }
8273 else
8274 {
8275 if (((word >> 11) & 0x1) == 0)
8276 {
8277 if (((word >> 12) & 0x1) == 0)
8278 {
8279 if (((word >> 13) & 0x1) == 0)
8280 {
8281 /* 33222222222211111111110000000000
8282 10987654321098765432109876543210
7684e580 8283 000001x1xx1xxxxx010001xxxxxxxxxx
c0890d26 8284 zip2. */
b83b4b13 8285 return 2030;
c0890d26
RS
8286 }
8287 else
8288 {
8289 /* 33222222222211111111110000000000
8290 10987654321098765432109876543210
7684e580 8291 000001x1xx1xxxxx011001xxxxxxxxxx
c0890d26 8292 zip2. */
b83b4b13 8293 return 2031;
c0890d26
RS
8294 }
8295 }
8296 else
8297 {
8298 if (((word >> 13) & 0x1) == 0)
8299 {
8300 /* 33222222222211111111110000000000
8301 10987654321098765432109876543210
7684e580 8302 000001x1xx1xxxxx010101xxxxxxxxxx
c0890d26 8303 trn2. */
b83b4b13 8304 return 1955;
c0890d26
RS
8305 }
8306 else
8307 {
8308 /* 33222222222211111111110000000000
8309 10987654321098765432109876543210
7684e580 8310 000001x1xx1xxxxx011101xxxxxxxxxx
c0890d26 8311 trn2. */
b83b4b13 8312 return 1956;
c0890d26
RS
8313 }
8314 }
8315 }
8316 else
8317 {
8318 if (((word >> 13) & 0x1) == 0)
8319 {
8320 /* 33222222222211111111110000000000
8321 10987654321098765432109876543210
7684e580 8322 000001x1xx1xxxxx010x11xxxxxxxxxx
c0890d26 8323 uzp2. */
b83b4b13 8324 return 2017;
c0890d26
RS
8325 }
8326 else
8327 {
8328 /* 33222222222211111111110000000000
8329 10987654321098765432109876543210
7684e580 8330 000001x1xx1xxxxx011x11xxxxxxxxxx
c0890d26 8331 uzp2. */
b83b4b13 8332 return 2018;
c0890d26
RS
8333 }
8334 }
8335 }
8336 }
8337 else
8338 {
8339 /* 33222222222211111111110000000000
8340 10987654321098765432109876543210
7684e580 8341 000001x1xx1xxxxx11xxxxxxxxxxxxxx
c0890d26 8342 sel. */
b83b4b13 8343 return 1819;
c0890d26
RS
8344 }
8345 }
8346 }
8347 }
8348 else
8349 {
8350 if (((word >> 13) & 0x1) == 0)
8351 {
8352 if (((word >> 14) & 0x1) == 0)
8353 {
8354 if (((word >> 15) & 0x1) == 0)
8355 {
8356 if (((word >> 22) & 0x1) == 0)
8357 {
8358 /* 33222222222211111111110000000000
8359 10987654321098765432109876543210
7684e580 8360 100001x1x0xxxxxx000xxxxxxxxxxxxx
c0890d26 8361 ldr. */
b83b4b13 8362 return 1723;
c0890d26
RS
8363 }
8364 else
8365 {
8366 /* 33222222222211111111110000000000
8367 10987654321098765432109876543210
7684e580 8368 100001x1x1xxxxxx000xxxxxxxxxxxxx
c0890d26 8369 prfb. */
b83b4b13 8370 return 1767;
c0890d26
RS
8371 }
8372 }
8373 else
8374 {
8375 if (((word >> 23) & 0x1) == 0)
8376 {
8377 /* 33222222222211111111110000000000
8378 10987654321098765432109876543210
7684e580 8379 100001x10xxxxxxx100xxxxxxxxxxxxx
c0890d26 8380 ld1rsh. */
b83b4b13 8381 return 1552;
c0890d26
RS
8382 }
8383 else
8384 {
8385 /* 33222222222211111111110000000000
8386 10987654321098765432109876543210
7684e580 8387 100001x11xxxxxxx100xxxxxxxxxxxxx
c0890d26 8388 ld1rsb. */
b83b4b13 8389 return 1549;
c0890d26
RS
8390 }
8391 }
8392 }
8393 else
8394 {
8395 if (((word >> 15) & 0x1) == 0)
8396 {
8397 if (((word >> 23) & 0x1) == 0)
8398 {
8399 if (((word >> 21) & 0x1) == 0)
8400 {
8401 /* 33222222222211111111110000000000
8402 10987654321098765432109876543210
7684e580 8403 100001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8404 ld1w. */
b83b4b13 8405 return 1587;
c0890d26
RS
8406 }
8407 else
8408 {
8409 /* 33222222222211111111110000000000
8410 10987654321098765432109876543210
7684e580 8411 100001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8412 ld1w. */
b83b4b13 8413 return 1588;
c0890d26
RS
8414 }
8415 }
8416 else
8417 {
8418 if (((word >> 22) & 0x1) == 0)
8419 {
8420 /* 33222222222211111111110000000000
8421 10987654321098765432109876543210
7684e580 8422 100001x110xxxxxx010xxxxxxxxxxxxx
c0890d26 8423 ldr. */
b83b4b13 8424 return 1724;
c0890d26
RS
8425 }
8426 else
8427 {
8428 /* 33222222222211111111110000000000
8429 10987654321098765432109876543210
7684e580 8430 100001x111xxxxxx010xxxxxxxxxxxxx
c0890d26 8431 prfw. */
b83b4b13 8432 return 1788;
c0890d26
RS
8433 }
8434 }
8435 }
8436 else
8437 {
8438 if (((word >> 22) & 0x1) == 0)
8439 {
8440 if (((word >> 21) & 0x1) == 0)
8441 {
8442 if (((word >> 23) & 0x1) == 0)
8443 {
8444 /* 33222222222211111111110000000000
8445 10987654321098765432109876543210
7684e580 8446 100001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 8447 prfw. */
b83b4b13 8448 return 1784;
c0890d26
RS
8449 }
8450 else
8451 {
8452 /* 33222222222211111111110000000000
8453 10987654321098765432109876543210
7684e580 8454 100001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 8455 prfd. */
b83b4b13 8456 return 1770;
c0890d26
RS
8457 }
8458 }
8459 else
8460 {
8461 /* 33222222222211111111110000000000
8462 10987654321098765432109876543210
7684e580 8463 100001x1x01xxxxx110xxxxxxxxxxxxx
c0890d26 8464 ld1w. */
b83b4b13 8465 return 1595;
c0890d26
RS
8466 }
8467 }
8468 else
8469 {
8470 if (((word >> 23) & 0x1) == 0)
8471 {
8472 /* 33222222222211111111110000000000
8473 10987654321098765432109876543210
7684e580 8474 100001x101xxxxxx110xxxxxxxxxxxxx
c0890d26 8475 ld1rw. */
b83b4b13 8476 return 1555;
c0890d26
RS
8477 }
8478 else
8479 {
8480 /* 33222222222211111111110000000000
8481 10987654321098765432109876543210
7684e580 8482 100001x111xxxxxx110xxxxxxxxxxxxx
c0890d26 8483 ld1rsb. */
b83b4b13 8484 return 1551;
c0890d26
RS
8485 }
8486 }
8487 }
8488 }
8489 }
8490 else
8491 {
8492 if (((word >> 14) & 0x1) == 0)
8493 {
8494 if (((word >> 15) & 0x1) == 0)
8495 {
8496 /* 33222222222211111111110000000000
8497 10987654321098765432109876543210
7684e580 8498 100001x1xxxxxxxx001xxxxxxxxxxxxx
c0890d26 8499 prfh. */
b83b4b13 8500 return 1781;
c0890d26
RS
8501 }
8502 else
8503 {
8504 if (((word >> 23) & 0x1) == 0)
8505 {
8506 /* 33222222222211111111110000000000
8507 10987654321098765432109876543210
7684e580 8508 100001x10xxxxxxx101xxxxxxxxxxxxx
c0890d26 8509 ld1rsh. */
b83b4b13 8510 return 1553;
c0890d26
RS
8511 }
8512 else
8513 {
8514 /* 33222222222211111111110000000000
8515 10987654321098765432109876543210
7684e580 8516 100001x11xxxxxxx101xxxxxxxxxxxxx
c0890d26 8517 ld1rsb. */
b83b4b13 8518 return 1550;
c0890d26
RS
8519 }
8520 }
8521 }
8522 else
8523 {
8524 if (((word >> 15) & 0x1) == 0)
8525 {
8526 if (((word >> 23) & 0x1) == 0)
8527 {
8528 if (((word >> 21) & 0x1) == 0)
8529 {
8530 /* 33222222222211111111110000000000
8531 10987654321098765432109876543210
7684e580 8532 100001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8533 ldff1w. */
b83b4b13 8534 return 1687;
c0890d26
RS
8535 }
8536 else
8537 {
8538 /* 33222222222211111111110000000000
8539 10987654321098765432109876543210
7684e580 8540 100001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8541 ldff1w. */
b83b4b13 8542 return 1688;
c0890d26
RS
8543 }
8544 }
8545 else
8546 {
8547 /* 33222222222211111111110000000000
8548 10987654321098765432109876543210
7684e580 8549 100001x11xxxxxxx011xxxxxxxxxxxxx
c0890d26 8550 prfd. */
b83b4b13 8551 return 1774;
c0890d26
RS
8552 }
8553 }
8554 else
8555 {
8556 if (((word >> 22) & 0x1) == 0)
8557 {
8558 if (((word >> 21) & 0x1) == 0)
8559 {
8560 if (((word >> 23) & 0x1) == 0)
8561 {
8562 /* 33222222222211111111110000000000
8563 10987654321098765432109876543210
7684e580 8564 100001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8565 prfw. */
b83b4b13 8566 return 1787;
c0890d26
RS
8567 }
8568 else
8569 {
8570 /* 33222222222211111111110000000000
8571 10987654321098765432109876543210
7684e580 8572 100001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8573 prfd. */
b83b4b13 8574 return 1773;
c0890d26
RS
8575 }
8576 }
8577 else
8578 {
8579 /* 33222222222211111111110000000000
8580 10987654321098765432109876543210
7684e580 8581 100001x1x01xxxxx111xxxxxxxxxxxxx
c0890d26 8582 ldff1w. */
b83b4b13 8583 return 1697;
c0890d26
RS
8584 }
8585 }
8586 else
8587 {
8588 if (((word >> 23) & 0x1) == 0)
8589 {
8590 /* 33222222222211111111110000000000
8591 10987654321098765432109876543210
7684e580 8592 100001x101xxxxxx111xxxxxxxxxxxxx
c0890d26 8593 ld1rw. */
b83b4b13 8594 return 1556;
c0890d26
RS
8595 }
8596 else
8597 {
8598 /* 33222222222211111111110000000000
8599 10987654321098765432109876543210
7684e580 8600 100001x111xxxxxx111xxxxxxxxxxxxx
c0890d26 8601 ld1rd. */
b83b4b13 8602 return 1537;
c0890d26
RS
8603 }
8604 }
8605 }
8606 }
8607 }
8608 }
8609 }
8610 else
8611 {
8612 if (((word >> 13) & 0x1) == 0)
8613 {
8614 if (((word >> 14) & 0x1) == 0)
8615 {
8616 if (((word >> 15) & 0x1) == 0)
8617 {
8618 if (((word >> 21) & 0x1) == 0)
8619 {
8620 /* 33222222222211111111110000000000
8621 10987654321098765432109876543210
7684e580 8622 x10001x1xx0xxxxx000xxxxxxxxxxxxx
c0890d26 8623 ld1sw. */
b83b4b13 8624 return 1581;
c0890d26
RS
8625 }
8626 else
8627 {
8628 /* 33222222222211111111110000000000
8629 10987654321098765432109876543210
7684e580 8630 x10001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 8631 ld1sw. */
b83b4b13 8632 return 1582;
c0890d26
RS
8633 }
8634 }
8635 else
8636 {
8637 if (((word >> 21) & 0x1) == 0)
8638 {
8639 /* 33222222222211111111110000000000
8640 10987654321098765432109876543210
7684e580 8641 x10001x1xx0xxxxx100xxxxxxxxxxxxx
c0890d26 8642 ld1sw. */
b83b4b13 8643 return 1583;
c0890d26
RS
8644 }
8645 else
8646 {
8647 if (((word >> 22) & 0x1) == 0)
8648 {
8649 /* 33222222222211111111110000000000
8650 10987654321098765432109876543210
7684e580 8651 x10001x1x01xxxxx100xxxxxxxxxxxxx
c0890d26 8652 ld1sw. */
b83b4b13 8653 return 1586;
c0890d26
RS
8654 }
8655 else
8656 {
8657 /* 33222222222211111111110000000000
8658 10987654321098765432109876543210
7684e580 8659 x10001x1x11xxxxx100xxxxxxxxxxxxx
c0890d26 8660 ld1sw. */
b83b4b13 8661 return 1584;
c0890d26
RS
8662 }
8663 }
8664 }
8665 }
8666 else
8667 {
8668 if (((word >> 15) & 0x1) == 0)
8669 {
8670 if (((word >> 21) & 0x1) == 0)
8671 {
8672 if (((word >> 23) & 0x1) == 0)
8673 {
8674 /* 33222222222211111111110000000000
8675 10987654321098765432109876543210
7684e580 8676 x10001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8677 ld1w. */
b83b4b13 8678 return 1591;
c0890d26
RS
8679 }
8680 else
8681 {
8682 /* 33222222222211111111110000000000
8683 10987654321098765432109876543210
7684e580 8684 x10001x11x0xxxxx010xxxxxxxxxxxxx
c0890d26 8685 ld1d. */
b83b4b13 8686 return 1513;
c0890d26
RS
8687 }
8688 }
8689 else
8690 {
8691 if (((word >> 23) & 0x1) == 0)
8692 {
8693 /* 33222222222211111111110000000000
8694 10987654321098765432109876543210
7684e580 8695 x10001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8696 ld1w. */
b83b4b13 8697 return 1592;
c0890d26
RS
8698 }
8699 else
8700 {
8701 /* 33222222222211111111110000000000
8702 10987654321098765432109876543210
7684e580 8703 x10001x11x1xxxxx010xxxxxxxxxxxxx
c0890d26 8704 ld1d. */
b83b4b13 8705 return 1514;
c0890d26
RS
8706 }
8707 }
8708 }
8709 else
8710 {
8711 if (((word >> 21) & 0x1) == 0)
8712 {
8713 if (((word >> 23) & 0x1) == 0)
8714 {
8715 /* 33222222222211111111110000000000
8716 10987654321098765432109876543210
7684e580 8717 x10001x10x0xxxxx110xxxxxxxxxxxxx
c0890d26 8718 ld1w. */
b83b4b13 8719 return 1593;
c0890d26
RS
8720 }
8721 else
8722 {
8723 /* 33222222222211111111110000000000
8724 10987654321098765432109876543210
7684e580 8725 x10001x11x0xxxxx110xxxxxxxxxxxxx
c0890d26 8726 ld1d. */
b83b4b13 8727 return 1515;
c0890d26
RS
8728 }
8729 }
8730 else
8731 {
8732 if (((word >> 22) & 0x1) == 0)
8733 {
8734 if (((word >> 23) & 0x1) == 0)
8735 {
8736 /* 33222222222211111111110000000000
8737 10987654321098765432109876543210
7684e580 8738 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 8739 ld1w. */
b83b4b13 8740 return 1598;
c0890d26
RS
8741 }
8742 else
8743 {
8744 /* 33222222222211111111110000000000
8745 10987654321098765432109876543210
7684e580 8746 x10001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 8747 ld1d. */
b83b4b13 8748 return 1518;
c0890d26
RS
8749 }
8750 }
8751 else
8752 {
8753 if (((word >> 23) & 0x1) == 0)
8754 {
8755 /* 33222222222211111111110000000000
8756 10987654321098765432109876543210
7684e580 8757 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 8758 ld1w. */
b83b4b13 8759 return 1594;
c0890d26
RS
8760 }
8761 else
8762 {
8763 /* 33222222222211111111110000000000
8764 10987654321098765432109876543210
7684e580 8765 x10001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 8766 ld1d. */
b83b4b13 8767 return 1516;
c0890d26
RS
8768 }
8769 }
8770 }
8771 }
8772 }
8773 }
8774 else
8775 {
8776 if (((word >> 14) & 0x1) == 0)
8777 {
8778 if (((word >> 15) & 0x1) == 0)
8779 {
8780 if (((word >> 21) & 0x1) == 0)
8781 {
8782 /* 33222222222211111111110000000000
8783 10987654321098765432109876543210
7684e580 8784 x10001x1xx0xxxxx001xxxxxxxxxxxxx
c0890d26 8785 ldff1sw. */
b83b4b13 8786 return 1682;
c0890d26
RS
8787 }
8788 else
8789 {
8790 /* 33222222222211111111110000000000
8791 10987654321098765432109876543210
7684e580 8792 x10001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 8793 ldff1sw. */
b83b4b13 8794 return 1683;
c0890d26
RS
8795 }
8796 }
8797 else
8798 {
8799 if (((word >> 21) & 0x1) == 0)
8800 {
8801 /* 33222222222211111111110000000000
8802 10987654321098765432109876543210
7684e580 8803 x10001x1xx0xxxxx101xxxxxxxxxxxxx
c0890d26 8804 ldff1sw. */
b83b4b13 8805 return 1684;
c0890d26
RS
8806 }
8807 else
8808 {
8809 if (((word >> 22) & 0x1) == 0)
8810 {
8811 /* 33222222222211111111110000000000
8812 10987654321098765432109876543210
7684e580 8813 x10001x1x01xxxxx101xxxxxxxxxxxxx
c0890d26 8814 ldff1sw. */
b83b4b13 8815 return 1686;
c0890d26
RS
8816 }
8817 else
8818 {
8819 /* 33222222222211111111110000000000
8820 10987654321098765432109876543210
7684e580 8821 x10001x1x11xxxxx101xxxxxxxxxxxxx
c0890d26 8822 ldff1sw. */
b83b4b13 8823 return 1685;
c0890d26
RS
8824 }
8825 }
8826 }
8827 }
8828 else
8829 {
8830 if (((word >> 15) & 0x1) == 0)
8831 {
8832 if (((word >> 21) & 0x1) == 0)
8833 {
8834 if (((word >> 23) & 0x1) == 0)
8835 {
8836 /* 33222222222211111111110000000000
8837 10987654321098765432109876543210
7684e580 8838 x10001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8839 ldff1w. */
b83b4b13 8840 return 1693;
c0890d26
RS
8841 }
8842 else
8843 {
8844 /* 33222222222211111111110000000000
8845 10987654321098765432109876543210
7684e580 8846 x10001x11x0xxxxx011xxxxxxxxxxxxx
c0890d26 8847 ldff1d. */
b83b4b13 8848 return 1638;
c0890d26
RS
8849 }
8850 }
8851 else
8852 {
8853 if (((word >> 23) & 0x1) == 0)
8854 {
8855 /* 33222222222211111111110000000000
8856 10987654321098765432109876543210
7684e580 8857 x10001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8858 ldff1w. */
b83b4b13 8859 return 1694;
c0890d26
RS
8860 }
8861 else
8862 {
8863 /* 33222222222211111111110000000000
8864 10987654321098765432109876543210
7684e580 8865 x10001x11x1xxxxx011xxxxxxxxxxxxx
c0890d26 8866 ldff1d. */
b83b4b13 8867 return 1639;
c0890d26
RS
8868 }
8869 }
8870 }
8871 else
8872 {
8873 if (((word >> 21) & 0x1) == 0)
8874 {
8875 if (((word >> 22) & 0x1) == 0)
8876 {
8877 if (((word >> 23) & 0x1) == 0)
8878 {
8879 /* 33222222222211111111110000000000
8880 10987654321098765432109876543210
7684e580 8881 x10001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8882 prfw. */
b83b4b13 8883 return 1789;
c0890d26
RS
8884 }
8885 else
8886 {
8887 /* 33222222222211111111110000000000
8888 10987654321098765432109876543210
7684e580 8889 x10001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8890 prfd. */
b83b4b13 8891 return 1775;
c0890d26
RS
8892 }
8893 }
8894 else
8895 {
8896 if (((word >> 23) & 0x1) == 0)
8897 {
8898 /* 33222222222211111111110000000000
8899 10987654321098765432109876543210
7684e580 8900 x10001x1010xxxxx111xxxxxxxxxxxxx
c0890d26 8901 ldff1w. */
b83b4b13 8902 return 1695;
c0890d26
RS
8903 }
8904 else
8905 {
8906 /* 33222222222211111111110000000000
8907 10987654321098765432109876543210
7684e580 8908 x10001x1110xxxxx111xxxxxxxxxxxxx
c0890d26 8909 ldff1d. */
b83b4b13 8910 return 1640;
c0890d26
RS
8911 }
8912 }
8913 }
8914 else
8915 {
8916 if (((word >> 22) & 0x1) == 0)
8917 {
8918 if (((word >> 23) & 0x1) == 0)
8919 {
8920 /* 33222222222211111111110000000000
8921 10987654321098765432109876543210
7684e580 8922 x10001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 8923 ldff1w. */
b83b4b13 8924 return 1698;
c0890d26
RS
8925 }
8926 else
8927 {
8928 /* 33222222222211111111110000000000
8929 10987654321098765432109876543210
7684e580 8930 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 8931 ldff1d. */
b83b4b13 8932 return 1642;
c0890d26
RS
8933 }
8934 }
8935 else
8936 {
8937 if (((word >> 23) & 0x1) == 0)
8938 {
8939 /* 33222222222211111111110000000000
8940 10987654321098765432109876543210
7684e580 8941 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 8942 ldff1w. */
b83b4b13 8943 return 1696;
c0890d26
RS
8944 }
8945 else
8946 {
8947 /* 33222222222211111111110000000000
8948 10987654321098765432109876543210
7684e580 8949 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 8950 ldff1d. */
b83b4b13 8951 return 1641;
c0890d26
RS
8952 }
8953 }
8954 }
8955 }
8956 }
8957 }
8958 }
8959 }
8960 else
8961 {
8962 if (((word >> 15) & 0x1) == 0)
8963 {
8964 if (((word >> 14) & 0x1) == 0)
8965 {
8966 if (((word >> 13) & 0x1) == 0)
8967 {
8968 if (((word >> 30) & 0x1) == 0)
8969 {
582e12bf 8970 if (((word >> 21) & 0x1) == 0)
c0890d26 8971 {
582e12bf 8972 if (((word >> 31) & 0x1) == 0)
c0890d26 8973 {
582e12bf
RS
8974 if (((word >> 4) & 0x1) == 0)
8975 {
8976 /* 33222222222211111111110000000000
8977 10987654321098765432109876543210
7684e580 8978 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf 8979 cmpge. */
b83b4b13 8980 return 1321;
582e12bf
RS
8981 }
8982 else
8983 {
8984 /* 33222222222211111111110000000000
8985 10987654321098765432109876543210
7684e580 8986 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf 8987 cmpgt. */
b83b4b13 8988 return 1324;
582e12bf 8989 }
c0890d26
RS
8990 }
8991 else
582e12bf
RS
8992 {
8993 if (((word >> 23) & 0x1) == 0)
8994 {
8995 /* 33222222222211111111110000000000
8996 10987654321098765432109876543210
7684e580 8997 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf 8998 ld1rqw. */
b83b4b13 8999 return 1548;
582e12bf
RS
9000 }
9001 else
9002 {
9003 /* 33222222222211111111110000000000
9004 10987654321098765432109876543210
7684e580 9005 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf 9006 ld1rqd. */
b83b4b13 9007 return 1544;
582e12bf
RS
9008 }
9009 }
9010 }
9011 else
9012 {
9013 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9014 {
9015 if (((word >> 11) & 0x1) == 0)
9016 {
9017 if (((word >> 12) & 0x1) == 0)
9018 {
9019 /* 33222222222211111111110000000000
9020 10987654321098765432109876543210
7684e580 9021 x01001x1xx1xxxxx00000xxxxxx0xxxx
c0890d26 9022 whilelt. */
b83b4b13 9023 return 2025;
c0890d26
RS
9024 }
9025 else
9026 {
9027 /* 33222222222211111111110000000000
9028 10987654321098765432109876543210
7684e580 9029 x01001x1xx1xxxxx00010xxxxxx0xxxx
c0890d26 9030 whilelt. */
b83b4b13 9031 return 2026;
c0890d26
RS
9032 }
9033 }
9034 else
9035 {
9036 if (((word >> 12) & 0x1) == 0)
9037 {
9038 /* 33222222222211111111110000000000
9039 10987654321098765432109876543210
7684e580 9040 x01001x1xx1xxxxx00001xxxxxx0xxxx
c0890d26 9041 whilelo. */
b83b4b13 9042 return 2021;
c0890d26
RS
9043 }
9044 else
9045 {
9046 /* 33222222222211111111110000000000
9047 10987654321098765432109876543210
7684e580 9048 x01001x1xx1xxxxx00011xxxxxx0xxxx
c0890d26 9049 whilelo. */
b83b4b13 9050 return 2022;
c0890d26
RS
9051 }
9052 }
9053 }
c0890d26
RS
9054 else
9055 {
9056 if (((word >> 11) & 0x1) == 0)
9057 {
9058 if (((word >> 12) & 0x1) == 0)
9059 {
9060 /* 33222222222211111111110000000000
9061 10987654321098765432109876543210
7684e580 9062 x01001x1xx1xxxxx00000xxxxxx1xxxx
c0890d26 9063 whilele. */
b83b4b13 9064 return 2019;
c0890d26
RS
9065 }
9066 else
9067 {
9068 /* 33222222222211111111110000000000
9069 10987654321098765432109876543210
7684e580 9070 x01001x1xx1xxxxx00010xxxxxx1xxxx
c0890d26 9071 whilele. */
b83b4b13 9072 return 2020;
c0890d26
RS
9073 }
9074 }
9075 else
9076 {
9077 if (((word >> 12) & 0x1) == 0)
9078 {
9079 /* 33222222222211111111110000000000
9080 10987654321098765432109876543210
7684e580 9081 x01001x1xx1xxxxx00001xxxxxx1xxxx
c0890d26 9082 whilels. */
b83b4b13 9083 return 2023;
c0890d26
RS
9084 }
9085 else
9086 {
9087 /* 33222222222211111111110000000000
9088 10987654321098765432109876543210
7684e580 9089 x01001x1xx1xxxxx00011xxxxxx1xxxx
c0890d26 9090 whilels. */
b83b4b13 9091 return 2024;
c0890d26
RS
9092 }
9093 }
9094 }
9095 }
9096 }
9097 else
9098 {
9099 if (((word >> 31) & 0x1) == 0)
9100 {
9101 if (((word >> 21) & 0x1) == 0)
9102 {
9103 if (((word >> 10) & 0x1) == 0)
9104 {
9105 if (((word >> 11) & 0x1) == 0)
9106 {
9107 /* 33222222222211111111110000000000
9108 10987654321098765432109876543210
7684e580 9109 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 9110 fadd. */
b83b4b13 9111 return 1379;
c0890d26
RS
9112 }
9113 else
9114 {
9115 if (((word >> 12) & 0x1) == 0)
9116 {
9117 /* 33222222222211111111110000000000
9118 10987654321098765432109876543210
7684e580 9119 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 9120 fmul. */
b83b4b13 9121 return 1446;
c0890d26
RS
9122 }
9123 else
9124 {
9125 /* 33222222222211111111110000000000
9126 10987654321098765432109876543210
7684e580 9127 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 9128 frecps. */
b83b4b13 9129 return 1459;
c0890d26
RS
9130 }
9131 }
9132 }
9133 else
9134 {
9135 if (((word >> 11) & 0x1) == 0)
9136 {
9137 /* 33222222222211111111110000000000
9138 10987654321098765432109876543210
7684e580 9139 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 9140 fsub. */
b83b4b13 9141 return 1472;
c0890d26
RS
9142 }
9143 else
9144 {
9145 if (((word >> 12) & 0x1) == 0)
9146 {
9147 /* 33222222222211111111110000000000
9148 10987654321098765432109876543210
7684e580 9149 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 9150 ftsmul. */
b83b4b13 9151 return 1478;
c0890d26
RS
9152 }
9153 else
9154 {
9155 /* 33222222222211111111110000000000
9156 10987654321098765432109876543210
7684e580 9157 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 9158 frsqrts. */
b83b4b13 9159 return 1469;
c0890d26
RS
9160 }
9161 }
9162 }
9163 }
9164 else
9165 {
9166 /* 33222222222211111111110000000000
9167 10987654321098765432109876543210
7684e580 9168 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 9169 fmla. */
b83b4b13 9170 return 1437;
c0890d26
RS
9171 }
9172 }
9173 else
9174 {
9175 /* 33222222222211111111110000000000
9176 10987654321098765432109876543210
7684e580 9177 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 9178 str. */
b83b4b13 9179 return 1940;
c0890d26
RS
9180 }
9181 }
9182 }
9183 else
9184 {
9185 if (((word >> 21) & 0x1) == 0)
9186 {
9187 if (((word >> 30) & 0x1) == 0)
9188 {
582e12bf 9189 if (((word >> 31) & 0x1) == 0)
c0890d26 9190 {
582e12bf
RS
9191 if (((word >> 4) & 0x1) == 0)
9192 {
9193 /* 33222222222211111111110000000000
9194 10987654321098765432109876543210
7684e580 9195 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf 9196 cmplt. */
b83b4b13 9197 return 1338;
582e12bf
RS
9198 }
9199 else
9200 {
9201 /* 33222222222211111111110000000000
9202 10987654321098765432109876543210
7684e580 9203 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf 9204 cmple. */
b83b4b13 9205 return 1332;
582e12bf 9206 }
c0890d26
RS
9207 }
9208 else
9209 {
582e12bf
RS
9210 if (((word >> 23) & 0x1) == 0)
9211 {
9212 /* 33222222222211111111110000000000
9213 10987654321098765432109876543210
7684e580 9214 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf 9215 ld1rqw. */
b83b4b13 9216 return 1547;
582e12bf
RS
9217 }
9218 else
9219 {
9220 /* 33222222222211111111110000000000
9221 10987654321098765432109876543210
7684e580 9222 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf 9223 ld1rqd. */
b83b4b13 9224 return 1543;
582e12bf 9225 }
c0890d26
RS
9226 }
9227 }
9228 else
9229 {
9230 if (((word >> 16) & 0x1) == 0)
9231 {
9232 if (((word >> 17) & 0x1) == 0)
9233 {
9234 if (((word >> 18) & 0x1) == 0)
9235 {
9236 if (((word >> 19) & 0x1) == 0)
9237 {
9238 if (((word >> 20) & 0x1) == 0)
9239 {
9240 /* 33222222222211111111110000000000
9241 10987654321098765432109876543210
7684e580 9242 x11001x1xx000000001xxxxxxxxxxxxx
c0890d26 9243 faddv. */
b83b4b13 9244 return 1383;
c0890d26
RS
9245 }
9246 else
9247 {
9248 if (((word >> 4) & 0x1) == 0)
9249 {
9250 /* 33222222222211111111110000000000
9251 10987654321098765432109876543210
7684e580 9252 x11001x1xx010000001xxxxxxxx0xxxx
c0890d26 9253 fcmge. */
b83b4b13 9254 return 1390;
c0890d26
RS
9255 }
9256 else
9257 {
9258 /* 33222222222211111111110000000000
9259 10987654321098765432109876543210
7684e580 9260 x11001x1xx010000001xxxxxxxx1xxxx
c0890d26 9261 fcmgt. */
b83b4b13 9262 return 1392;
c0890d26
RS
9263 }
9264 }
9265 }
9266 else
9267 {
9268 /* 33222222222211111111110000000000
9269 10987654321098765432109876543210
7684e580 9270 x11001x1xx0x1000001xxxxxxxxxxxxx
c0890d26 9271 fadda. */
b83b4b13 9272 return 1382;
c0890d26
RS
9273 }
9274 }
9275 else
9276 {
9277 /* 33222222222211111111110000000000
9278 10987654321098765432109876543210
7684e580 9279 x11001x1xx0xx100001xxxxxxxxxxxxx
c0890d26 9280 fmaxnmv. */
b83b4b13 9281 return 1429;
c0890d26
RS
9282 }
9283 }
9284 else
9285 {
9286 if (((word >> 18) & 0x1) == 0)
9287 {
9288 /* 33222222222211111111110000000000
9289 10987654321098765432109876543210
7684e580 9290 x11001x1xx0xx010001xxxxxxxxxxxxx
c0890d26 9291 fcmeq. */
b83b4b13 9292 return 1388;
c0890d26
RS
9293 }
9294 else
9295 {
9296 if (((word >> 19) & 0x1) == 0)
9297 {
9298 /* 33222222222211111111110000000000
9299 10987654321098765432109876543210
7684e580 9300 x11001x1xx0x0110001xxxxxxxxxxxxx
c0890d26 9301 fmaxv. */
b83b4b13 9302 return 1430;
c0890d26
RS
9303 }
9304 else
9305 {
9306 /* 33222222222211111111110000000000
9307 10987654321098765432109876543210
7684e580 9308 x11001x1xx0x1110001xxxxxxxxxxxxx
c0890d26 9309 frecpe. */
b83b4b13 9310 return 1458;
c0890d26
RS
9311 }
9312 }
9313 }
9314 }
9315 else
9316 {
9317 if (((word >> 17) & 0x1) == 0)
9318 {
9319 if (((word >> 18) & 0x1) == 0)
9320 {
9321 if (((word >> 4) & 0x1) == 0)
9322 {
9323 /* 33222222222211111111110000000000
9324 10987654321098765432109876543210
7684e580 9325 x11001x1xx0xx001001xxxxxxxx0xxxx
c0890d26 9326 fcmlt. */
b83b4b13 9327 return 1395;
c0890d26
RS
9328 }
9329 else
9330 {
9331 /* 33222222222211111111110000000000
9332 10987654321098765432109876543210
7684e580 9333 x11001x1xx0xx001001xxxxxxxx1xxxx
c0890d26 9334 fcmle. */
b83b4b13 9335 return 1394;
c0890d26
RS
9336 }
9337 }
9338 else
9339 {
9340 /* 33222222222211111111110000000000
9341 10987654321098765432109876543210
7684e580 9342 x11001x1xx0xx101001xxxxxxxxxxxxx
c0890d26 9343 fminnmv. */
b83b4b13 9344 return 1435;
c0890d26
RS
9345 }
9346 }
9347 else
9348 {
9349 if (((word >> 18) & 0x1) == 0)
9350 {
9351 /* 33222222222211111111110000000000
9352 10987654321098765432109876543210
7684e580 9353 x11001x1xx0xx011001xxxxxxxxxxxxx
c0890d26 9354 fcmne. */
b83b4b13 9355 return 1396;
c0890d26
RS
9356 }
9357 else
9358 {
9359 if (((word >> 19) & 0x1) == 0)
9360 {
9361 /* 33222222222211111111110000000000
9362 10987654321098765432109876543210
7684e580 9363 x11001x1xx0x0111001xxxxxxxxxxxxx
c0890d26 9364 fminv. */
b83b4b13 9365 return 1436;
c0890d26
RS
9366 }
9367 else
9368 {
9369 /* 33222222222211111111110000000000
9370 10987654321098765432109876543210
7684e580 9371 x11001x1xx0x1111001xxxxxxxxxxxxx
c0890d26 9372 frsqrte. */
b83b4b13 9373 return 1468;
c0890d26
RS
9374 }
9375 }
9376 }
9377 }
9378 }
9379 }
9380 else
9381 {
9382 if (((word >> 30) & 0x1) == 0)
9383 {
9384 if (((word >> 4) & 0x1) == 0)
9385 {
9386 /* 33222222222211111111110000000000
9387 10987654321098765432109876543210
7684e580 9388 x01001x1xx1xxxxx001xxxxxxxx0xxxx
c0890d26 9389 ctermeq. */
b83b4b13 9390 return 1353;
c0890d26
RS
9391 }
9392 else
9393 {
9394 /* 33222222222211111111110000000000
9395 10987654321098765432109876543210
7684e580 9396 x01001x1xx1xxxxx001xxxxxxxx1xxxx
c0890d26 9397 ctermne. */
b83b4b13 9398 return 1354;
c0890d26
RS
9399 }
9400 }
9401 else
9402 {
9403 /* 33222222222211111111110000000000
9404 10987654321098765432109876543210
7684e580 9405 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 9406 fmls. */
b83b4b13 9407 return 1441;
c0890d26
RS
9408 }
9409 }
9410 }
9411 }
9412 else
9413 {
582e12bf 9414 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
9415 {
9416 if (((word >> 21) & 0x1) == 0)
9417 {
9418 if (((word >> 22) & 0x1) == 0)
9419 {
582e12bf 9420 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9421 {
9422 if (((word >> 31) & 0x1) == 0)
9423 {
9424 if (((word >> 9) & 0x1) == 0)
9425 {
9426 if (((word >> 20) & 0x1) == 0)
9427 {
9428 if (((word >> 4) & 0x1) == 0)
9429 {
9430 /* 33222222222211111111110000000000
9431 10987654321098765432109876543210
7684e580 9432 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 9433 and. */
b83b4b13 9434 return 1284;
c0890d26
RS
9435 }
9436 else
9437 {
9438 /* 33222222222211111111110000000000
9439 10987654321098765432109876543210
7684e580 9440 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 9441 bic. */
b83b4b13 9442 return 1296;
c0890d26
RS
9443 }
9444 }
9445 else
9446 {
9447 if (((word >> 19) & 0x1) == 0)
9448 {
9449 /* 33222222222211111111110000000000
9450 10987654321098765432109876543210
7684e580 9451 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 9452 brka. */
b83b4b13 9453 return 1298;
c0890d26
RS
9454 }
9455 else
9456 {
9457 /* 33222222222211111111110000000000
9458 10987654321098765432109876543210
7684e580 9459 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 9460 brkn. */
b83b4b13 9461 return 1302;
c0890d26
RS
9462 }
9463 }
9464 }
9465 else
9466 {
9467 if (((word >> 4) & 0x1) == 0)
9468 {
9469 /* 33222222222211111111110000000000
9470 10987654321098765432109876543210
7684e580 9471 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf 9472 eor. */
b83b4b13 9473 return 1371;
582e12bf
RS
9474 }
9475 else
9476 {
9477 /* 33222222222211111111110000000000
9478 10987654321098765432109876543210
7684e580 9479 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf 9480 sel. */
b83b4b13 9481 return 1820;
582e12bf
RS
9482 }
9483 }
9484 }
9485 else
9486 {
9487 if (((word >> 13) & 0x1) == 0)
9488 {
9489 /* 33222222222211111111110000000000
9490 10987654321098765432109876543210
7684e580 9491 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf 9492 ld1sh. */
b83b4b13 9493 return 1570;
582e12bf
RS
9494 }
9495 else
9496 {
9497 /* 33222222222211111111110000000000
9498 10987654321098765432109876543210
7684e580 9499 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9500 ldff1sh. */
b83b4b13 9501 return 1670;
582e12bf
RS
9502 }
9503 }
9504 }
9505 else
9506 {
9507 if (((word >> 31) & 0x1) == 0)
9508 {
9509 if (((word >> 9) & 0x1) == 0)
9510 {
9511 if (((word >> 20) & 0x1) == 0)
9512 {
9513 if (((word >> 4) & 0x1) == 0)
9514 {
9515 /* 33222222222211111111110000000000
9516 10987654321098765432109876543210
7684e580 9517 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf 9518 orr. */
b83b4b13 9519 return 1756;
582e12bf
RS
9520 }
9521 else
9522 {
9523 /* 33222222222211111111110000000000
9524 10987654321098765432109876543210
7684e580 9525 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf 9526 orn. */
b83b4b13 9527 return 1751;
582e12bf
RS
9528 }
9529 }
9530 else
9531 {
9532 /* 33222222222211111111110000000000
9533 10987654321098765432109876543210
7684e580 9534 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf 9535 brkb. */
b83b4b13 9536 return 1300;
582e12bf
RS
9537 }
9538 }
9539 else
9540 {
9541 if (((word >> 4) & 0x1) == 0)
9542 {
9543 /* 33222222222211111111110000000000
9544 10987654321098765432109876543210
7684e580 9545 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf 9546 nor. */
b83b4b13 9547 return 1748;
c0890d26
RS
9548 }
9549 else
9550 {
9551 /* 33222222222211111111110000000000
9552 10987654321098765432109876543210
7684e580 9553 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf 9554 nand. */
b83b4b13 9555 return 1745;
c0890d26
RS
9556 }
9557 }
9558 }
9559 else
9560 {
9561 if (((word >> 13) & 0x1) == 0)
9562 {
9563 /* 33222222222211111111110000000000
9564 10987654321098765432109876543210
7684e580 9565 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf 9566 ld1sb. */
b83b4b13 9567 return 1558;
c0890d26
RS
9568 }
9569 else
9570 {
9571 /* 33222222222211111111110000000000
9572 10987654321098765432109876543210
7684e580 9573 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9574 ldff1sb. */
b83b4b13 9575 return 1658;
c0890d26
RS
9576 }
9577 }
9578 }
c0890d26
RS
9579 }
9580 else
9581 {
582e12bf 9582 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9583 {
9584 if (((word >> 31) & 0x1) == 0)
9585 {
9586 if (((word >> 4) & 0x1) == 0)
9587 {
9588 if (((word >> 9) & 0x1) == 0)
9589 {
9590 if (((word >> 20) & 0x1) == 0)
9591 {
9592 /* 33222222222211111111110000000000
9593 10987654321098765432109876543210
7684e580 9594 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 9595 ands. */
b83b4b13 9596 return 1285;
c0890d26
RS
9597 }
9598 else
9599 {
9600 if (((word >> 19) & 0x1) == 0)
9601 {
9602 /* 33222222222211111111110000000000
9603 10987654321098765432109876543210
7684e580 9604 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 9605 brkas. */
b83b4b13 9606 return 1299;
c0890d26
RS
9607 }
9608 else
9609 {
9610 /* 33222222222211111111110000000000
9611 10987654321098765432109876543210
7684e580 9612 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 9613 brkns. */
b83b4b13 9614 return 1303;
c0890d26
RS
9615 }
9616 }
9617 }
9618 else
9619 {
9620 /* 33222222222211111111110000000000
9621 10987654321098765432109876543210
7684e580 9622 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 9623 eors. */
b83b4b13 9624 return 1372;
c0890d26
RS
9625 }
9626 }
9627 else
9628 {
9629 /* 33222222222211111111110000000000
9630 10987654321098765432109876543210
7684e580 9631 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 9632 bics. */
b83b4b13 9633 return 1297;
c0890d26
RS
9634 }
9635 }
9636 else
9637 {
9638 if (((word >> 13) & 0x1) == 0)
9639 {
9640 /* 33222222222211111111110000000000
9641 10987654321098765432109876543210
7684e580 9642 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 9643 ld1w. */
b83b4b13 9644 return 1589;
c0890d26
RS
9645 }
9646 else
9647 {
9648 /* 33222222222211111111110000000000
9649 10987654321098765432109876543210
7684e580 9650 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 9651 ldff1w. */
b83b4b13 9652 return 1689;
c0890d26
RS
9653 }
9654 }
9655 }
9656 else
9657 {
9658 if (((word >> 31) & 0x1) == 0)
9659 {
9660 if (((word >> 4) & 0x1) == 0)
9661 {
9662 if (((word >> 9) & 0x1) == 0)
9663 {
9664 if (((word >> 20) & 0x1) == 0)
9665 {
9666 /* 33222222222211111111110000000000
9667 10987654321098765432109876543210
7684e580 9668 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 9669 orrs. */
b83b4b13 9670 return 1757;
c0890d26
RS
9671 }
9672 else
9673 {
9674 /* 33222222222211111111110000000000
9675 10987654321098765432109876543210
7684e580 9676 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 9677 brkbs. */
b83b4b13 9678 return 1301;
c0890d26
RS
9679 }
9680 }
9681 else
9682 {
9683 /* 33222222222211111111110000000000
9684 10987654321098765432109876543210
7684e580 9685 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 9686 nors. */
b83b4b13 9687 return 1749;
c0890d26
RS
9688 }
9689 }
9690 else
9691 {
9692 if (((word >> 9) & 0x1) == 0)
9693 {
9694 /* 33222222222211111111110000000000
9695 10987654321098765432109876543210
7684e580 9696 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 9697 orns. */
b83b4b13 9698 return 1752;
c0890d26
RS
9699 }
9700 else
9701 {
9702 /* 33222222222211111111110000000000
9703 10987654321098765432109876543210
7684e580 9704 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 9705 nands. */
b83b4b13 9706 return 1746;
c0890d26
RS
9707 }
9708 }
9709 }
9710 else
9711 {
9712 if (((word >> 13) & 0x1) == 0)
9713 {
9714 /* 33222222222211111111110000000000
9715 10987654321098765432109876543210
7684e580 9716 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 9717 ld1sb. */
b83b4b13 9718 return 1560;
c0890d26
RS
9719 }
9720 else
9721 {
9722 /* 33222222222211111111110000000000
9723 10987654321098765432109876543210
7684e580 9724 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9725 ldff1sb. */
b83b4b13 9726 return 1662;
c0890d26
RS
9727 }
9728 }
9729 }
9730 }
582e12bf
RS
9731 }
9732 else
9733 {
9734 if (((word >> 13) & 0x1) == 0)
c0890d26 9735 {
582e12bf 9736 if (((word >> 22) & 0x1) == 0)
c0890d26 9737 {
582e12bf
RS
9738 if (((word >> 23) & 0x1) == 0)
9739 {
9740 /* 33222222222211111111110000000000
9741 10987654321098765432109876543210
7684e580 9742 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf 9743 ld1sh. */
b83b4b13 9744 return 1571;
582e12bf
RS
9745 }
9746 else
c0890d26
RS
9747 {
9748 /* 33222222222211111111110000000000
9749 10987654321098765432109876543210
7684e580 9750 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 9751 ld1sb. */
b83b4b13 9752 return 1559;
582e12bf
RS
9753 }
9754 }
9755 else
9756 {
9757 if (((word >> 23) & 0x1) == 0)
9758 {
9759 /* 33222222222211111111110000000000
9760 10987654321098765432109876543210
7684e580 9761 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9762 ld1w. */
b83b4b13 9763 return 1590;
582e12bf
RS
9764 }
9765 else
9766 {
9767 /* 33222222222211111111110000000000
9768 10987654321098765432109876543210
7684e580 9769 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9770 ld1d. */
b83b4b13 9771 return 1512;
582e12bf
RS
9772 }
9773 }
9774 }
9775 else
9776 {
9777 if (((word >> 22) & 0x1) == 0)
9778 {
9779 if (((word >> 23) & 0x1) == 0)
9780 {
9781 /* 33222222222211111111110000000000
9782 10987654321098765432109876543210
7684e580 9783 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9784 ldff1sh. */
b83b4b13 9785 return 1672;
582e12bf
RS
9786 }
9787 else
9788 {
9789 /* 33222222222211111111110000000000
9790 10987654321098765432109876543210
7684e580 9791 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9792 ldff1sb. */
b83b4b13 9793 return 1660;
582e12bf
RS
9794 }
9795 }
9796 else
9797 {
9798 if (((word >> 23) & 0x1) == 0)
9799 {
9800 /* 33222222222211111111110000000000
9801 10987654321098765432109876543210
7684e580 9802 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9803 ldff1w. */
b83b4b13 9804 return 1691;
c0890d26
RS
9805 }
9806 else
9807 {
9808 /* 33222222222211111111110000000000
9809 10987654321098765432109876543210
7684e580 9810 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf 9811 ldff1d. */
b83b4b13 9812 return 1636;
c0890d26
RS
9813 }
9814 }
582e12bf
RS
9815 }
9816 }
9817 }
9818 else
9819 {
9820 if (((word >> 13) & 0x1) == 0)
9821 {
9822 if (((word >> 31) & 0x1) == 0)
9823 {
9824 if (((word >> 21) & 0x1) == 0)
c0890d26 9825 {
582e12bf 9826 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9827 {
9828 /* 33222222222211111111110000000000
9829 10987654321098765432109876543210
7684e580 9830 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf 9831 fcmge. */
b83b4b13 9832 return 1391;
c0890d26
RS
9833 }
9834 else
9835 {
9836 /* 33222222222211111111110000000000
9837 10987654321098765432109876543210
7684e580 9838 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf 9839 fcmgt. */
b83b4b13 9840 return 1393;
c0890d26
RS
9841 }
9842 }
582e12bf
RS
9843 else
9844 {
9845 /* 33222222222211111111110000000000
9846 10987654321098765432109876543210
7684e580 9847 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf 9848 fnmla. */
b83b4b13 9849 return 1455;
582e12bf 9850 }
c0890d26 9851 }
582e12bf 9852 else
c0890d26 9853 {
582e12bf
RS
9854 if (((word >> 22) & 0x1) == 0)
9855 {
9856 /* 33222222222211111111110000000000
9857 10987654321098765432109876543210
7684e580 9858 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf 9859 str. */
b83b4b13 9860 return 1941;
582e12bf
RS
9861 }
9862 else
c0890d26
RS
9863 {
9864 if (((word >> 21) & 0x1) == 0)
9865 {
582e12bf
RS
9866 /* 33222222222211111111110000000000
9867 10987654321098765432109876543210
7684e580 9868 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf 9869 st1w. */
b83b4b13 9870 return 1900;
582e12bf
RS
9871 }
9872 else
9873 {
9874 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9875 {
9876 /* 33222222222211111111110000000000
9877 10987654321098765432109876543210
7684e580 9878 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9879 st1w. */
b83b4b13 9880 return 1902;
c0890d26
RS
9881 }
9882 else
9883 {
9884 /* 33222222222211111111110000000000
9885 10987654321098765432109876543210
7684e580 9886 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9887 st1d. */
b83b4b13 9888 return 1879;
c0890d26
RS
9889 }
9890 }
c0890d26 9891 }
582e12bf
RS
9892 }
9893 }
9894 else
9895 {
9896 if (((word >> 21) & 0x1) == 0)
9897 {
9898 if (((word >> 31) & 0x1) == 0)
c0890d26 9899 {
582e12bf 9900 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9901 {
9902 /* 33222222222211111111110000000000
9903 10987654321098765432109876543210
7684e580 9904 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf 9905 fcmeq. */
b83b4b13 9906 return 1389;
c0890d26
RS
9907 }
9908 else
9909 {
9910 /* 33222222222211111111110000000000
9911 10987654321098765432109876543210
7684e580 9912 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf 9913 fcmne. */
b83b4b13 9914 return 1397;
c0890d26
RS
9915 }
9916 }
582e12bf 9917 else
c0890d26 9918 {
582e12bf 9919 if (((word >> 22) & 0x1) == 0)
c0890d26 9920 {
582e12bf 9921 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9922 {
9923 /* 33222222222211111111110000000000
9924 10987654321098765432109876543210
7684e580 9925 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9926 stnt1w. */
b83b4b13 9927 return 1938;
c0890d26
RS
9928 }
9929 else
9930 {
9931 /* 33222222222211111111110000000000
9932 10987654321098765432109876543210
7684e580 9933 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9934 stnt1d. */
b83b4b13 9935 return 1934;
c0890d26
RS
9936 }
9937 }
9938 else
9939 {
582e12bf 9940 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9941 {
9942 /* 33222222222211111111110000000000
9943 10987654321098765432109876543210
7684e580 9944 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf 9945 st3w. */
b83b4b13 9946 return 1922;
c0890d26
RS
9947 }
9948 else
9949 {
9950 /* 33222222222211111111110000000000
9951 10987654321098765432109876543210
7684e580 9952 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9953 st3d. */
b83b4b13 9954 return 1918;
c0890d26
RS
9955 }
9956 }
9957 }
582e12bf
RS
9958 }
9959 else
9960 {
9961 if (((word >> 31) & 0x1) == 0)
9962 {
9963 /* 33222222222211111111110000000000
9964 10987654321098765432109876543210
7684e580 9965 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf 9966 fnmls. */
b83b4b13 9967 return 1456;
582e12bf 9968 }
c0890d26
RS
9969 else
9970 {
582e12bf 9971 if (((word >> 22) & 0x1) == 0)
c0890d26 9972 {
582e12bf
RS
9973 if (((word >> 23) & 0x1) == 0)
9974 {
9975 /* 33222222222211111111110000000000
9976 10987654321098765432109876543210
7684e580 9977 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9978 st2w. */
b83b4b13 9979 return 1914;
582e12bf
RS
9980 }
9981 else
9982 {
9983 /* 33222222222211111111110000000000
9984 10987654321098765432109876543210
7684e580 9985 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9986 st2d. */
b83b4b13 9987 return 1910;
582e12bf 9988 }
c0890d26
RS
9989 }
9990 else
9991 {
582e12bf 9992 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9993 {
9994 /* 33222222222211111111110000000000
9995 10987654321098765432109876543210
7684e580 9996 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9997 st4w. */
b83b4b13 9998 return 1930;
c0890d26
RS
9999 }
10000 else
10001 {
10002 /* 33222222222211111111110000000000
10003 10987654321098765432109876543210
7684e580 10004 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 10005 st4d. */
b83b4b13 10006 return 1926;
c0890d26
RS
10007 }
10008 }
10009 }
10010 }
10011 }
10012 }
10013 }
10014 }
10015 else
10016 {
10017 if (((word >> 21) & 0x1) == 0)
10018 {
10019 if (((word >> 30) & 0x1) == 0)
10020 {
10021 if (((word >> 14) & 0x1) == 0)
10022 {
10023 if (((word >> 13) & 0x1) == 0)
10024 {
10025 if (((word >> 4) & 0x1) == 0)
10026 {
10027 /* 33222222222211111111110000000000
10028 10987654321098765432109876543210
7684e580 10029 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 10030 cmpeq. */
b83b4b13 10031 return 1318;
c0890d26
RS
10032 }
10033 else
10034 {
10035 /* 33222222222211111111110000000000
10036 10987654321098765432109876543210
7684e580 10037 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 10038 cmpne. */
b83b4b13 10039 return 1341;
c0890d26
RS
10040 }
10041 }
10042 else
10043 {
10044 if (((word >> 20) & 0x1) == 0)
10045 {
10046 if (((word >> 22) & 0x1) == 0)
10047 {
10048 if (((word >> 23) & 0x1) == 0)
10049 {
10050 /* 33222222222211111111110000000000
10051 10987654321098765432109876543210
7684e580 10052 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 10053 ld1sh. */
b83b4b13 10054 return 1577;
c0890d26
RS
10055 }
10056 else
10057 {
10058 /* 33222222222211111111110000000000
10059 10987654321098765432109876543210
7684e580 10060 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 10061 ld1sb. */
b83b4b13 10062 return 1564;
c0890d26
RS
10063 }
10064 }
10065 else
10066 {
10067 if (((word >> 23) & 0x1) == 0)
10068 {
10069 /* 33222222222211111111110000000000
10070 10987654321098765432109876543210
7684e580 10071 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 10072 ld1w. */
b83b4b13 10073 return 1596;
c0890d26
RS
10074 }
10075 else
10076 {
10077 /* 33222222222211111111110000000000
10078 10987654321098765432109876543210
7684e580 10079 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 10080 ld1sb. */
b83b4b13 10081 return 1566;
c0890d26
RS
10082 }
10083 }
10084 }
10085 else
10086 {
10087 if (((word >> 22) & 0x1) == 0)
10088 {
10089 if (((word >> 23) & 0x1) == 0)
10090 {
10091 /* 33222222222211111111110000000000
10092 10987654321098765432109876543210
7684e580 10093 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 10094 ldnf1sh. */
b83b4b13 10095 return 1710;
c0890d26
RS
10096 }
10097 else
10098 {
10099 /* 33222222222211111111110000000000
10100 10987654321098765432109876543210
7684e580 10101 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 10102 ldnf1sb. */
b83b4b13 10103 return 1707;
c0890d26
RS
10104 }
10105 }
10106 else
10107 {
10108 if (((word >> 23) & 0x1) == 0)
10109 {
10110 /* 33222222222211111111110000000000
10111 10987654321098765432109876543210
7684e580 10112 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 10113 ldnf1w. */
b83b4b13 10114 return 1713;
c0890d26
RS
10115 }
10116 else
10117 {
10118 /* 33222222222211111111110000000000
10119 10987654321098765432109876543210
7684e580 10120 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 10121 ldnf1sb. */
b83b4b13 10122 return 1709;
c0890d26
RS
10123 }
10124 }
10125 }
10126 }
10127 }
10128 else
10129 {
10130 if (((word >> 31) & 0x1) == 0)
10131 {
10132 if (((word >> 4) & 0x1) == 0)
10133 {
10134 if (((word >> 20) & 0x1) == 0)
10135 {
10136 if (((word >> 22) & 0x1) == 0)
10137 {
10138 /* 33222222222211111111110000000000
10139 10987654321098765432109876543210
7684e580 10140 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 10141 brkpa. */
b83b4b13 10142 return 1304;
c0890d26
RS
10143 }
10144 else
10145 {
10146 /* 33222222222211111111110000000000
10147 10987654321098765432109876543210
7684e580 10148 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 10149 brkpas. */
b83b4b13 10150 return 1305;
c0890d26
RS
10151 }
10152 }
10153 else
10154 {
10155 if (((word >> 16) & 0x1) == 0)
10156 {
10157 if (((word >> 19) & 0x1) == 0)
10158 {
10159 /* 33222222222211111111110000000000
10160 10987654321098765432109876543210
7684e580 10161 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 10162 ptest. */
b83b4b13 10163 return 1790;
c0890d26
RS
10164 }
10165 else
10166 {
10167 if (((word >> 10) & 0x1) == 0)
10168 {
10169 if (((word >> 12) & 0x1) == 0)
10170 {
10171 if (((word >> 13) & 0x1) == 0)
10172 {
10173 /* 33222222222211111111110000000000
10174 10987654321098765432109876543210
7684e580 10175 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 10176 pfirst. */
b83b4b13 10177 return 1760;
c0890d26
RS
10178 }
10179 else
10180 {
10181 /* 33222222222211111111110000000000
10182 10987654321098765432109876543210
7684e580 10183 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 10184 ptrue. */
b83b4b13 10185 return 1791;
c0890d26
RS
10186 }
10187 }
10188 else
10189 {
10190 if (((word >> 22) & 0x1) == 0)
10191 {
10192 /* 33222222222211111111110000000000
10193 10987654321098765432109876543210
7684e580 10194 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 10195 rdffr. */
b83b4b13 10196 return 1797;
c0890d26
RS
10197 }
10198 else
10199 {
10200 /* 33222222222211111111110000000000
10201 10987654321098765432109876543210
7684e580 10202 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 10203 rdffrs. */
b83b4b13 10204 return 1798;
c0890d26
RS
10205 }
10206 }
10207 }
10208 else
10209 {
10210 /* 33222222222211111111110000000000
10211 10987654321098765432109876543210
7684e580 10212 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 10213 pfalse. */
b83b4b13 10214 return 1759;
c0890d26
RS
10215 }
10216 }
10217 }
10218 else
10219 {
10220 if (((word >> 10) & 0x1) == 0)
10221 {
10222 if (((word >> 12) & 0x1) == 0)
10223 {
10224 /* 33222222222211111111110000000000
10225 10987654321098765432109876543210
7684e580 10226 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 10227 ptrues. */
b83b4b13 10228 return 1792;
c0890d26
RS
10229 }
10230 else
10231 {
10232 /* 33222222222211111111110000000000
10233 10987654321098765432109876543210
7684e580 10234 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 10235 rdffr. */
b83b4b13 10236 return 1796;
c0890d26
RS
10237 }
10238 }
10239 else
10240 {
10241 /* 33222222222211111111110000000000
10242 10987654321098765432109876543210
7684e580 10243 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 10244 pnext. */
b83b4b13 10245 return 1761;
c0890d26
RS
10246 }
10247 }
10248 }
10249 }
10250 else
10251 {
10252 if (((word >> 22) & 0x1) == 0)
10253 {
10254 /* 33222222222211111111110000000000
10255 10987654321098765432109876543210
7684e580 10256 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 10257 brkpb. */
b83b4b13 10258 return 1306;
c0890d26
RS
10259 }
10260 else
10261 {
10262 /* 33222222222211111111110000000000
10263 10987654321098765432109876543210
7684e580 10264 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 10265 brkpbs. */
b83b4b13 10266 return 1307;
c0890d26
RS
10267 }
10268 }
10269 }
10270 else
10271 {
10272 if (((word >> 13) & 0x1) == 0)
10273 {
10274 if (((word >> 22) & 0x1) == 0)
10275 {
10276 if (((word >> 23) & 0x1) == 0)
10277 {
10278 /* 33222222222211111111110000000000
10279 10987654321098765432109876543210
7684e580 10280 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 10281 ldnt1w. */
b83b4b13 10282 return 1721;
c0890d26
RS
10283 }
10284 else
10285 {
10286 /* 33222222222211111111110000000000
10287 10987654321098765432109876543210
7684e580 10288 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 10289 ldnt1d. */
b83b4b13 10290 return 1717;
c0890d26
RS
10291 }
10292 }
10293 else
10294 {
10295 if (((word >> 23) & 0x1) == 0)
10296 {
10297 /* 33222222222211111111110000000000
10298 10987654321098765432109876543210
7684e580 10299 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 10300 ld3w. */
b83b4b13 10301 return 1613;
c0890d26
RS
10302 }
10303 else
10304 {
10305 /* 33222222222211111111110000000000
10306 10987654321098765432109876543210
7684e580 10307 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 10308 ld3d. */
b83b4b13 10309 return 1609;
c0890d26
RS
10310 }
10311 }
10312 }
10313 else
10314 {
10315 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
10316 {
10317 if (((word >> 23) & 0x1) == 0)
10318 {
10319 /* 33222222222211111111110000000000
10320 10987654321098765432109876543210
7684e580 10321 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf 10322 ldnt1w. */
b83b4b13 10323 return 1722;
582e12bf
RS
10324 }
10325 else
10326 {
10327 /* 33222222222211111111110000000000
10328 10987654321098765432109876543210
7684e580 10329 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf 10330 ldnt1d. */
b83b4b13 10331 return 1718;
582e12bf
RS
10332 }
10333 }
10334 else
10335 {
10336 if (((word >> 23) & 0x1) == 0)
10337 {
10338 /* 33222222222211111111110000000000
10339 10987654321098765432109876543210
7684e580 10340 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf 10341 ld3w. */
b83b4b13 10342 return 1614;
c0890d26
RS
10343 }
10344 else
582e12bf
RS
10345 {
10346 /* 33222222222211111111110000000000
10347 10987654321098765432109876543210
7684e580 10348 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf 10349 ld3d. */
b83b4b13 10350 return 1610;
582e12bf
RS
10351 }
10352 }
10353 }
10354 }
10355 }
10356 }
10357 else
10358 {
10359 if (((word >> 13) & 0x1) == 0)
10360 {
10361 if (((word >> 31) & 0x1) == 0)
10362 {
10363 if (((word >> 14) & 0x1) == 0)
10364 {
10365 if (((word >> 19) & 0x1) == 0)
10366 {
10367 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10368 {
10369 if (((word >> 16) & 0x1) == 0)
10370 {
10371 if (((word >> 17) & 0x1) == 0)
10372 {
10373 if (((word >> 18) & 0x1) == 0)
10374 {
582e12bf
RS
10375 /* 33222222222211111111110000000000
10376 10987654321098765432109876543210
7684e580 10377 011001x1xx000000100xxxxxxxxxxxxx
582e12bf 10378 fadd. */
b83b4b13 10379 return 1380;
c0890d26
RS
10380 }
10381 else
10382 {
582e12bf
RS
10383 /* 33222222222211111111110000000000
10384 10987654321098765432109876543210
7684e580 10385 011001x1xx000100100xxxxxxxxxxxxx
582e12bf 10386 fmaxnm. */
b83b4b13 10387 return 1427;
c0890d26
RS
10388 }
10389 }
10390 else
10391 {
10392 if (((word >> 18) & 0x1) == 0)
10393 {
582e12bf
RS
10394 /* 33222222222211111111110000000000
10395 10987654321098765432109876543210
7684e580 10396 011001x1xx000010100xxxxxxxxxxxxx
582e12bf 10397 fmul. */
b83b4b13 10398 return 1447;
c0890d26
RS
10399 }
10400 else
10401 {
10402 /* 33222222222211111111110000000000
10403 10987654321098765432109876543210
7684e580 10404 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 10405 fmax. */
b83b4b13 10406 return 1425;
c0890d26
RS
10407 }
10408 }
10409 }
10410 else
10411 {
10412 if (((word >> 17) & 0x1) == 0)
10413 {
10414 if (((word >> 18) & 0x1) == 0)
10415 {
582e12bf
RS
10416 /* 33222222222211111111110000000000
10417 10987654321098765432109876543210
7684e580 10418 011001x1xx000001100xxxxxxxxxxxxx
582e12bf 10419 fsub. */
b83b4b13 10420 return 1473;
c0890d26
RS
10421 }
10422 else
10423 {
582e12bf
RS
10424 /* 33222222222211111111110000000000
10425 10987654321098765432109876543210
7684e580 10426 011001x1xx000101100xxxxxxxxxxxxx
582e12bf 10427 fminnm. */
b83b4b13 10428 return 1433;
c0890d26
RS
10429 }
10430 }
10431 else
10432 {
10433 if (((word >> 18) & 0x1) == 0)
10434 {
10435 /* 33222222222211111111110000000000
10436 10987654321098765432109876543210
7684e580 10437 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 10438 fsubr. */
b83b4b13 10439 return 1475;
c0890d26
RS
10440 }
10441 else
10442 {
10443 /* 33222222222211111111110000000000
10444 10987654321098765432109876543210
7684e580 10445 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 10446 fmin. */
b83b4b13 10447 return 1431;
c0890d26
RS
10448 }
10449 }
10450 }
10451 }
582e12bf
RS
10452 else
10453 {
10454 /* 33222222222211111111110000000000
10455 10987654321098765432109876543210
7684e580 10456 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf 10457 ftmad. */
b83b4b13 10458 return 1477;
582e12bf 10459 }
c0890d26
RS
10460 }
10461 else
10462 {
582e12bf 10463 if (((word >> 16) & 0x1) == 0)
c0890d26 10464 {
582e12bf
RS
10465 if (((word >> 17) & 0x1) == 0)
10466 {
10467 if (((word >> 18) & 0x1) == 0)
10468 {
10469 if (((word >> 20) & 0x1) == 0)
10470 {
10471 /* 33222222222211111111110000000000
10472 10987654321098765432109876543210
7684e580 10473 011001x1xx001000100xxxxxxxxxxxxx
582e12bf 10474 fabd. */
b83b4b13 10475 return 1375;
582e12bf
RS
10476 }
10477 else
10478 {
10479 /* 33222222222211111111110000000000
10480 10987654321098765432109876543210
7684e580 10481 011001x1xx011000100xxxxxxxxxxxxx
582e12bf 10482 fadd. */
b83b4b13 10483 return 1381;
582e12bf
RS
10484 }
10485 }
10486 else
10487 {
10488 if (((word >> 20) & 0x1) == 0)
10489 {
10490 /* 33222222222211111111110000000000
10491 10987654321098765432109876543210
7684e580 10492 011001x1xx001100100xxxxxxxxxxxxx
582e12bf 10493 fdivr. */
b83b4b13 10494 return 1421;
582e12bf
RS
10495 }
10496 else
10497 {
10498 /* 33222222222211111111110000000000
10499 10987654321098765432109876543210
7684e580 10500 011001x1xx011100100xxxxxxxxxxxxx
582e12bf 10501 fmaxnm. */
b83b4b13 10502 return 1428;
582e12bf
RS
10503 }
10504 }
10505 }
10506 else
10507 {
10508 if (((word >> 18) & 0x1) == 0)
10509 {
10510 if (((word >> 20) & 0x1) == 0)
10511 {
10512 /* 33222222222211111111110000000000
10513 10987654321098765432109876543210
7684e580 10514 011001x1xx001010100xxxxxxxxxxxxx
582e12bf 10515 fmulx. */
b83b4b13 10516 return 1452;
582e12bf
RS
10517 }
10518 else
10519 {
10520 /* 33222222222211111111110000000000
10521 10987654321098765432109876543210
7684e580 10522 011001x1xx011010100xxxxxxxxxxxxx
582e12bf 10523 fmul. */
b83b4b13 10524 return 1448;
582e12bf
RS
10525 }
10526 }
10527 else
10528 {
10529 /* 33222222222211111111110000000000
10530 10987654321098765432109876543210
7684e580 10531 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf 10532 fmax. */
b83b4b13 10533 return 1426;
582e12bf
RS
10534 }
10535 }
c0890d26
RS
10536 }
10537 else
10538 {
582e12bf
RS
10539 if (((word >> 17) & 0x1) == 0)
10540 {
10541 if (((word >> 18) & 0x1) == 0)
10542 {
10543 if (((word >> 20) & 0x1) == 0)
10544 {
10545 /* 33222222222211111111110000000000
10546 10987654321098765432109876543210
7684e580 10547 011001x1xx001001100xxxxxxxxxxxxx
582e12bf 10548 fscale. */
b83b4b13 10549 return 1470;
582e12bf
RS
10550 }
10551 else
10552 {
10553 /* 33222222222211111111110000000000
10554 10987654321098765432109876543210
7684e580 10555 011001x1xx011001100xxxxxxxxxxxxx
582e12bf 10556 fsub. */
b83b4b13 10557 return 1474;
582e12bf
RS
10558 }
10559 }
10560 else
10561 {
10562 if (((word >> 20) & 0x1) == 0)
10563 {
10564 /* 33222222222211111111110000000000
10565 10987654321098765432109876543210
7684e580 10566 011001x1xx001101100xxxxxxxxxxxxx
582e12bf 10567 fdiv. */
b83b4b13 10568 return 1420;
582e12bf
RS
10569 }
10570 else
10571 {
10572 /* 33222222222211111111110000000000
10573 10987654321098765432109876543210
7684e580 10574 011001x1xx011101100xxxxxxxxxxxxx
582e12bf 10575 fminnm. */
b83b4b13 10576 return 1434;
582e12bf
RS
10577 }
10578 }
10579 }
10580 else
10581 {
10582 if (((word >> 18) & 0x1) == 0)
10583 {
10584 /* 33222222222211111111110000000000
10585 10987654321098765432109876543210
7684e580 10586 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf 10587 fsubr. */
b83b4b13 10588 return 1476;
582e12bf
RS
10589 }
10590 else
10591 {
10592 /* 33222222222211111111110000000000
10593 10987654321098765432109876543210
7684e580 10594 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf 10595 fmin. */
b83b4b13 10596 return 1432;
582e12bf
RS
10597 }
10598 }
c0890d26
RS
10599 }
10600 }
10601 }
10602 else
10603 {
582e12bf
RS
10604 if (((word >> 4) & 0x1) == 0)
10605 {
10606 /* 33222222222211111111110000000000
10607 10987654321098765432109876543210
7684e580 10608 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf 10609 fcmuo. */
b83b4b13 10610 return 1398;
582e12bf
RS
10611 }
10612 else
10613 {
10614 /* 33222222222211111111110000000000
10615 10987654321098765432109876543210
7684e580 10616 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf 10617 facge. */
b83b4b13 10618 return 1377;
582e12bf 10619 }
c0890d26
RS
10620 }
10621 }
582e12bf 10622 else
c0890d26 10623 {
582e12bf 10624 if (((word >> 22) & 0x1) == 0)
c0890d26 10625 {
582e12bf 10626 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10627 {
10628 /* 33222222222211111111110000000000
10629 10987654321098765432109876543210
7684e580 10630 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 10631 st1w. */
b83b4b13 10632 return 1896;
c0890d26
RS
10633 }
10634 else
10635 {
10636 /* 33222222222211111111110000000000
10637 10987654321098765432109876543210
7684e580 10638 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf 10639 st1d. */
b83b4b13 10640 return 1875;
c0890d26
RS
10641 }
10642 }
10643 else
10644 {
582e12bf
RS
10645 /* 33222222222211111111110000000000
10646 10987654321098765432109876543210
7684e580 10647 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf 10648 st1w. */
b83b4b13 10649 return 1901;
582e12bf
RS
10650 }
10651 }
10652 }
10653 else
10654 {
10655 if (((word >> 14) & 0x1) == 0)
10656 {
10657 if (((word >> 31) & 0x1) == 0)
10658 {
10659 if (((word >> 16) & 0x1) == 0)
c0890d26 10660 {
582e12bf 10661 if (((word >> 17) & 0x1) == 0)
c0890d26 10662 {
582e12bf 10663 if (((word >> 18) & 0x1) == 0)
c0890d26 10664 {
582e12bf 10665 if (((word >> 19) & 0x1) == 0)
c0890d26 10666 {
582e12bf 10667 if (((word >> 20) & 0x1) == 0)
c0890d26 10668 {
582e12bf
RS
10669 /* 33222222222211111111110000000000
10670 10987654321098765432109876543210
7684e580 10671 011001x1xx000000101xxxxxxxxxxxxx
582e12bf 10672 frintn. */
b83b4b13 10673 return 1464;
582e12bf
RS
10674 }
10675 else
10676 {
10677 /* 33222222222211111111110000000000
10678 10987654321098765432109876543210
7684e580 10679 011001x1xx010000101xxxxxxxxxxxxx
582e12bf 10680 scvtf. */
b83b4b13 10681 return 1810;
582e12bf
RS
10682 }
10683 }
10684 else
10685 {
10686 if (((word >> 20) & 0x1) == 0)
10687 {
10688 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10689 {
10690 /* 33222222222211111111110000000000
10691 10987654321098765432109876543210
7684e580 10692 011001x1x0001000101xxxxxxxxxxxxx
582e12bf 10693 fcvt. */
b83b4b13 10694 return 1400;
c0890d26
RS
10695 }
10696 else
10697 {
10698 /* 33222222222211111111110000000000
10699 10987654321098765432109876543210
7684e580 10700 011001x1x1001000101xxxxxxxxxxxxx
582e12bf 10701 fcvt. */
b83b4b13 10702 return 1402;
c0890d26
RS
10703 }
10704 }
10705 else
10706 {
582e12bf
RS
10707 /* 33222222222211111111110000000000
10708 10987654321098765432109876543210
7684e580 10709 011001x1xx011000101xxxxxxxxxxxxx
582e12bf 10710 fcvtzs. */
b83b4b13 10711 return 1410;
c0890d26
RS
10712 }
10713 }
582e12bf
RS
10714 }
10715 else
10716 {
10717 if (((word >> 19) & 0x1) == 0)
c0890d26 10718 {
582e12bf 10719 if (((word >> 20) & 0x1) == 0)
c0890d26 10720 {
582e12bf
RS
10721 /* 33222222222211111111110000000000
10722 10987654321098765432109876543210
7684e580 10723 011001x1xx000100101xxxxxxxxxxxxx
582e12bf 10724 frinta. */
b83b4b13 10725 return 1461;
582e12bf
RS
10726 }
10727 else
10728 {
10729 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10730 {
10731 /* 33222222222211111111110000000000
10732 10987654321098765432109876543210
7684e580 10733 011001x1x0010100101xxxxxxxxxxxxx
582e12bf 10734 scvtf. */
b83b4b13 10735 return 1809;
c0890d26
RS
10736 }
10737 else
10738 {
582e12bf 10739 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10740 {
10741 /* 33222222222211111111110000000000
10742 10987654321098765432109876543210
7684e580 10743 011001x101010100101xxxxxxxxxxxxx
c0890d26 10744 scvtf. */
b83b4b13 10745 return 1808;
c0890d26
RS
10746 }
10747 else
10748 {
10749 /* 33222222222211111111110000000000
10750 10987654321098765432109876543210
7684e580 10751 011001x111010100101xxxxxxxxxxxxx
c0890d26 10752 scvtf. */
b83b4b13 10753 return 1812;
c0890d26
RS
10754 }
10755 }
10756 }
582e12bf
RS
10757 }
10758 else
10759 {
10760 if (((word >> 20) & 0x1) == 0)
10761 {
10762 /* 33222222222211111111110000000000
10763 10987654321098765432109876543210
7684e580 10764 011001x1xx001100101xxxxxxxxxxxxx
582e12bf 10765 frecpx. */
b83b4b13 10766 return 1460;
582e12bf 10767 }
c0890d26
RS
10768 else
10769 {
582e12bf 10770 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10771 {
10772 /* 33222222222211111111110000000000
10773 10987654321098765432109876543210
7684e580 10774 011001x1x0011100101xxxxxxxxxxxxx
582e12bf 10775 fcvtzs. */
b83b4b13 10776 return 1409;
c0890d26
RS
10777 }
10778 else
10779 {
582e12bf 10780 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10781 {
10782 /* 33222222222211111111110000000000
10783 10987654321098765432109876543210
7684e580 10784 011001x101011100101xxxxxxxxxxxxx
c0890d26 10785 fcvtzs. */
b83b4b13 10786 return 1407;
c0890d26
RS
10787 }
10788 else
10789 {
10790 /* 33222222222211111111110000000000
10791 10987654321098765432109876543210
7684e580 10792 011001x111011100101xxxxxxxxxxxxx
c0890d26 10793 fcvtzs. */
b83b4b13 10794 return 1411;
c0890d26
RS
10795 }
10796 }
10797 }
10798 }
10799 }
582e12bf
RS
10800 }
10801 else
10802 {
10803 if (((word >> 18) & 0x1) == 0)
c0890d26 10804 {
582e12bf 10805 if (((word >> 19) & 0x1) == 0)
c0890d26 10806 {
582e12bf 10807 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10808 {
10809 /* 33222222222211111111110000000000
10810 10987654321098765432109876543210
7684e580 10811 011001x1xx000010101xxxxxxxxxxxxx
c0890d26 10812 frintm. */
b83b4b13 10813 return 1463;
c0890d26
RS
10814 }
10815 else
10816 {
10817 /* 33222222222211111111110000000000
10818 10987654321098765432109876543210
7684e580 10819 011001x1xx010010101xxxxxxxxxxxxx
582e12bf 10820 scvtf. */
b83b4b13 10821 return 1807;
c0890d26
RS
10822 }
10823 }
10824 else
10825 {
582e12bf 10826 if (((word >> 20) & 0x1) == 0)
c0890d26 10827 {
582e12bf
RS
10828 /* 33222222222211111111110000000000
10829 10987654321098765432109876543210
7684e580 10830 011001x1xx001010101xxxxxxxxxxxxx
582e12bf 10831 fcvt. */
b83b4b13 10832 return 1404;
582e12bf
RS
10833 }
10834 else
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
7684e580 10838 011001x1xx011010101xxxxxxxxxxxxx
582e12bf 10839 fcvtzs. */
b83b4b13 10840 return 1406;
582e12bf
RS
10841 }
10842 }
10843 }
10844 else
10845 {
10846 if (((word >> 19) & 0x1) == 0)
10847 {
10848 if (((word >> 20) & 0x1) == 0)
10849 {
10850 /* 33222222222211111111110000000000
10851 10987654321098765432109876543210
7684e580 10852 011001x1xx000110101xxxxxxxxxxxxx
582e12bf 10853 frintx. */
b83b4b13 10854 return 1466;
582e12bf
RS
10855 }
10856 else
10857 {
10858 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10859 {
10860 /* 33222222222211111111110000000000
10861 10987654321098765432109876543210
7684e580 10862 011001x10x010110101xxxxxxxxxxxxx
582e12bf 10863 scvtf. */
b83b4b13 10864 return 1811;
c0890d26
RS
10865 }
10866 else
10867 {
10868 /* 33222222222211111111110000000000
10869 10987654321098765432109876543210
7684e580 10870 011001x11x010110101xxxxxxxxxxxxx
c0890d26 10871 scvtf. */
b83b4b13 10872 return 1813;
c0890d26
RS
10873 }
10874 }
582e12bf
RS
10875 }
10876 else
10877 {
10878 if (((word >> 23) & 0x1) == 0)
10879 {
10880 /* 33222222222211111111110000000000
10881 10987654321098765432109876543210
7684e580 10882 011001x10x0x1110101xxxxxxxxxxxxx
582e12bf 10883 fcvtzs. */
b83b4b13 10884 return 1408;
582e12bf 10885 }
c0890d26
RS
10886 else
10887 {
10888 /* 33222222222211111111110000000000
10889 10987654321098765432109876543210
7684e580 10890 011001x11x0x1110101xxxxxxxxxxxxx
c0890d26 10891 fcvtzs. */
b83b4b13 10892 return 1412;
c0890d26
RS
10893 }
10894 }
10895 }
10896 }
582e12bf
RS
10897 }
10898 else
10899 {
10900 if (((word >> 17) & 0x1) == 0)
c0890d26 10901 {
582e12bf 10902 if (((word >> 18) & 0x1) == 0)
c0890d26 10903 {
582e12bf 10904 if (((word >> 19) & 0x1) == 0)
c0890d26 10905 {
582e12bf 10906 if (((word >> 20) & 0x1) == 0)
c0890d26 10907 {
582e12bf
RS
10908 /* 33222222222211111111110000000000
10909 10987654321098765432109876543210
7684e580 10910 011001x1xx000001101xxxxxxxxxxxxx
582e12bf 10911 frintp. */
b83b4b13 10912 return 1465;
582e12bf
RS
10913 }
10914 else
10915 {
10916 /* 33222222222211111111110000000000
10917 10987654321098765432109876543210
7684e580 10918 011001x1xx010001101xxxxxxxxxxxxx
582e12bf 10919 ucvtf. */
b83b4b13 10920 return 1962;
582e12bf
RS
10921 }
10922 }
10923 else
10924 {
10925 if (((word >> 20) & 0x1) == 0)
10926 {
10927 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10928 {
10929 /* 33222222222211111111110000000000
10930 10987654321098765432109876543210
7684e580 10931 011001x1x0001001101xxxxxxxxxxxxx
582e12bf 10932 fcvt. */
b83b4b13 10933 return 1401;
c0890d26
RS
10934 }
10935 else
10936 {
10937 /* 33222222222211111111110000000000
10938 10987654321098765432109876543210
7684e580 10939 011001x1x1001001101xxxxxxxxxxxxx
582e12bf 10940 fcvt. */
b83b4b13 10941 return 1403;
c0890d26
RS
10942 }
10943 }
10944 else
10945 {
582e12bf
RS
10946 /* 33222222222211111111110000000000
10947 10987654321098765432109876543210
7684e580 10948 011001x1xx011001101xxxxxxxxxxxxx
582e12bf 10949 fcvtzu. */
b83b4b13 10950 return 1417;
c0890d26
RS
10951 }
10952 }
582e12bf
RS
10953 }
10954 else
10955 {
10956 if (((word >> 19) & 0x1) == 0)
c0890d26 10957 {
582e12bf 10958 if (((word >> 22) & 0x1) == 0)
c0890d26 10959 {
582e12bf
RS
10960 /* 33222222222211111111110000000000
10961 10987654321098765432109876543210
7684e580 10962 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf 10963 ucvtf. */
b83b4b13 10964 return 1961;
582e12bf
RS
10965 }
10966 else
10967 {
10968 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10969 {
10970 /* 33222222222211111111110000000000
10971 10987654321098765432109876543210
7684e580 10972 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 10973 ucvtf. */
b83b4b13 10974 return 1960;
c0890d26
RS
10975 }
10976 else
10977 {
10978 /* 33222222222211111111110000000000
10979 10987654321098765432109876543210
7684e580 10980 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 10981 ucvtf. */
b83b4b13 10982 return 1964;
c0890d26
RS
10983 }
10984 }
582e12bf
RS
10985 }
10986 else
10987 {
10988 if (((word >> 20) & 0x1) == 0)
10989 {
10990 /* 33222222222211111111110000000000
10991 10987654321098765432109876543210
7684e580 10992 011001x1xx001101101xxxxxxxxxxxxx
582e12bf 10993 fsqrt. */
b83b4b13 10994 return 1471;
582e12bf 10995 }
c0890d26
RS
10996 else
10997 {
582e12bf 10998 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10999 {
11000 /* 33222222222211111111110000000000
11001 10987654321098765432109876543210
7684e580 11002 011001x1x0011101101xxxxxxxxxxxxx
582e12bf 11003 fcvtzu. */
b83b4b13 11004 return 1416;
c0890d26
RS
11005 }
11006 else
11007 {
582e12bf 11008 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11009 {
11010 /* 33222222222211111111110000000000
11011 10987654321098765432109876543210
7684e580 11012 011001x101011101101xxxxxxxxxxxxx
c0890d26 11013 fcvtzu. */
b83b4b13 11014 return 1414;
c0890d26
RS
11015 }
11016 else
11017 {
11018 /* 33222222222211111111110000000000
11019 10987654321098765432109876543210
7684e580 11020 011001x111011101101xxxxxxxxxxxxx
c0890d26 11021 fcvtzu. */
b83b4b13 11022 return 1418;
c0890d26
RS
11023 }
11024 }
11025 }
11026 }
11027 }
582e12bf
RS
11028 }
11029 else
11030 {
11031 if (((word >> 18) & 0x1) == 0)
c0890d26 11032 {
582e12bf 11033 if (((word >> 19) & 0x1) == 0)
c0890d26 11034 {
582e12bf 11035 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
11036 {
11037 /* 33222222222211111111110000000000
11038 10987654321098765432109876543210
7684e580 11039 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 11040 frintz. */
b83b4b13 11041 return 1467;
c0890d26
RS
11042 }
11043 else
11044 {
11045 /* 33222222222211111111110000000000
11046 10987654321098765432109876543210
7684e580 11047 011001x1xx010011101xxxxxxxxxxxxx
582e12bf 11048 ucvtf. */
b83b4b13 11049 return 1959;
c0890d26
RS
11050 }
11051 }
11052 else
11053 {
582e12bf 11054 if (((word >> 20) & 0x1) == 0)
c0890d26 11055 {
582e12bf
RS
11056 /* 33222222222211111111110000000000
11057 10987654321098765432109876543210
7684e580 11058 011001x1xx001011101xxxxxxxxxxxxx
582e12bf 11059 fcvt. */
b83b4b13 11060 return 1405;
582e12bf
RS
11061 }
11062 else
11063 {
11064 /* 33222222222211111111110000000000
11065 10987654321098765432109876543210
7684e580 11066 011001x1xx011011101xxxxxxxxxxxxx
582e12bf 11067 fcvtzu. */
b83b4b13 11068 return 1413;
582e12bf
RS
11069 }
11070 }
11071 }
11072 else
11073 {
11074 if (((word >> 19) & 0x1) == 0)
11075 {
11076 if (((word >> 20) & 0x1) == 0)
11077 {
11078 /* 33222222222211111111110000000000
11079 10987654321098765432109876543210
7684e580 11080 011001x1xx000111101xxxxxxxxxxxxx
582e12bf 11081 frinti. */
b83b4b13 11082 return 1462;
582e12bf
RS
11083 }
11084 else
11085 {
11086 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11087 {
11088 /* 33222222222211111111110000000000
11089 10987654321098765432109876543210
7684e580 11090 011001x10x010111101xxxxxxxxxxxxx
582e12bf 11091 ucvtf. */
b83b4b13 11092 return 1963;
c0890d26
RS
11093 }
11094 else
11095 {
11096 /* 33222222222211111111110000000000
11097 10987654321098765432109876543210
7684e580 11098 011001x11x010111101xxxxxxxxxxxxx
c0890d26 11099 ucvtf. */
b83b4b13 11100 return 1965;
c0890d26
RS
11101 }
11102 }
582e12bf
RS
11103 }
11104 else
11105 {
11106 if (((word >> 23) & 0x1) == 0)
11107 {
11108 /* 33222222222211111111110000000000
11109 10987654321098765432109876543210
7684e580 11110 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf 11111 fcvtzu. */
b83b4b13 11112 return 1415;
582e12bf 11113 }
c0890d26
RS
11114 else
11115 {
11116 /* 33222222222211111111110000000000
11117 10987654321098765432109876543210
7684e580 11118 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 11119 fcvtzu. */
b83b4b13 11120 return 1419;
c0890d26
RS
11121 }
11122 }
11123 }
11124 }
11125 }
582e12bf
RS
11126 }
11127 else
11128 {
11129 if (((word >> 22) & 0x1) == 0)
c0890d26 11130 {
582e12bf 11131 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11132 {
11133 /* 33222222222211111111110000000000
11134 10987654321098765432109876543210
7684e580 11135 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf 11136 st1w. */
b83b4b13 11137 return 1897;
c0890d26
RS
11138 }
11139 else
11140 {
11141 /* 33222222222211111111110000000000
11142 10987654321098765432109876543210
7684e580 11143 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 11144 st1d. */
b83b4b13 11145 return 1876;
c0890d26
RS
11146 }
11147 }
c0890d26
RS
11148 else
11149 {
582e12bf 11150 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11151 {
11152 /* 33222222222211111111110000000000
11153 10987654321098765432109876543210
7684e580 11154 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf 11155 st1w. */
b83b4b13 11156 return 1904;
c0890d26
RS
11157 }
11158 else
11159 {
11160 /* 33222222222211111111110000000000
11161 10987654321098765432109876543210
7684e580 11162 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf 11163 st1d. */
b83b4b13 11164 return 1880;
c0890d26
RS
11165 }
11166 }
11167 }
582e12bf
RS
11168 }
11169 else
11170 {
11171 if (((word >> 31) & 0x1) == 0)
11172 {
11173 /* 33222222222211111111110000000000
11174 10987654321098765432109876543210
7684e580 11175 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf 11176 facgt. */
b83b4b13 11177 return 1378;
582e12bf 11178 }
c0890d26
RS
11179 else
11180 {
582e12bf 11181 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
11182 {
11183 /* 33222222222211111111110000000000
11184 10987654321098765432109876543210
7684e580 11185 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf 11186 st1w. */
b83b4b13 11187 return 1905;
c0890d26
RS
11188 }
11189 else
11190 {
11191 if (((word >> 22) & 0x1) == 0)
11192 {
582e12bf
RS
11193 if (((word >> 23) & 0x1) == 0)
11194 {
11195 /* 33222222222211111111110000000000
11196 10987654321098765432109876543210
7684e580 11197 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf 11198 stnt1w. */
b83b4b13 11199 return 1939;
582e12bf
RS
11200 }
11201 else
11202 {
11203 /* 33222222222211111111110000000000
11204 10987654321098765432109876543210
7684e580 11205 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf 11206 stnt1d. */
b83b4b13 11207 return 1935;
582e12bf 11208 }
c0890d26
RS
11209 }
11210 else
11211 {
582e12bf
RS
11212 if (((word >> 23) & 0x1) == 0)
11213 {
11214 /* 33222222222211111111110000000000
11215 10987654321098765432109876543210
7684e580 11216 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf 11217 st3w. */
b83b4b13 11218 return 1923;
582e12bf
RS
11219 }
11220 else
11221 {
11222 /* 33222222222211111111110000000000
11223 10987654321098765432109876543210
7684e580 11224 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf 11225 st3d. */
b83b4b13 11226 return 1919;
582e12bf 11227 }
c0890d26
RS
11228 }
11229 }
11230 }
11231 }
11232 }
11233 }
11234 }
11235 else
11236 {
11237 if (((word >> 30) & 0x1) == 0)
11238 {
11239 if (((word >> 14) & 0x1) == 0)
11240 {
11241 if (((word >> 20) & 0x1) == 0)
11242 {
11243 if (((word >> 31) & 0x1) == 0)
11244 {
11245 if (((word >> 16) & 0x1) == 0)
11246 {
11247 if (((word >> 17) & 0x1) == 0)
11248 {
11249 if (((word >> 18) & 0x1) == 0)
11250 {
11251 if (((word >> 19) & 0x1) == 0)
11252 {
11253 /* 33222222222211111111110000000000
11254 10987654321098765432109876543210
7684e580 11255 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 11256 cntp. */
b83b4b13 11257 return 1347;
c0890d26
RS
11258 }
11259 else
11260 {
11261 if (((word >> 10) & 0x1) == 0)
11262 {
11263 if (((word >> 11) & 0x1) == 0)
11264 {
11265 if (((word >> 12) & 0x1) == 0)
11266 {
11267 /* 33222222222211111111110000000000
11268 10987654321098765432109876543210
7684e580 11269 001001x1xx10100010x000xxxxxxxxxx
c0890d26 11270 sqincp. */
b83b4b13 11271 return 1854;
c0890d26
RS
11272 }
11273 else
11274 {
11275 /* 33222222222211111111110000000000
11276 10987654321098765432109876543210
7684e580 11277 001001x1xx10100010x100xxxxxxxxxx
c0890d26 11278 wrffr. */
b83b4b13 11279 return 2027;
c0890d26
RS
11280 }
11281 }
11282 else
11283 {
11284 /* 33222222222211111111110000000000
11285 10987654321098765432109876543210
7684e580 11286 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 11287 sqincp. */
b83b4b13 11288 return 1856;
c0890d26
RS
11289 }
11290 }
11291 else
11292 {
11293 /* 33222222222211111111110000000000
11294 10987654321098765432109876543210
7684e580 11295 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 11296 sqincp. */
b83b4b13 11297 return 1855;
c0890d26
RS
11298 }
11299 }
11300 }
11301 else
11302 {
11303 if (((word >> 11) & 0x1) == 0)
11304 {
11305 if (((word >> 12) & 0x1) == 0)
11306 {
11307 /* 33222222222211111111110000000000
11308 10987654321098765432109876543210
7684e580 11309 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 11310 incp. */
b83b4b13 11311 return 1485;
c0890d26
RS
11312 }
11313 else
11314 {
11315 /* 33222222222211111111110000000000
11316 10987654321098765432109876543210
7684e580 11317 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 11318 setffr. */
b83b4b13 11319 return 1821;
c0890d26
RS
11320 }
11321 }
11322 else
11323 {
11324 /* 33222222222211111111110000000000
11325 10987654321098765432109876543210
7684e580 11326 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 11327 incp. */
b83b4b13 11328 return 1486;
c0890d26
RS
11329 }
11330 }
11331 }
11332 else
11333 {
11334 if (((word >> 10) & 0x1) == 0)
11335 {
11336 if (((word >> 11) & 0x1) == 0)
11337 {
11338 /* 33222222222211111111110000000000
11339 10987654321098765432109876543210
7684e580 11340 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 11341 sqdecp. */
b83b4b13 11342 return 1840;
c0890d26
RS
11343 }
11344 else
11345 {
11346 /* 33222222222211111111110000000000
11347 10987654321098765432109876543210
7684e580 11348 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 11349 sqdecp. */
b83b4b13 11350 return 1842;
c0890d26
RS
11351 }
11352 }
11353 else
11354 {
11355 /* 33222222222211111111110000000000
11356 10987654321098765432109876543210
7684e580 11357 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 11358 sqdecp. */
b83b4b13 11359 return 1841;
c0890d26
RS
11360 }
11361 }
11362 }
11363 else
11364 {
11365 if (((word >> 10) & 0x1) == 0)
11366 {
11367 if (((word >> 11) & 0x1) == 0)
11368 {
11369 if (((word >> 17) & 0x1) == 0)
11370 {
11371 if (((word >> 18) & 0x1) == 0)
11372 {
11373 /* 33222222222211111111110000000000
11374 10987654321098765432109876543210
7684e580 11375 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 11376 uqincp. */
b83b4b13 11377 return 2002;
c0890d26
RS
11378 }
11379 else
11380 {
11381 /* 33222222222211111111110000000000
11382 10987654321098765432109876543210
7684e580 11383 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 11384 decp. */
b83b4b13 11385 return 1360;
c0890d26
RS
11386 }
11387 }
11388 else
11389 {
11390 /* 33222222222211111111110000000000
11391 10987654321098765432109876543210
7684e580 11392 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 11393 uqdecp. */
b83b4b13 11394 return 1988;
c0890d26
RS
11395 }
11396 }
11397 else
11398 {
11399 if (((word >> 17) & 0x1) == 0)
11400 {
11401 if (((word >> 18) & 0x1) == 0)
11402 {
11403 /* 33222222222211111111110000000000
11404 10987654321098765432109876543210
7684e580 11405 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 11406 uqincp. */
b83b4b13 11407 return 2003;
c0890d26
RS
11408 }
11409 else
11410 {
11411 /* 33222222222211111111110000000000
11412 10987654321098765432109876543210
7684e580 11413 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 11414 decp. */
b83b4b13 11415 return 1361;
c0890d26
RS
11416 }
11417 }
11418 else
11419 {
11420 /* 33222222222211111111110000000000
11421 10987654321098765432109876543210
7684e580 11422 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 11423 uqdecp. */
b83b4b13 11424 return 1989;
c0890d26
RS
11425 }
11426 }
11427 }
11428 else
11429 {
11430 if (((word >> 17) & 0x1) == 0)
11431 {
11432 /* 33222222222211111111110000000000
11433 10987654321098765432109876543210
7684e580 11434 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 11435 uqincp. */
b83b4b13 11436 return 2004;
c0890d26
RS
11437 }
11438 else
11439 {
11440 /* 33222222222211111111110000000000
11441 10987654321098765432109876543210
7684e580 11442 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 11443 uqdecp. */
b83b4b13 11444 return 1990;
c0890d26
RS
11445 }
11446 }
11447 }
11448 }
11449 else
11450 {
11451 if (((word >> 22) & 0x1) == 0)
11452 {
11453 if (((word >> 23) & 0x1) == 0)
11454 {
11455 /* 33222222222211111111110000000000
11456 10987654321098765432109876543210
7684e580 11457 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 11458 ld1sh. */
b83b4b13 11459 return 1578;
c0890d26
RS
11460 }
11461 else
11462 {
11463 /* 33222222222211111111110000000000
11464 10987654321098765432109876543210
7684e580 11465 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 11466 ld1sb. */
b83b4b13 11467 return 1565;
c0890d26
RS
11468 }
11469 }
11470 else
11471 {
11472 if (((word >> 23) & 0x1) == 0)
11473 {
11474 /* 33222222222211111111110000000000
11475 10987654321098765432109876543210
7684e580 11476 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 11477 ld1w. */
b83b4b13 11478 return 1597;
c0890d26
RS
11479 }
11480 else
11481 {
11482 /* 33222222222211111111110000000000
11483 10987654321098765432109876543210
7684e580 11484 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 11485 ld1d. */
b83b4b13 11486 return 1517;
c0890d26
RS
11487 }
11488 }
11489 }
11490 }
11491 else
11492 {
11493 if (((word >> 22) & 0x1) == 0)
11494 {
11495 if (((word >> 23) & 0x1) == 0)
11496 {
11497 /* 33222222222211111111110000000000
11498 10987654321098765432109876543210
7684e580 11499 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 11500 ldnf1sh. */
b83b4b13 11501 return 1711;
c0890d26
RS
11502 }
11503 else
11504 {
11505 /* 33222222222211111111110000000000
11506 10987654321098765432109876543210
7684e580 11507 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 11508 ldnf1sb. */
b83b4b13 11509 return 1708;
c0890d26
RS
11510 }
11511 }
11512 else
11513 {
11514 if (((word >> 23) & 0x1) == 0)
11515 {
11516 /* 33222222222211111111110000000000
11517 10987654321098765432109876543210
7684e580 11518 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 11519 ldnf1w. */
b83b4b13 11520 return 1714;
c0890d26
RS
11521 }
11522 else
11523 {
11524 /* 33222222222211111111110000000000
11525 10987654321098765432109876543210
7684e580 11526 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 11527 ldnf1d. */
b83b4b13 11528 return 1703;
c0890d26
RS
11529 }
11530 }
11531 }
11532 }
11533 else
11534 {
11535 if (((word >> 31) & 0x1) == 0)
11536 {
11537 if (((word >> 16) & 0x1) == 0)
11538 {
11539 if (((word >> 17) & 0x1) == 0)
11540 {
11541 if (((word >> 18) & 0x1) == 0)
11542 {
11543 if (((word >> 19) & 0x1) == 0)
11544 {
11545 if (((word >> 20) & 0x1) == 0)
11546 {
11547 /* 33222222222211111111110000000000
11548 10987654321098765432109876543210
7684e580 11549 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 11550 add. */
b83b4b13 11551 return 1274;
c0890d26
RS
11552 }
11553 else
11554 {
11555 /* 33222222222211111111110000000000
11556 10987654321098765432109876543210
7684e580 11557 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 11558 mul. */
b83b4b13 11559 return 1743;
c0890d26
RS
11560 }
11561 }
11562 else
11563 {
11564 if (((word >> 20) & 0x1) == 0)
11565 {
11566 /* 33222222222211111111110000000000
11567 10987654321098765432109876543210
7684e580 11568 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 11569 smax. */
b83b4b13 11570 return 1822;
c0890d26
RS
11571 }
11572 else
11573 {
11574 /* 33222222222211111111110000000000
11575 10987654321098765432109876543210
7684e580 11576 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 11577 dup. */
b83b4b13 11578 return 1366;
c0890d26
RS
11579 }
11580 }
11581 }
11582 else
11583 {
11584 /* 33222222222211111111110000000000
11585 10987654321098765432109876543210
7684e580 11586 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 11587 sqadd. */
b83b4b13 11588 return 1831;
c0890d26
RS
11589 }
11590 }
11591 else
11592 {
11593 if (((word >> 18) & 0x1) == 0)
11594 {
11595 /* 33222222222211111111110000000000
11596 10987654321098765432109876543210
7684e580 11597 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 11598 smin. */
b83b4b13 11599 return 1825;
c0890d26
RS
11600 }
11601 else
11602 {
11603 /* 33222222222211111111110000000000
11604 10987654321098765432109876543210
7684e580 11605 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 11606 sqsub. */
b83b4b13 11607 return 1861;
c0890d26
RS
11608 }
11609 }
11610 }
11611 else
11612 {
11613 if (((word >> 17) & 0x1) == 0)
11614 {
11615 if (((word >> 18) & 0x1) == 0)
11616 {
11617 if (((word >> 19) & 0x1) == 0)
11618 {
11619 /* 33222222222211111111110000000000
11620 10987654321098765432109876543210
7684e580 11621 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 11622 sub. */
b83b4b13 11623 return 1943;
c0890d26
RS
11624 }
11625 else
11626 {
11627 if (((word >> 20) & 0x1) == 0)
11628 {
11629 /* 33222222222211111111110000000000
11630 10987654321098765432109876543210
7684e580 11631 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 11632 umax. */
b83b4b13 11633 return 1971;
c0890d26
RS
11634 }
11635 else
11636 {
11637 /* 33222222222211111111110000000000
11638 10987654321098765432109876543210
7684e580 11639 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 11640 fdup. */
b83b4b13 11641 return 1422;
c0890d26
RS
11642 }
11643 }
11644 }
11645 else
11646 {
11647 /* 33222222222211111111110000000000
11648 10987654321098765432109876543210
7684e580 11649 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 11650 uqadd. */
b83b4b13 11651 return 1979;
c0890d26
RS
11652 }
11653 }
11654 else
11655 {
11656 if (((word >> 18) & 0x1) == 0)
11657 {
11658 if (((word >> 19) & 0x1) == 0)
11659 {
11660 /* 33222222222211111111110000000000
11661 10987654321098765432109876543210
7684e580 11662 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 11663 subr. */
b83b4b13 11664 return 1945;
c0890d26
RS
11665 }
11666 else
11667 {
11668 /* 33222222222211111111110000000000
11669 10987654321098765432109876543210
7684e580 11670 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 11671 umin. */
b83b4b13 11672 return 1974;
c0890d26
RS
11673 }
11674 }
11675 else
11676 {
11677 /* 33222222222211111111110000000000
11678 10987654321098765432109876543210
7684e580 11679 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 11680 uqsub. */
b83b4b13 11681 return 2009;
c0890d26
RS
11682 }
11683 }
11684 }
11685 }
11686 else
11687 {
11688 if (((word >> 13) & 0x1) == 0)
11689 {
11690 if (((word >> 22) & 0x1) == 0)
11691 {
11692 if (((word >> 23) & 0x1) == 0)
11693 {
11694 /* 33222222222211111111110000000000
11695 10987654321098765432109876543210
7684e580 11696 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11697 ld2w. */
b83b4b13 11698 return 1605;
c0890d26
RS
11699 }
11700 else
11701 {
11702 /* 33222222222211111111110000000000
11703 10987654321098765432109876543210
7684e580 11704 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 11705 ld2d. */
b83b4b13 11706 return 1601;
c0890d26
RS
11707 }
11708 }
11709 else
11710 {
11711 if (((word >> 23) & 0x1) == 0)
11712 {
11713 /* 33222222222211111111110000000000
11714 10987654321098765432109876543210
7684e580 11715 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 11716 ld4w. */
b83b4b13 11717 return 1621;
c0890d26
RS
11718 }
11719 else
11720 {
11721 /* 33222222222211111111110000000000
11722 10987654321098765432109876543210
7684e580 11723 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 11724 ld4d. */
b83b4b13 11725 return 1617;
c0890d26
RS
11726 }
11727 }
11728 }
11729 else
11730 {
11731 if (((word >> 22) & 0x1) == 0)
11732 {
11733 if (((word >> 23) & 0x1) == 0)
11734 {
11735 /* 33222222222211111111110000000000
11736 10987654321098765432109876543210
7684e580 11737 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 11738 ld2w. */
b83b4b13 11739 return 1606;
c0890d26
RS
11740 }
11741 else
11742 {
11743 /* 33222222222211111111110000000000
11744 10987654321098765432109876543210
7684e580 11745 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 11746 ld2d. */
b83b4b13 11747 return 1602;
c0890d26
RS
11748 }
11749 }
11750 else
11751 {
11752 if (((word >> 23) & 0x1) == 0)
11753 {
11754 /* 33222222222211111111110000000000
11755 10987654321098765432109876543210
7684e580 11756 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 11757 ld4w. */
b83b4b13 11758 return 1622;
c0890d26
RS
11759 }
11760 else
11761 {
11762 /* 33222222222211111111110000000000
11763 10987654321098765432109876543210
7684e580 11764 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 11765 ld4d. */
b83b4b13 11766 return 1618;
c0890d26
RS
11767 }
11768 }
11769 }
11770 }
11771 }
11772 }
11773 else
11774 {
11775 if (((word >> 13) & 0x1) == 0)
11776 {
582e12bf 11777 if (((word >> 31) & 0x1) == 0)
c0890d26 11778 {
582e12bf 11779 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
11780 {
11781 /* 33222222222211111111110000000000
11782 10987654321098765432109876543210
7684e580 11783 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf 11784 fmad. */
b83b4b13 11785 return 1424;
c0890d26
RS
11786 }
11787 else
11788 {
11789 /* 33222222222211111111110000000000
11790 10987654321098765432109876543210
7684e580 11791 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf 11792 fnmad. */
b83b4b13 11793 return 1454;
c0890d26
RS
11794 }
11795 }
11796 else
11797 {
582e12bf 11798 if (((word >> 22) & 0x1) == 0)
c0890d26 11799 {
582e12bf 11800 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11801 {
11802 /* 33222222222211111111110000000000
11803 10987654321098765432109876543210
7684e580 11804 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf 11805 st1w. */
b83b4b13 11806 return 1898;
c0890d26
RS
11807 }
11808 else
11809 {
11810 /* 33222222222211111111110000000000
11811 10987654321098765432109876543210
7684e580 11812 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf 11813 st1d. */
b83b4b13 11814 return 1877;
c0890d26
RS
11815 }
11816 }
11817 else
11818 {
11819 /* 33222222222211111111110000000000
11820 10987654321098765432109876543210
7684e580 11821 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 11822 st1w. */
b83b4b13 11823 return 1903;
c0890d26
RS
11824 }
11825 }
11826 }
11827 else
11828 {
11829 if (((word >> 14) & 0x1) == 0)
11830 {
582e12bf 11831 if (((word >> 31) & 0x1) == 0)
c0890d26 11832 {
582e12bf
RS
11833 /* 33222222222211111111110000000000
11834 10987654321098765432109876543210
7684e580 11835 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf 11836 fmsb. */
b83b4b13 11837 return 1445;
c0890d26
RS
11838 }
11839 else
11840 {
582e12bf 11841 if (((word >> 22) & 0x1) == 0)
c0890d26 11842 {
582e12bf
RS
11843 if (((word >> 23) & 0x1) == 0)
11844 {
11845 /* 33222222222211111111110000000000
11846 10987654321098765432109876543210
7684e580 11847 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf 11848 st1w. */
b83b4b13 11849 return 1899;
582e12bf
RS
11850 }
11851 else
11852 {
11853 /* 33222222222211111111110000000000
11854 10987654321098765432109876543210
7684e580 11855 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf 11856 st1d. */
b83b4b13 11857 return 1878;
582e12bf 11858 }
c0890d26
RS
11859 }
11860 else
11861 {
11862 /* 33222222222211111111110000000000
11863 10987654321098765432109876543210
7684e580 11864 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf 11865 st1w. */
b83b4b13 11866 return 1906;
c0890d26
RS
11867 }
11868 }
11869 }
11870 else
11871 {
582e12bf
RS
11872 if (((word >> 31) & 0x1) == 0)
11873 {
11874 /* 33222222222211111111110000000000
11875 10987654321098765432109876543210
7684e580 11876 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf 11877 fnmsb. */
b83b4b13 11878 return 1457;
582e12bf
RS
11879 }
11880 else
c0890d26
RS
11881 {
11882 if (((word >> 20) & 0x1) == 0)
11883 {
582e12bf 11884 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11885 {
11886 /* 33222222222211111111110000000000
11887 10987654321098765432109876543210
7684e580 11888 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf 11889 st1w. */
b83b4b13 11890 return 1907;
c0890d26
RS
11891 }
11892 else
11893 {
11894 /* 33222222222211111111110000000000
11895 10987654321098765432109876543210
7684e580 11896 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf 11897 st1d. */
b83b4b13 11898 return 1881;
c0890d26
RS
11899 }
11900 }
c0890d26
RS
11901 else
11902 {
582e12bf 11903 if (((word >> 22) & 0x1) == 0)
c0890d26 11904 {
582e12bf
RS
11905 if (((word >> 23) & 0x1) == 0)
11906 {
11907 /* 33222222222211111111110000000000
11908 10987654321098765432109876543210
7684e580 11909 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf 11910 st2w. */
b83b4b13 11911 return 1915;
582e12bf
RS
11912 }
11913 else
11914 {
11915 /* 33222222222211111111110000000000
11916 10987654321098765432109876543210
7684e580 11917 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf 11918 st2d. */
b83b4b13 11919 return 1911;
582e12bf 11920 }
c0890d26
RS
11921 }
11922 else
11923 {
582e12bf 11924 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11925 {
11926 /* 33222222222211111111110000000000
11927 10987654321098765432109876543210
7684e580 11928 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf 11929 st4w. */
b83b4b13 11930 return 1931;
c0890d26
RS
11931 }
11932 else
11933 {
11934 /* 33222222222211111111110000000000
11935 10987654321098765432109876543210
7684e580 11936 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 11937 st4d. */
b83b4b13 11938 return 1927;
c0890d26
RS
11939 }
11940 }
11941 }
11942 }
11943 }
11944 }
11945 }
11946 }
11947 }
11948 }
11949 }
11950 }
11951 else
11952 {
11953 if (((word >> 29) & 0x1) == 0)
a06ea964 11954 {
c0890d26 11955 if (((word >> 30) & 0x1) == 0)
a06ea964 11956 {
c0890d26
RS
11957 if (((word >> 31) & 0x1) == 0)
11958 {
11959 /* 33222222222211111111110000000000
11960 10987654321098765432109876543210
7684e580 11961 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11962 b. */
193614f2 11963 return 636;
c0890d26
RS
11964 }
11965 else
11966 {
11967 /* 33222222222211111111110000000000
11968 10987654321098765432109876543210
7684e580 11969 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11970 bl. */
193614f2 11971 return 637;
c0890d26 11972 }
a06ea964
NC
11973 }
11974 else
11975 {
c0890d26 11976 if (((word >> 24) & 0x1) == 0)
a06ea964 11977 {
74f5402d 11978 if (((word >> 4) & 0x1) == 0)
a06ea964 11979 {
74f5402d 11980 if (((word >> 25) & 0x1) == 0)
c0890d26 11981 {
74f5402d
SN
11982 if (((word >> 31) & 0x1) == 0)
11983 {
11984 /* 33222222222211111111110000000000
11985 10987654321098765432109876543210
7684e580 11986 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 11987 b.c. */
193614f2 11988 return 657;
74f5402d
SN
11989 }
11990 else
a06ea964 11991 {
74f5402d 11992 if (((word >> 0) & 0x1) == 0)
a06ea964 11993 {
74f5402d 11994 if (((word >> 1) & 0x1) == 0)
c0890d26 11995 {
74f5402d
SN
11996 if (((word >> 21) & 0x1) == 0)
11997 {
11998 /* 33222222222211111111110000000000
11999 10987654321098765432109876543210
7684e580 12000 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 12001 hlt. */
b731bc3b 12002 return 753;
74f5402d
SN
12003 }
12004 else
12005 {
b83b4b13
SD
12006 if (((word >> 22) & 0x1) == 0)
12007 {
12008 /* 33222222222211111111110000000000
12009 10987654321098765432109876543210
12010 11010100x01xxxxxxxxxxxxxxxx0xx00
12011 brk. */
12012 return 752;
12013 }
12014 else
12015 {
12016 /* 33222222222211111111110000000000
12017 10987654321098765432109876543210
12018 11010100x11xxxxxxxxxxxxxxxx0xx00
12019 tcancel. */
12020 return 1191;
12021 }
74f5402d 12022 }
c0890d26
RS
12023 }
12024 else
12025 {
74f5402d
SN
12026 if (((word >> 21) & 0x1) == 0)
12027 {
12028 /* 33222222222211111111110000000000
12029 10987654321098765432109876543210
7684e580 12030 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 12031 hvc. */
b731bc3b 12032 return 750;
74f5402d
SN
12033 }
12034 else
12035 {
12036 /* 33222222222211111111110000000000
12037 10987654321098765432109876543210
7684e580 12038 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 12039 dcps2. */
b731bc3b 12040 return 755;
74f5402d 12041 }
c0890d26 12042 }
a06ea964
NC
12043 }
12044 else
12045 {
74f5402d 12046 if (((word >> 1) & 0x1) == 0)
c0890d26 12047 {
74f5402d
SN
12048 if (((word >> 21) & 0x1) == 0)
12049 {
12050 /* 33222222222211111111110000000000
12051 10987654321098765432109876543210
7684e580 12052 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 12053 svc. */
b731bc3b 12054 return 749;
74f5402d
SN
12055 }
12056 else
12057 {
12058 /* 33222222222211111111110000000000
12059 10987654321098765432109876543210
7684e580 12060 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 12061 dcps1. */
b731bc3b 12062 return 754;
74f5402d 12063 }
c0890d26
RS
12064 }
12065 else
12066 {
74f5402d
SN
12067 if (((word >> 21) & 0x1) == 0)
12068 {
12069 /* 33222222222211111111110000000000
12070 10987654321098765432109876543210
7684e580 12071 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 12072 smc. */
b731bc3b 12073 return 751;
74f5402d
SN
12074 }
12075 else
12076 {
12077 /* 33222222222211111111110000000000
12078 10987654321098765432109876543210
7684e580 12079 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 12080 dcps3. */
b731bc3b 12081 return 756;
74f5402d 12082 }
c0890d26 12083 }
a06ea964
NC
12084 }
12085 }
74f5402d
SN
12086 }
12087 else
12088 {
12089 if (((word >> 21) & 0x1) == 0)
a06ea964 12090 {
74f5402d 12091 if (((word >> 22) & 0x1) == 0)
a06ea964 12092 {
74f5402d 12093 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12094 {
12095 /* 33222222222211111111110000000000
12096 10987654321098765432109876543210
7684e580 12097 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 12098 br. */
193614f2 12099 return 638;
c0890d26
RS
12100 }
12101 else
12102 {
12103 /* 33222222222211111111110000000000
12104 10987654321098765432109876543210
7684e580 12105 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 12106 eret. */
193614f2 12107 return 641;
c0890d26 12108 }
a06ea964
NC
12109 }
12110 else
12111 {
74f5402d
SN
12112 /* 33222222222211111111110000000000
12113 10987654321098765432109876543210
7684e580 12114 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 12115 ret. */
193614f2 12116 return 640;
74f5402d
SN
12117 }
12118 }
12119 else
12120 {
12121 if (((word >> 23) & 0x1) == 0)
12122 {
12123 /* 33222222222211111111110000000000
12124 10987654321098765432109876543210
7684e580 12125 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 12126 blr. */
193614f2 12127 return 639;
74f5402d
SN
12128 }
12129 else
12130 {
12131 /* 33222222222211111111110000000000
12132 10987654321098765432109876543210
7684e580 12133 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 12134 drps. */
193614f2 12135 return 642;
74f5402d
SN
12136 }
12137 }
12138 }
12139 }
12140 else
12141 {
12142 if (((word >> 10) & 0x1) == 0)
12143 {
12144 if (((word >> 21) & 0x1) == 0)
12145 {
12146 if (((word >> 22) & 0x1) == 0)
12147 {
12148 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12149 {
12150 /* 33222222222211111111110000000000
12151 10987654321098765432109876543210
7684e580 12152 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 12153 braaz. */
193614f2 12154 return 647;
c0890d26
RS
12155 }
12156 else
12157 {
12158 /* 33222222222211111111110000000000
12159 10987654321098765432109876543210
7684e580 12160 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 12161 eretaa. */
193614f2 12162 return 653;
c0890d26 12163 }
a06ea964 12164 }
74f5402d
SN
12165 else
12166 {
12167 /* 33222222222211111111110000000000
12168 10987654321098765432109876543210
7684e580 12169 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 12170 retaa. */
193614f2 12171 return 651;
74f5402d
SN
12172 }
12173 }
12174 else
12175 {
12176 /* 33222222222211111111110000000000
12177 10987654321098765432109876543210
7684e580 12178 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 12179 blraaz. */
193614f2 12180 return 649;
a06ea964
NC
12181 }
12182 }
74f5402d 12183 else
a06ea964 12184 {
74f5402d 12185 if (((word >> 21) & 0x1) == 0)
a06ea964 12186 {
74f5402d 12187 if (((word >> 22) & 0x1) == 0)
a06ea964 12188 {
74f5402d
SN
12189 if (((word >> 23) & 0x1) == 0)
12190 {
12191 /* 33222222222211111111110000000000
12192 10987654321098765432109876543210
7684e580 12193 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 12194 brabz. */
193614f2 12195 return 648;
74f5402d
SN
12196 }
12197 else
12198 {
12199 /* 33222222222211111111110000000000
12200 10987654321098765432109876543210
7684e580 12201 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 12202 eretab. */
193614f2 12203 return 654;
74f5402d 12204 }
a06ea964
NC
12205 }
12206 else
12207 {
12208 /* 33222222222211111111110000000000
12209 10987654321098765432109876543210
7684e580 12210 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 12211 retab. */
193614f2 12212 return 652;
a06ea964
NC
12213 }
12214 }
12215 else
12216 {
c0890d26
RS
12217 /* 33222222222211111111110000000000
12218 10987654321098765432109876543210
7684e580 12219 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 12220 blrabz. */
193614f2 12221 return 650;
a06ea964
NC
12222 }
12223 }
74f5402d
SN
12224 }
12225 }
12226 else
12227 {
12228 if (((word >> 21) & 0x1) == 0)
12229 {
12230 if (((word >> 25) & 0x1) == 0)
12231 {
12232 /* 33222222222211111111110000000000
12233 10987654321098765432109876543210
7684e580 12234 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
13c60ad7 12235 xaflag. */
b731bc3b 12236 return 810;
74f5402d 12237 }
c0890d26 12238 else
a06ea964 12239 {
74f5402d 12240 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
12241 {
12242 /* 33222222222211111111110000000000
12243 10987654321098765432109876543210
7684e580 12244 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 12245 braa. */
193614f2 12246 return 643;
a06ea964
NC
12247 }
12248 else
12249 {
12250 /* 33222222222211111111110000000000
12251 10987654321098765432109876543210
7684e580 12252 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 12253 brab. */
193614f2 12254 return 644;
a06ea964
NC
12255 }
12256 }
c0890d26 12257 }
a06ea964
NC
12258 else
12259 {
74f5402d
SN
12260 if (((word >> 25) & 0x1) == 0)
12261 {
12262 /* 33222222222211111111110000000000
12263 10987654321098765432109876543210
7684e580 12264 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
b83b4b13
SD
12265 tstart. */
12266 return 1188;
74f5402d
SN
12267 }
12268 else
12269 {
12270 if (((word >> 10) & 0x1) == 0)
12271 {
12272 /* 33222222222211111111110000000000
12273 10987654321098765432109876543210
7684e580 12274 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 12275 blraa. */
193614f2 12276 return 645;
74f5402d
SN
12277 }
12278 else
12279 {
12280 /* 33222222222211111111110000000000
12281 10987654321098765432109876543210
7684e580 12282 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 12283 blrab. */
193614f2 12284 return 646;
74f5402d
SN
12285 }
12286 }
a06ea964
NC
12287 }
12288 }
12289 }
c0890d26
RS
12290 }
12291 else
12292 {
12293 if (((word >> 24) & 0x1) == 0)
a06ea964 12294 {
c0890d26 12295 if (((word >> 25) & 0x1) == 0)
a06ea964 12296 {
df7b4545
JW
12297 /* 33222222222211111111110000000000
12298 10987654321098765432109876543210
7684e580 12299 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12300 cbz. */
193614f2 12301 return 655;
a06ea964
NC
12302 }
12303 else
12304 {
df7b4545
JW
12305 /* 33222222222211111111110000000000
12306 10987654321098765432109876543210
7684e580 12307 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12308 tbz. */
b83b4b13 12309 return 1235;
a06ea964
NC
12310 }
12311 }
a06ea964
NC
12312 else
12313 {
c0890d26
RS
12314 if (((word >> 25) & 0x1) == 0)
12315 {
12316 /* 33222222222211111111110000000000
12317 10987654321098765432109876543210
7684e580 12318 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12319 cbnz. */
193614f2 12320 return 656;
c0890d26
RS
12321 }
12322 else
12323 {
12324 /* 33222222222211111111110000000000
12325 10987654321098765432109876543210
7684e580 12326 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12327 tbnz. */
b83b4b13 12328 return 1236;
c0890d26 12329 }
a06ea964
NC
12330 }
12331 }
12332 }
12333 }
12334 else
12335 {
12336 if (((word >> 25) & 0x1) == 0)
12337 {
12338 if (((word >> 28) & 0x1) == 0)
12339 {
12340 if (((word >> 22) & 0x1) == 0)
12341 {
12342 if (((word >> 23) & 0x1) == 0)
12343 {
12344 if (((word >> 24) & 0x1) == 0)
12345 {
12346 if (((word >> 29) & 0x1) == 0)
12347 {
12348 /* 33222222222211111111110000000000
12349 10987654321098765432109876543210
7684e580 12350 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12351 st4. */
193614f2 12352 return 440;
a06ea964
NC
12353 }
12354 else
12355 {
12356 /* 33222222222211111111110000000000
12357 10987654321098765432109876543210
7684e580 12358 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12359 stnp. */
e54010f1 12360 return 972;
a06ea964
NC
12361 }
12362 }
12363 else
12364 {
12365 if (((word >> 29) & 0x1) == 0)
12366 {
12367 if (((word >> 13) & 0x1) == 0)
12368 {
12369 if (((word >> 21) & 0x1) == 0)
12370 {
12371 /* 33222222222211111111110000000000
12372 10987654321098765432109876543210
7684e580 12373 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 12374 st1. */
193614f2 12375 return 456;
a06ea964
NC
12376 }
12377 else
12378 {
12379 /* 33222222222211111111110000000000
12380 10987654321098765432109876543210
7684e580 12381 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 12382 st2. */
193614f2 12383 return 458;
a06ea964
NC
12384 }
12385 }
12386 else
12387 {
12388 if (((word >> 21) & 0x1) == 0)
12389 {
12390 /* 33222222222211111111110000000000
12391 10987654321098765432109876543210
7684e580 12392 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 12393 st3. */
193614f2 12394 return 457;
a06ea964
NC
12395 }
12396 else
12397 {
12398 /* 33222222222211111111110000000000
12399 10987654321098765432109876543210
7684e580 12400 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 12401 st4. */
193614f2 12402 return 459;
a06ea964
NC
12403 }
12404 }
12405 }
12406 else
12407 {
12408 /* 33222222222211111111110000000000
12409 10987654321098765432109876543210
7684e580 12410 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12411 stp. */
e54010f1 12412 return 976;
a06ea964
NC
12413 }
12414 }
12415 }
12416 else
12417 {
12418 if (((word >> 29) & 0x1) == 0)
12419 {
12420 if (((word >> 21) & 0x1) == 0)
12421 {
12422 if (((word >> 24) & 0x1) == 0)
12423 {
12424 /* 33222222222211111111110000000000
12425 10987654321098765432109876543210
7684e580 12426 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 12427 st4. */
193614f2 12428 return 448;
a06ea964
NC
12429 }
12430 else
12431 {
12432 if (((word >> 13) & 0x1) == 0)
12433 {
12434 /* 33222222222211111111110000000000
12435 10987654321098765432109876543210
7684e580 12436 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 12437 st1. */
193614f2 12438 return 468;
a06ea964
NC
12439 }
12440 else
12441 {
12442 /* 33222222222211111111110000000000
12443 10987654321098765432109876543210
7684e580 12444 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 12445 st3. */
193614f2 12446 return 469;
a06ea964
NC
12447 }
12448 }
12449 }
12450 else
12451 {
12452 if (((word >> 13) & 0x1) == 0)
12453 {
12454 /* 33222222222211111111110000000000
12455 10987654321098765432109876543210
7684e580 12456 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 12457 st2. */
193614f2 12458 return 470;
a06ea964
NC
12459 }
12460 else
12461 {
12462 /* 33222222222211111111110000000000
12463 10987654321098765432109876543210
7684e580 12464 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 12465 st4. */
193614f2 12466 return 471;
a06ea964
NC
12467 }
12468 }
12469 }
12470 else
12471 {
12472 /* 33222222222211111111110000000000
12473 10987654321098765432109876543210
7684e580 12474 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12475 stp. */
e54010f1 12476 return 982;
a06ea964
NC
12477 }
12478 }
12479 }
12480 else
12481 {
12482 if (((word >> 23) & 0x1) == 0)
12483 {
12484 if (((word >> 24) & 0x1) == 0)
12485 {
12486 if (((word >> 29) & 0x1) == 0)
12487 {
12488 /* 33222222222211111111110000000000
12489 10987654321098765432109876543210
7684e580 12490 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12491 ld4. */
193614f2 12492 return 444;
a06ea964
NC
12493 }
12494 else
12495 {
12496 /* 33222222222211111111110000000000
12497 10987654321098765432109876543210
7684e580 12498 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12499 ldnp. */
e54010f1 12500 return 973;
a06ea964
NC
12501 }
12502 }
12503 else
12504 {
12505 if (((word >> 29) & 0x1) == 0)
12506 {
12507 if (((word >> 13) & 0x1) == 0)
12508 {
12509 if (((word >> 21) & 0x1) == 0)
12510 {
12511 /* 33222222222211111111110000000000
12512 10987654321098765432109876543210
7684e580 12513 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 12514 ld1. */
193614f2 12515 return 460;
a06ea964
NC
12516 }
12517 else
12518 {
12519 /* 33222222222211111111110000000000
12520 10987654321098765432109876543210
7684e580 12521 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 12522 ld2. */
193614f2 12523 return 464;
a06ea964
NC
12524 }
12525 }
12526 else
12527 {
12528 if (((word >> 21) & 0x1) == 0)
12529 {
12530 /* 33222222222211111111110000000000
12531 10987654321098765432109876543210
7684e580 12532 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 12533 ld3. */
193614f2 12534 return 461;
a06ea964
NC
12535 }
12536 else
12537 {
12538 /* 33222222222211111111110000000000
12539 10987654321098765432109876543210
7684e580 12540 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 12541 ld4. */
193614f2 12542 return 465;
a06ea964
NC
12543 }
12544 }
12545 }
12546 else
12547 {
12548 /* 33222222222211111111110000000000
12549 10987654321098765432109876543210
7684e580 12550 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12551 ldp. */
e54010f1 12552 return 977;
a06ea964
NC
12553 }
12554 }
12555 }
12556 else
12557 {
12558 if (((word >> 29) & 0x1) == 0)
12559 {
12560 if (((word >> 21) & 0x1) == 0)
12561 {
12562 if (((word >> 24) & 0x1) == 0)
12563 {
12564 /* 33222222222211111111110000000000
12565 10987654321098765432109876543210
7684e580 12566 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 12567 ld4. */
193614f2 12568 return 452;
a06ea964
NC
12569 }
12570 else
12571 {
12572 if (((word >> 13) & 0x1) == 0)
12573 {
12574 /* 33222222222211111111110000000000
12575 10987654321098765432109876543210
7684e580 12576 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 12577 ld1. */
193614f2 12578 return 472;
a06ea964
NC
12579 }
12580 else
12581 {
12582 /* 33222222222211111111110000000000
12583 10987654321098765432109876543210
7684e580 12584 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 12585 ld3. */
193614f2 12586 return 473;
a06ea964
NC
12587 }
12588 }
12589 }
12590 else
12591 {
12592 if (((word >> 13) & 0x1) == 0)
12593 {
12594 /* 33222222222211111111110000000000
12595 10987654321098765432109876543210
7684e580 12596 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 12597 ld2. */
193614f2 12598 return 476;
a06ea964
NC
12599 }
12600 else
12601 {
12602 /* 33222222222211111111110000000000
12603 10987654321098765432109876543210
7684e580 12604 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 12605 ld4. */
193614f2 12606 return 477;
a06ea964
NC
12607 }
12608 }
12609 }
12610 else
12611 {
12612 /* 33222222222211111111110000000000
12613 10987654321098765432109876543210
7684e580 12614 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12615 ldp. */
e54010f1 12616 return 983;
a06ea964
NC
12617 }
12618 }
12619 }
12620 }
12621 else
12622 {
12623 if (((word >> 24) & 0x1) == 0)
12624 {
12625 if (((word >> 29) & 0x1) == 0)
12626 {
12627 /* 33222222222211111111110000000000
12628 10987654321098765432109876543210
7684e580 12629 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 12630 ldr. */
e54010f1 12631 return 987;
a06ea964
NC
12632 }
12633 else
12634 {
12635 if (((word >> 10) & 0x1) == 0)
12636 {
12637 if (((word >> 11) & 0x1) == 0)
12638 {
12639 if (((word >> 22) & 0x1) == 0)
12640 {
12641 /* 33222222222211111111110000000000
12642 10987654321098765432109876543210
7684e580 12643 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 12644 stur. */
fb3265b3 12645 return 924;
a06ea964
NC
12646 }
12647 else
12648 {
12649 /* 33222222222211111111110000000000
12650 10987654321098765432109876543210
7684e580 12651 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 12652 ldur. */
fb3265b3 12653 return 925;
a06ea964
NC
12654 }
12655 }
12656 else
12657 {
12658 if (((word >> 22) & 0x1) == 0)
12659 {
12660 /* 33222222222211111111110000000000
12661 10987654321098765432109876543210
7684e580 12662 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 12663 str. */
fb3265b3 12664 return 903;
a06ea964
NC
12665 }
12666 else
12667 {
12668 /* 33222222222211111111110000000000
12669 10987654321098765432109876543210
7684e580 12670 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 12671 ldr. */
fb3265b3 12672 return 904;
a06ea964
NC
12673 }
12674 }
12675 }
12676 else
12677 {
12678 if (((word >> 22) & 0x1) == 0)
12679 {
12680 /* 33222222222211111111110000000000
12681 10987654321098765432109876543210
7684e580 12682 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12683 str. */
b731bc3b 12684 return 872;
a06ea964
NC
12685 }
12686 else
12687 {
12688 /* 33222222222211111111110000000000
12689 10987654321098765432109876543210
7684e580 12690 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12691 ldr. */
b731bc3b 12692 return 873;
a06ea964
NC
12693 }
12694 }
12695 }
12696 }
12697 else
12698 {
12699 if (((word >> 22) & 0x1) == 0)
12700 {
12701 /* 33222222222211111111110000000000
12702 10987654321098765432109876543210
7684e580 12703 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12704 str. */
fb3265b3 12705 return 891;
a06ea964
NC
12706 }
12707 else
12708 {
12709 /* 33222222222211111111110000000000
12710 10987654321098765432109876543210
7684e580 12711 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12712 ldr. */
fb3265b3 12713 return 892;
a06ea964
NC
12714 }
12715 }
12716 }
12717 }
12718 else
12719 {
12720 if (((word >> 24) & 0x1) == 0)
12721 {
12722 if (((word >> 21) & 0x1) == 0)
12723 {
12724 if (((word >> 28) & 0x1) == 0)
12725 {
e9dbdd80 12726 if (((word >> 29) & 0x1) == 0)
a06ea964 12727 {
e9dbdd80 12728 if (((word >> 31) & 0x1) == 0)
a06ea964 12729 {
e9dbdd80 12730 if (((word >> 10) & 0x1) == 0)
a06ea964 12731 {
e9dbdd80 12732 if (((word >> 11) & 0x1) == 0)
a06ea964 12733 {
e9dbdd80 12734 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12735 {
12736 /* 33222222222211111111110000000000
12737 10987654321098765432109876543210
e9dbdd80
TC
12738 0x001110xx0xxxxxxxx000xxxxxxxxxx
12739 tbl. */
193614f2 12740 return 420;
a06ea964
NC
12741 }
12742 else
12743 {
12744 /* 33222222222211111111110000000000
12745 10987654321098765432109876543210
e9dbdd80
TC
12746 0x001110xx0xxxxxxxx100xxxxxxxxxx
12747 tbx. */
193614f2 12748 return 421;
a06ea964
NC
12749 }
12750 }
12751 else
12752 {
e9dbdd80 12753 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12754 {
12755 if (((word >> 14) & 0x1) == 0)
12756 {
12757 /* 33222222222211111111110000000000
12758 10987654321098765432109876543210
e9dbdd80
TC
12759 0x001110xx0xxxxxx0x010xxxxxxxxxx
12760 trn1. */
193614f2 12761 return 263;
a06ea964
NC
12762 }
12763 else
12764 {
12765 /* 33222222222211111111110000000000
12766 10987654321098765432109876543210
e9dbdd80
TC
12767 0x001110xx0xxxxxx1x010xxxxxxxxxx
12768 trn2. */
193614f2 12769 return 266;
a06ea964
NC
12770 }
12771 }
51d543ed
MW
12772 else
12773 {
e9dbdd80 12774 if (((word >> 13) & 0x1) == 0)
51d543ed 12775 {
e9dbdd80 12776 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12777 {
12778 /* 33222222222211111111110000000000
12779 10987654321098765432109876543210
e9dbdd80
TC
12780 0x001110xx0xxxxxx00110xxxxxxxxxx
12781 uzp1. */
193614f2 12782 return 262;
51d543ed
MW
12783 }
12784 else
12785 {
12786 /* 33222222222211111111110000000000
12787 10987654321098765432109876543210
e9dbdd80
TC
12788 0x001110xx0xxxxxx10110xxxxxxxxxx
12789 uzp2. */
193614f2 12790 return 265;
51d543ed
MW
12791 }
12792 }
12793 else
12794 {
e9dbdd80 12795 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12796 {
12797 /* 33222222222211111111110000000000
12798 10987654321098765432109876543210
e9dbdd80
TC
12799 0x001110xx0xxxxxx01110xxxxxxxxxx
12800 zip1. */
193614f2 12801 return 264;
51d543ed
MW
12802 }
12803 else
12804 {
12805 /* 33222222222211111111110000000000
12806 10987654321098765432109876543210
e9dbdd80
TC
12807 0x001110xx0xxxxxx11110xxxxxxxxxx
12808 zip2. */
193614f2 12809 return 267;
51d543ed
MW
12810 }
12811 }
12812 }
12813 }
51d543ed
MW
12814 }
12815 else
12816 {
12817 if (((word >> 11) & 0x1) == 0)
12818 {
12819 if (((word >> 12) & 0x1) == 0)
12820 {
12821 if (((word >> 13) & 0x1) == 0)
12822 {
e9dbdd80 12823 if (((word >> 22) & 0x1) == 0)
51d543ed 12824 {
e9dbdd80
TC
12825 /* 33222222222211111111110000000000
12826 10987654321098765432109876543210
12827 0x001110x00xxxxxxx0001xxxxxxxxxx
12828 dup. */
193614f2 12829 return 149;
e9dbdd80
TC
12830 }
12831 else
12832 {
12833 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12834 {
12835 /* 33222222222211111111110000000000
12836 10987654321098765432109876543210
e9dbdd80 12837 0x001110010xxxxxxx0001xxxxxxxxxx
51d543ed 12838 fmaxnm. */
193614f2 12839 return 292;
51d543ed
MW
12840 }
12841 else
12842 {
12843 /* 33222222222211111111110000000000
12844 10987654321098765432109876543210
e9dbdd80 12845 0x001110110xxxxxxx0001xxxxxxxxxx
51d543ed 12846 fminnm. */
193614f2 12847 return 308;
51d543ed 12848 }
51d543ed
MW
12849 }
12850 }
12851 else
12852 {
e9dbdd80
TC
12853 /* 33222222222211111111110000000000
12854 10987654321098765432109876543210
12855 0x001110xx0xxxxxxx1001xxxxxxxxxx
12856 fcmeq. */
193614f2 12857 return 300;
51d543ed
MW
12858 }
12859 }
12860 else
12861 {
12862 if (((word >> 13) & 0x1) == 0)
12863 {
e9dbdd80 12864 if (((word >> 15) & 0x1) == 0)
51d543ed 12865 {
e9dbdd80 12866 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12867 {
12868 /* 33222222222211111111110000000000
12869 10987654321098765432109876543210
e9dbdd80 12870 0x0011100x0xxxxx0x0101xxxxxxxxxx
51d543ed 12871 fadd. */
193614f2 12872 return 296;
51d543ed
MW
12873 }
12874 else
12875 {
12876 /* 33222222222211111111110000000000
12877 10987654321098765432109876543210
e9dbdd80
TC
12878 0x0011101x0xxxxx0x0101xxxxxxxxxx
12879 fsub. */
193614f2 12880 return 312;
51d543ed
MW
12881 }
12882 }
12883 else
12884 {
e9dbdd80
TC
12885 /* 33222222222211111111110000000000
12886 10987654321098765432109876543210
12887 0x001110xx0xxxxx1x0101xxxxxxxxxx
12888 sdot. */
b83b4b13 12889 return 2046;
51d543ed
MW
12890 }
12891 }
12892 else
12893 {
12894 if (((word >> 23) & 0x1) == 0)
12895 {
e9dbdd80
TC
12896 /* 33222222222211111111110000000000
12897 10987654321098765432109876543210
12898 0x0011100x0xxxxxxx1101xxxxxxxxxx
12899 fmax. */
193614f2 12900 return 302;
51d543ed
MW
12901 }
12902 else
12903 {
e9dbdd80
TC
12904 /* 33222222222211111111110000000000
12905 10987654321098765432109876543210
12906 0x0011101x0xxxxxxx1101xxxxxxxxxx
12907 fmin. */
193614f2 12908 return 314;
51d543ed
MW
12909 }
12910 }
12911 }
a06ea964
NC
12912 }
12913 else
12914 {
9e1f0fa7 12915 if (((word >> 12) & 0x1) == 0)
a06ea964 12916 {
9e1f0fa7
MW
12917 if (((word >> 13) & 0x1) == 0)
12918 {
e9dbdd80 12919 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12920 {
12921 /* 33222222222211111111110000000000
12922 10987654321098765432109876543210
e9dbdd80
TC
12923 0x001110x00xxxxxxx0011xxxxxxxxxx
12924 dup. */
193614f2 12925 return 150;
51d543ed
MW
12926 }
12927 else
12928 {
e9dbdd80
TC
12929 if (((word >> 23) & 0x1) == 0)
12930 {
12931 /* 33222222222211111111110000000000
12932 10987654321098765432109876543210
12933 0x001110010xxxxxxx0011xxxxxxxxxx
12934 fmla. */
193614f2 12935 return 294;
e9dbdd80
TC
12936 }
12937 else
12938 {
12939 /* 33222222222211111111110000000000
12940 10987654321098765432109876543210
12941 0x001110110xxxxxxx0011xxxxxxxxxx
12942 fmls. */
193614f2 12943 return 310;
e9dbdd80 12944 }
51d543ed 12945 }
9e1f0fa7
MW
12946 }
12947 else
12948 {
e9dbdd80
TC
12949 /* 33222222222211111111110000000000
12950 10987654321098765432109876543210
12951 0x001110xx0xxxxxxx1011xxxxxxxxxx
12952 smov. */
193614f2 12953 return 151;
9e1f0fa7 12954 }
a06ea964
NC
12955 }
12956 else
12957 {
9e1f0fa7
MW
12958 if (((word >> 13) & 0x1) == 0)
12959 {
e9dbdd80 12960 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12961 {
12962 /* 33222222222211111111110000000000
12963 10987654321098765432109876543210
e9dbdd80
TC
12964 0x001110x00xxxxxxx0111xxxxxxxxxx
12965 ins. */
193614f2 12966 return 154;
51d543ed
MW
12967 }
12968 else
12969 {
12970 /* 33222222222211111111110000000000
12971 10987654321098765432109876543210
e9dbdd80
TC
12972 0x001110x10xxxxxxx0111xxxxxxxxxx
12973 fmulx. */
193614f2 12974 return 298;
51d543ed 12975 }
9e1f0fa7
MW
12976 }
12977 else
12978 {
e9dbdd80 12979 if (((word >> 22) & 0x1) == 0)
51d543ed 12980 {
e9dbdd80
TC
12981 /* 33222222222211111111110000000000
12982 10987654321098765432109876543210
12983 0x001110x00xxxxxxx1111xxxxxxxxxx
12984 umov. */
193614f2 12985 return 152;
e9dbdd80
TC
12986 }
12987 else
12988 {
12989 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12990 {
12991 /* 33222222222211111111110000000000
12992 10987654321098765432109876543210
e9dbdd80 12993 0x001110010xxxxxxx1111xxxxxxxxxx
51d543ed 12994 frecps. */
193614f2 12995 return 304;
51d543ed
MW
12996 }
12997 else
12998 {
12999 /* 33222222222211111111110000000000
13000 10987654321098765432109876543210
e9dbdd80
TC
13001 0x001110110xxxxxxx1111xxxxxxxxxx
13002 frsqrts. */
193614f2 13003 return 316;
51d543ed
MW
13004 }
13005 }
9e1f0fa7 13006 }
a06ea964
NC
13007 }
13008 }
13009 }
a06ea964
NC
13010 }
13011 else
13012 {
e9dbdd80 13013 if (((word >> 22) & 0x1) == 0)
a06ea964 13014 {
e9dbdd80 13015 if (((word >> 23) & 0x1) == 0)
a06ea964 13016 {
9e1f0fa7
MW
13017 /* 33222222222211111111110000000000
13018 10987654321098765432109876543210
e9dbdd80
TC
13019 1x001110000xxxxxxxxxxxxxxxxxxxxx
13020 eor3. */
b83b4b13 13021 return 2053;
a06ea964
NC
13022 }
13023 else
13024 {
9e1f0fa7
MW
13025 /* 33222222222211111111110000000000
13026 10987654321098765432109876543210
e9dbdd80
TC
13027 1x001110100xxxxxxxxxxxxxxxxxxxxx
13028 xar. */
b83b4b13 13029 return 2055;
9e1f0fa7
MW
13030 }
13031 }
13032 else
13033 {
e9dbdd80 13034 if (((word >> 15) & 0x1) == 0)
9e1f0fa7
MW
13035 {
13036 /* 33222222222211111111110000000000
13037 10987654321098765432109876543210
e9dbdd80
TC
13038 1x001110x10xxxxx0xxxxxxxxxxxxxxx
13039 sm3ss1. */
b83b4b13 13040 return 2057;
9e1f0fa7
MW
13041 }
13042 else
13043 {
e9dbdd80 13044 if (((word >> 10) & 0x1) == 0)
a06ea964 13045 {
e9dbdd80 13046 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 13047 {
e9dbdd80
TC
13048 if (((word >> 23) & 0x1) == 0)
13049 {
13050 /* 33222222222211111111110000000000
13051 10987654321098765432109876543210
13052 1x001110010xxxxx1xxx00xxxxxxxxxx
13053 sm3tt1a. */
b83b4b13 13054 return 2058;
e9dbdd80
TC
13055 }
13056 else
13057 {
13058 /* 33222222222211111111110000000000
13059 10987654321098765432109876543210
13060 1x001110110xxxxx1xxx00xxxxxxxxxx
13061 sha512su0. */
b83b4b13 13062 return 2051;
e9dbdd80 13063 }
9e1f0fa7
MW
13064 }
13065 else
13066 {
13067 /* 33222222222211111111110000000000
13068 10987654321098765432109876543210
e9dbdd80
TC
13069 1x001110x10xxxxx1xxx10xxxxxxxxxx
13070 sm3tt2a. */
b83b4b13 13071 return 2060;
9e1f0fa7 13072 }
a06ea964
NC
13073 }
13074 else
13075 {
e9dbdd80 13076 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 13077 {
e9dbdd80
TC
13078 if (((word >> 23) & 0x1) == 0)
13079 {
13080 /* 33222222222211111111110000000000
13081 10987654321098765432109876543210
13082 1x001110010xxxxx1xxx01xxxxxxxxxx
13083 sm3tt1b. */
b83b4b13 13084 return 2059;
e9dbdd80
TC
13085 }
13086 else
13087 {
13088 /* 33222222222211111111110000000000
13089 10987654321098765432109876543210
13090 1x001110110xxxxx1xxx01xxxxxxxxxx
13091 sm4e. */
b83b4b13 13092 return 2064;
e9dbdd80 13093 }
9e1f0fa7
MW
13094 }
13095 else
13096 {
13097 /* 33222222222211111111110000000000
13098 10987654321098765432109876543210
e9dbdd80
TC
13099 1x001110x10xxxxx1xxx11xxxxxxxxxx
13100 sm3tt2b. */
b83b4b13 13101 return 2061;
9e1f0fa7 13102 }
a06ea964 13103 }
a06ea964 13104 }
9e1f0fa7 13105 }
e9dbdd80
TC
13106 }
13107 }
13108 else
13109 {
13110 if (((word >> 10) & 0x1) == 0)
13111 {
13112 /* 33222222222211111111110000000000
13113 10987654321098765432109876543210
13114 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
13115 ext. */
193614f2 13116 return 132;
e9dbdd80
TC
13117 }
13118 else
13119 {
13120 if (((word >> 15) & 0x1) == 0)
9e1f0fa7 13121 {
e9dbdd80 13122 if (((word >> 22) & 0x1) == 0)
6b4680fb 13123 {
e9dbdd80
TC
13124 /* 33222222222211111111110000000000
13125 10987654321098765432109876543210
13126 xx101110x00xxxxx0xxxx1xxxxxxxxxx
13127 ins. */
193614f2 13128 return 156;
6b4680fb
MW
13129 }
13130 else
13131 {
e9dbdd80 13132 if (((word >> 11) & 0x1) == 0)
6b4680fb 13133 {
e9dbdd80 13134 if (((word >> 12) & 0x1) == 0)
6b4680fb 13135 {
e9dbdd80 13136 if (((word >> 13) & 0x1) == 0)
a06ea964 13137 {
e9dbdd80 13138 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13139 {
13140 /* 33222222222211111111110000000000
13141 10987654321098765432109876543210
e9dbdd80
TC
13142 xx101110010xxxxx0x0001xxxxxxxxxx
13143 fmaxnmp. */
193614f2 13144 return 343;
a06ea964
NC
13145 }
13146 else
13147 {
13148 /* 33222222222211111111110000000000
13149 10987654321098765432109876543210
e9dbdd80
TC
13150 xx101110110xxxxx0x0001xxxxxxxxxx
13151 fminnmp. */
193614f2 13152 return 359;
a06ea964
NC
13153 }
13154 }
13155 else
13156 {
e9dbdd80 13157 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13158 {
13159 /* 33222222222211111111110000000000
13160 10987654321098765432109876543210
e9dbdd80
TC
13161 xx101110010xxxxx0x1001xxxxxxxxxx
13162 fcmge. */
193614f2 13163 return 349;
a06ea964
NC
13164 }
13165 else
13166 {
13167 /* 33222222222211111111110000000000
13168 10987654321098765432109876543210
e9dbdd80
TC
13169 xx101110110xxxxx0x1001xxxxxxxxxx
13170 fcmgt. */
193614f2 13171 return 363;
a06ea964
NC
13172 }
13173 }
13174 }
13175 else
13176 {
e9dbdd80 13177 if (((word >> 13) & 0x1) == 0)
a06ea964 13178 {
e9dbdd80 13179 if (((word >> 23) & 0x1) == 0)
a06ea964 13180 {
e9dbdd80
TC
13181 /* 33222222222211111111110000000000
13182 10987654321098765432109876543210
13183 xx101110010xxxxx0x0101xxxxxxxxxx
13184 faddp. */
193614f2 13185 return 345;
a06ea964
NC
13186 }
13187 else
13188 {
e9dbdd80
TC
13189 /* 33222222222211111111110000000000
13190 10987654321098765432109876543210
13191 xx101110110xxxxx0x0101xxxxxxxxxx
13192 fabd. */
193614f2 13193 return 361;
a06ea964
NC
13194 }
13195 }
13196 else
13197 {
e9dbdd80 13198 if (((word >> 23) & 0x1) == 0)
a06ea964 13199 {
e9dbdd80
TC
13200 /* 33222222222211111111110000000000
13201 10987654321098765432109876543210
13202 xx101110010xxxxx0x1101xxxxxxxxxx
13203 fmaxp. */
193614f2 13204 return 353;
a06ea964
NC
13205 }
13206 else
13207 {
e9dbdd80
TC
13208 /* 33222222222211111111110000000000
13209 10987654321098765432109876543210
13210 xx101110110xxxxx0x1101xxxxxxxxxx
13211 fminp. */
193614f2 13212 return 367;
a06ea964
NC
13213 }
13214 }
13215 }
13216 }
13217 else
13218 {
e9dbdd80 13219 if (((word >> 12) & 0x1) == 0)
a06ea964 13220 {
e9dbdd80 13221 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13222 {
13223 /* 33222222222211111111110000000000
13224 10987654321098765432109876543210
e9dbdd80
TC
13225 xx101110010xxxxx0xx011xxxxxxxxxx
13226 facge. */
193614f2 13227 return 351;
a06ea964
NC
13228 }
13229 else
13230 {
13231 /* 33222222222211111111110000000000
13232 10987654321098765432109876543210
e9dbdd80
TC
13233 xx101110110xxxxx0xx011xxxxxxxxxx
13234 facgt. */
193614f2 13235 return 365;
a06ea964
NC
13236 }
13237 }
13238 else
13239 {
e9dbdd80 13240 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
13241 {
13242 /* 33222222222211111111110000000000
13243 10987654321098765432109876543210
e9dbdd80
TC
13244 xx101110x10xxxxx0x0111xxxxxxxxxx
13245 fmul. */
193614f2 13246 return 347;
a06ea964
NC
13247 }
13248 else
13249 {
13250 /* 33222222222211111111110000000000
13251 10987654321098765432109876543210
e9dbdd80
TC
13252 xx101110x10xxxxx0x1111xxxxxxxxxx
13253 fdiv. */
193614f2 13254 return 355;
a06ea964
NC
13255 }
13256 }
13257 }
13258 }
e9dbdd80
TC
13259 }
13260 else
13261 {
13262 if (((word >> 13) & 0x1) == 0)
a06ea964 13263 {
e9dbdd80 13264 if (((word >> 14) & 0x1) == 0)
a06ea964 13265 {
e9dbdd80 13266 if (((word >> 11) & 0x1) == 0)
a06ea964 13267 {
e9dbdd80 13268 if (((word >> 12) & 0x1) == 0)
a06ea964 13269 {
e9dbdd80
TC
13270 /* 33222222222211111111110000000000
13271 10987654321098765432109876543210
13272 xx101110xx0xxxxx100001xxxxxxxxxx
13273 sqrdmlah. */
193614f2 13274 return 370;
a06ea964
NC
13275 }
13276 else
13277 {
e9dbdd80
TC
13278 /* 33222222222211111111110000000000
13279 10987654321098765432109876543210
13280 xx101110xx0xxxxx100101xxxxxxxxxx
13281 udot. */
b83b4b13 13282 return 2045;
a06ea964
NC
13283 }
13284 }
13285 else
13286 {
e9dbdd80
TC
13287 /* 33222222222211111111110000000000
13288 10987654321098765432109876543210
13289 xx101110xx0xxxxx100x11xxxxxxxxxx
13290 sqrdmlsh. */
193614f2 13291 return 371;
a06ea964
NC
13292 }
13293 }
13294 else
13295 {
e9dbdd80
TC
13296 /* 33222222222211111111110000000000
13297 10987654321098765432109876543210
13298 xx101110xx0xxxxx110xx1xxxxxxxxxx
13299 fcmla. */
193614f2 13300 return 372;
a06ea964
NC
13301 }
13302 }
e9dbdd80
TC
13303 else
13304 {
13305 /* 33222222222211111111110000000000
13306 10987654321098765432109876543210
13307 xx101110xx0xxxxx1x1xx1xxxxxxxxxx
13308 fcadd. */
193614f2 13309 return 373;
e9dbdd80 13310 }
a06ea964 13311 }
e9dbdd80
TC
13312 }
13313 }
13314 }
13315 else
13316 {
13317 if (((word >> 29) & 0x1) == 0)
13318 {
13319 if (((word >> 30) & 0x1) == 0)
13320 {
13321 if (((word >> 16) & 0x1) == 0)
a06ea964 13322 {
e9dbdd80 13323 if (((word >> 17) & 0x1) == 0)
a06ea964 13324 {
e9dbdd80
TC
13325 /* 33222222222211111111110000000000
13326 10987654321098765432109876543210
13327 x0011110xx0xxx00xxxxxxxxxxxxxxxx
13328 fcvtzs. */
b731bc3b 13329 return 763;
e9dbdd80
TC
13330 }
13331 else
13332 {
13333 /* 33222222222211111111110000000000
13334 10987654321098765432109876543210
13335 x0011110xx0xxx10xxxxxxxxxxxxxxxx
13336 scvtf. */
b731bc3b 13337 return 759;
e9dbdd80
TC
13338 }
13339 }
13340 else
13341 {
13342 if (((word >> 17) & 0x1) == 0)
13343 {
13344 /* 33222222222211111111110000000000
13345 10987654321098765432109876543210
13346 x0011110xx0xxx01xxxxxxxxxxxxxxxx
13347 fcvtzu. */
b731bc3b 13348 return 765;
e9dbdd80
TC
13349 }
13350 else
13351 {
13352 /* 33222222222211111111110000000000
13353 10987654321098765432109876543210
13354 x0011110xx0xxx11xxxxxxxxxxxxxxxx
13355 ucvtf. */
b731bc3b 13356 return 761;
e9dbdd80
TC
13357 }
13358 }
13359 }
13360 else
13361 {
13362 if (((word >> 10) & 0x1) == 0)
13363 {
13364 if (((word >> 12) & 0x1) == 0)
13365 {
13366 if (((word >> 13) & 0x1) == 0)
a06ea964 13367 {
e9dbdd80 13368 if (((word >> 14) & 0x1) == 0)
a06ea964 13369 {
e9dbdd80
TC
13370 /* 33222222222211111111110000000000
13371 10987654321098765432109876543210
13372 x1011110xx0xxxxxx000x0xxxxxxxxxx
13373 sha1c. */
193614f2 13374 return 678;
a06ea964
NC
13375 }
13376 else
13377 {
e9dbdd80
TC
13378 /* 33222222222211111111110000000000
13379 10987654321098765432109876543210
13380 x1011110xx0xxxxxx100x0xxxxxxxxxx
13381 sha256h. */
193614f2 13382 return 682;
a06ea964
NC
13383 }
13384 }
13385 else
13386 {
e9dbdd80 13387 if (((word >> 14) & 0x1) == 0)
a06ea964 13388 {
e9dbdd80
TC
13389 /* 33222222222211111111110000000000
13390 10987654321098765432109876543210
13391 x1011110xx0xxxxxx010x0xxxxxxxxxx
13392 sha1m. */
193614f2 13393 return 680;
a06ea964
NC
13394 }
13395 else
13396 {
e9dbdd80
TC
13397 /* 33222222222211111111110000000000
13398 10987654321098765432109876543210
13399 x1011110xx0xxxxxx110x0xxxxxxxxxx
13400 sha256su1. */
193614f2 13401 return 684;
a06ea964
NC
13402 }
13403 }
13404 }
13405 else
13406 {
e9dbdd80 13407 if (((word >> 13) & 0x1) == 0)
a06ea964 13408 {
e9dbdd80 13409 if (((word >> 14) & 0x1) == 0)
a06ea964 13410 {
e9dbdd80
TC
13411 /* 33222222222211111111110000000000
13412 10987654321098765432109876543210
13413 x1011110xx0xxxxxx001x0xxxxxxxxxx
13414 sha1p. */
193614f2 13415 return 679;
a06ea964
NC
13416 }
13417 else
13418 {
e9dbdd80
TC
13419 /* 33222222222211111111110000000000
13420 10987654321098765432109876543210
13421 x1011110xx0xxxxxx101x0xxxxxxxxxx
13422 sha256h2. */
193614f2 13423 return 683;
a06ea964
NC
13424 }
13425 }
13426 else
13427 {
e9dbdd80
TC
13428 /* 33222222222211111111110000000000
13429 10987654321098765432109876543210
13430 x1011110xx0xxxxxxx11x0xxxxxxxxxx
13431 sha1su0. */
193614f2 13432 return 681;
e9dbdd80
TC
13433 }
13434 }
13435 }
13436 else
13437 {
13438 if (((word >> 11) & 0x1) == 0)
13439 {
13440 if (((word >> 13) & 0x1) == 0)
13441 {
13442 /* 33222222222211111111110000000000
13443 10987654321098765432109876543210
13444 x1011110xx0xxxxxxx0x01xxxxxxxxxx
13445 dup. */
193614f2 13446 return 535;
e9dbdd80
TC
13447 }
13448 else
13449 {
13450 /* 33222222222211111111110000000000
13451 10987654321098765432109876543210
13452 x1011110xx0xxxxxxx1x01xxxxxxxxxx
13453 fcmeq. */
193614f2 13454 return 556;
e9dbdd80
TC
13455 }
13456 }
13457 else
13458 {
13459 if (((word >> 13) & 0x1) == 0)
13460 {
13461 /* 33222222222211111111110000000000
13462 10987654321098765432109876543210
13463 x1011110xx0xxxxxxx0x11xxxxxxxxxx
13464 fmulx. */
193614f2 13465 return 554;
e9dbdd80
TC
13466 }
13467 else
13468 {
13469 if (((word >> 23) & 0x1) == 0)
a06ea964 13470 {
e9dbdd80
TC
13471 /* 33222222222211111111110000000000
13472 10987654321098765432109876543210
13473 x10111100x0xxxxxxx1x11xxxxxxxxxx
13474 frecps. */
193614f2 13475 return 558;
a06ea964
NC
13476 }
13477 else
13478 {
e9dbdd80
TC
13479 /* 33222222222211111111110000000000
13480 10987654321098765432109876543210
13481 x10111101x0xxxxxxx1x11xxxxxxxxxx
13482 frsqrts. */
193614f2 13483 return 560;
a06ea964
NC
13484 }
13485 }
13486 }
13487 }
13488 }
e9dbdd80
TC
13489 }
13490 else
13491 {
13492 if (((word >> 11) & 0x1) == 0)
a06ea964 13493 {
e9dbdd80 13494 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
13495 {
13496 if (((word >> 13) & 0x1) == 0)
13497 {
e9dbdd80
TC
13498 /* 33222222222211111111110000000000
13499 10987654321098765432109876543210
13500 xx111110xx0xxxxxxx000xxxxxxxxxxx
13501 sqrdmlah. */
193614f2 13502 return 588;
e9dbdd80
TC
13503 }
13504 else
13505 {
13506 if (((word >> 23) & 0x1) == 0)
a06ea964 13507 {
e9dbdd80
TC
13508 /* 33222222222211111111110000000000
13509 10987654321098765432109876543210
13510 xx1111100x0xxxxxxx100xxxxxxxxxxx
13511 fcmge. */
193614f2 13512 return 573;
e9dbdd80
TC
13513 }
13514 else
13515 {
13516 /* 33222222222211111111110000000000
13517 10987654321098765432109876543210
13518 xx1111101x0xxxxxxx100xxxxxxxxxxx
13519 fcmgt. */
193614f2 13520 return 579;
e9dbdd80
TC
13521 }
13522 }
13523 }
13524 else
13525 {
13526 /* 33222222222211111111110000000000
13527 10987654321098765432109876543210
13528 xx111110xx0xxxxxxxx10xxxxxxxxxxx
13529 fabd. */
193614f2 13530 return 577;
e9dbdd80
TC
13531 }
13532 }
13533 else
13534 {
13535 if (((word >> 13) & 0x1) == 0)
13536 {
13537 /* 33222222222211111111110000000000
13538 10987654321098765432109876543210
13539 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
13540 sqrdmlsh. */
193614f2 13541 return 589;
e9dbdd80
TC
13542 }
13543 else
13544 {
13545 if (((word >> 23) & 0x1) == 0)
13546 {
13547 /* 33222222222211111111110000000000
13548 10987654321098765432109876543210
13549 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
13550 facge. */
193614f2 13551 return 575;
e9dbdd80
TC
13552 }
13553 else
13554 {
13555 /* 33222222222211111111110000000000
13556 10987654321098765432109876543210
13557 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
13558 facgt. */
193614f2 13559 return 581;
e9dbdd80
TC
13560 }
13561 }
13562 }
13563 }
13564 }
13565 }
13566 else
13567 {
13568 if (((word >> 28) & 0x1) == 0)
13569 {
13570 if (((word >> 15) & 0x1) == 0)
13571 {
13572 if (((word >> 29) & 0x1) == 0)
13573 {
13574 if (((word >> 31) & 0x1) == 0)
13575 {
13576 if (((word >> 10) & 0x1) == 0)
13577 {
13578 if (((word >> 11) & 0x1) == 0)
13579 {
13580 if (((word >> 12) & 0x1) == 0)
a06ea964 13581 {
e9dbdd80 13582 if (((word >> 13) & 0x1) == 0)
a06ea964 13583 {
e9dbdd80 13584 if (((word >> 14) & 0x1) == 0)
a06ea964 13585 {
e9dbdd80
TC
13586 if (((word >> 30) & 0x1) == 0)
13587 {
13588 /* 33222222222211111111110000000000
13589 10987654321098765432109876543210
13590 00001110xx1xxxxx000000xxxxxxxxxx
13591 saddl. */
193614f2 13592 return 44;
e9dbdd80
TC
13593 }
13594 else
13595 {
13596 /* 33222222222211111111110000000000
13597 10987654321098765432109876543210
13598 01001110xx1xxxxx000000xxxxxxxxxx
13599 saddl2. */
193614f2 13600 return 45;
e9dbdd80 13601 }
a06ea964
NC
13602 }
13603 else
13604 {
e9dbdd80
TC
13605 if (((word >> 30) & 0x1) == 0)
13606 {
13607 /* 33222222222211111111110000000000
13608 10987654321098765432109876543210
13609 00001110xx1xxxxx010000xxxxxxxxxx
13610 addhn. */
193614f2 13611 return 52;
e9dbdd80
TC
13612 }
13613 else
13614 {
13615 /* 33222222222211111111110000000000
13616 10987654321098765432109876543210
13617 01001110xx1xxxxx010000xxxxxxxxxx
13618 addhn2. */
193614f2 13619 return 53;
e9dbdd80 13620 }
a06ea964
NC
13621 }
13622 }
13623 else
13624 {
e9dbdd80 13625 if (((word >> 14) & 0x1) == 0)
a06ea964 13626 {
e9dbdd80
TC
13627 if (((word >> 30) & 0x1) == 0)
13628 {
13629 /* 33222222222211111111110000000000
13630 10987654321098765432109876543210
13631 00001110xx1xxxxx001000xxxxxxxxxx
13632 ssubl. */
193614f2 13633 return 48;
e9dbdd80
TC
13634 }
13635 else
13636 {
13637 /* 33222222222211111111110000000000
13638 10987654321098765432109876543210
13639 01001110xx1xxxxx001000xxxxxxxxxx
13640 ssubl2. */
193614f2 13641 return 49;
e9dbdd80 13642 }
a06ea964
NC
13643 }
13644 else
13645 {
e9dbdd80
TC
13646 if (((word >> 30) & 0x1) == 0)
13647 {
13648 /* 33222222222211111111110000000000
13649 10987654321098765432109876543210
13650 00001110xx1xxxxx011000xxxxxxxxxx
13651 subhn. */
193614f2 13652 return 56;
e9dbdd80
TC
13653 }
13654 else
13655 {
13656 /* 33222222222211111111110000000000
13657 10987654321098765432109876543210
13658 01001110xx1xxxxx011000xxxxxxxxxx
13659 subhn2. */
193614f2 13660 return 57;
e9dbdd80 13661 }
a06ea964
NC
13662 }
13663 }
13664 }
13665 else
13666 {
e9dbdd80 13667 if (((word >> 13) & 0x1) == 0)
a06ea964 13668 {
e9dbdd80 13669 if (((word >> 14) & 0x1) == 0)
a06ea964 13670 {
e9dbdd80
TC
13671 if (((word >> 30) & 0x1) == 0)
13672 {
13673 /* 33222222222211111111110000000000
13674 10987654321098765432109876543210
13675 00001110xx1xxxxx000100xxxxxxxxxx
13676 saddw. */
193614f2 13677 return 46;
e9dbdd80
TC
13678 }
13679 else
13680 {
13681 /* 33222222222211111111110000000000
13682 10987654321098765432109876543210
13683 01001110xx1xxxxx000100xxxxxxxxxx
13684 saddw2. */
193614f2 13685 return 47;
e9dbdd80 13686 }
a06ea964
NC
13687 }
13688 else
13689 {
e9dbdd80
TC
13690 if (((word >> 30) & 0x1) == 0)
13691 {
13692 /* 33222222222211111111110000000000
13693 10987654321098765432109876543210
13694 00001110xx1xxxxx010100xxxxxxxxxx
13695 sabal. */
193614f2 13696 return 54;
e9dbdd80
TC
13697 }
13698 else
13699 {
13700 /* 33222222222211111111110000000000
13701 10987654321098765432109876543210
13702 01001110xx1xxxxx010100xxxxxxxxxx
13703 sabal2. */
193614f2 13704 return 55;
e9dbdd80 13705 }
a06ea964
NC
13706 }
13707 }
13708 else
13709 {
e9dbdd80 13710 if (((word >> 14) & 0x1) == 0)
a06ea964 13711 {
e9dbdd80
TC
13712 if (((word >> 30) & 0x1) == 0)
13713 {
13714 /* 33222222222211111111110000000000
13715 10987654321098765432109876543210
13716 00001110xx1xxxxx001100xxxxxxxxxx
13717 ssubw. */
193614f2 13718 return 50;
e9dbdd80
TC
13719 }
13720 else
13721 {
13722 /* 33222222222211111111110000000000
13723 10987654321098765432109876543210
13724 01001110xx1xxxxx001100xxxxxxxxxx
13725 ssubw2. */
193614f2 13726 return 51;
e9dbdd80 13727 }
a06ea964
NC
13728 }
13729 else
13730 {
e9dbdd80
TC
13731 if (((word >> 30) & 0x1) == 0)
13732 {
13733 /* 33222222222211111111110000000000
13734 10987654321098765432109876543210
13735 00001110xx1xxxxx011100xxxxxxxxxx
13736 sabdl. */
193614f2 13737 return 58;
e9dbdd80
TC
13738 }
13739 else
13740 {
13741 /* 33222222222211111111110000000000
13742 10987654321098765432109876543210
13743 01001110xx1xxxxx011100xxxxxxxxxx
13744 sabdl2. */
193614f2 13745 return 59;
e9dbdd80 13746 }
a06ea964
NC
13747 }
13748 }
13749 }
a06ea964 13750 }
e9dbdd80 13751 else
a06ea964 13752 {
e9dbdd80 13753 if (((word >> 12) & 0x1) == 0)
a06ea964 13754 {
e9dbdd80 13755 if (((word >> 13) & 0x1) == 0)
a06ea964 13756 {
e9dbdd80 13757 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13758 {
13759 /* 33222222222211111111110000000000
13760 10987654321098765432109876543210
e9dbdd80
TC
13761 0x001110xx1xxxxx000010xxxxxxxxxx
13762 rev64. */
193614f2 13763 return 162;
a06ea964
NC
13764 }
13765 else
13766 {
e9dbdd80 13767 if (((word >> 16) & 0x1) == 0)
a06ea964 13768 {
e9dbdd80 13769 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13770 {
13771 /* 33222222222211111111110000000000
13772 10987654321098765432109876543210
e9dbdd80
TC
13773 0x001110xx1x0xx0010010xxxxxxxxxx
13774 cls. */
193614f2 13775 return 166;
f3aa142b
MW
13776 }
13777 else
13778 {
13779 /* 33222222222211111111110000000000
13780 10987654321098765432109876543210
e9dbdd80
TC
13781 0x001110xx1x1xx0010010xxxxxxxxxx
13782 aese. */
193614f2 13783 return 671;
f3aa142b 13784 }
a06ea964
NC
13785 }
13786 else
e9dbdd80
TC
13787 {
13788 if (((word >> 30) & 0x1) == 0)
13789 {
13790 /* 33222222222211111111110000000000
13791 10987654321098765432109876543210
13792 00001110xx1xxxx1010010xxxxxxxxxx
13793 sqxtn. */
193614f2 13794 return 176;
e9dbdd80
TC
13795 }
13796 else
13797 {
13798 /* 33222222222211111111110000000000
13799 10987654321098765432109876543210
13800 01001110xx1xxxx1010010xxxxxxxxxx
13801 sqxtn2. */
193614f2 13802 return 177;
e9dbdd80
TC
13803 }
13804 }
13805 }
13806 }
13807 else
13808 {
13809 if (((word >> 14) & 0x1) == 0)
13810 {
13811 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
13812 {
13813 /* 33222222222211111111110000000000
13814 10987654321098765432109876543210
e9dbdd80
TC
13815 0x001110xx1xxxx0001010xxxxxxxxxx
13816 saddlp. */
193614f2 13817 return 164;
e9dbdd80
TC
13818 }
13819 else
13820 {
13821 if (((word >> 30) & 0x1) == 0)
13822 {
13823 /* 33222222222211111111110000000000
13824 10987654321098765432109876543210
13825 00001110xx1xxxx1001010xxxxxxxxxx
13826 xtn. */
193614f2 13827 return 174;
e9dbdd80
TC
13828 }
13829 else
13830 {
13831 /* 33222222222211111111110000000000
13832 10987654321098765432109876543210
13833 01001110xx1xxxx1001010xxxxxxxxxx
13834 xtn2. */
193614f2 13835 return 175;
e9dbdd80 13836 }
a06ea964
NC
13837 }
13838 }
13839 else
13840 {
e9dbdd80 13841 if (((word >> 16) & 0x1) == 0)
f3aa142b 13842 {
e9dbdd80 13843 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13844 {
13845 /* 33222222222211111111110000000000
13846 10987654321098765432109876543210
e9dbdd80
TC
13847 0x001110xx1x0xx0011010xxxxxxxxxx
13848 sadalp. */
193614f2 13849 return 168;
f3aa142b
MW
13850 }
13851 else
13852 {
13853 /* 33222222222211111111110000000000
13854 10987654321098765432109876543210
e9dbdd80
TC
13855 0x001110xx1x1xx0011010xxxxxxxxxx
13856 aesmc. */
193614f2 13857 return 673;
f3aa142b
MW
13858 }
13859 }
13860 else
13861 {
e9dbdd80
TC
13862 if (((word >> 30) & 0x1) == 0)
13863 {
13864 /* 33222222222211111111110000000000
13865 10987654321098765432109876543210
13866 00001110xx1xxxx1011010xxxxxxxxxx
13867 fcvtn. */
193614f2 13868 return 178;
e9dbdd80
TC
13869 }
13870 else
13871 {
13872 /* 33222222222211111111110000000000
13873 10987654321098765432109876543210
13874 01001110xx1xxxx1011010xxxxxxxxxx
13875 fcvtn2. */
193614f2 13876 return 179;
e9dbdd80 13877 }
f3aa142b 13878 }
a06ea964
NC
13879 }
13880 }
13881 }
13882 else
13883 {
e9dbdd80 13884 if (((word >> 13) & 0x1) == 0)
a06ea964 13885 {
e9dbdd80 13886 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13887 {
13888 /* 33222222222211111111110000000000
13889 10987654321098765432109876543210
e9dbdd80
TC
13890 0x001110xx1xxxxx000110xxxxxxxxxx
13891 rev16. */
193614f2 13892 return 163;
a06ea964
NC
13893 }
13894 else
13895 {
e9dbdd80
TC
13896 if (((word >> 19) & 0x1) == 0)
13897 {
13898 /* 33222222222211111111110000000000
13899 10987654321098765432109876543210
13900 0x001110xx1x0xxx010110xxxxxxxxxx
13901 cnt. */
193614f2 13902 return 167;
e9dbdd80
TC
13903 }
13904 else
13905 {
13906 /* 33222222222211111111110000000000
13907 10987654321098765432109876543210
13908 0x001110xx1x1xxx010110xxxxxxxxxx
13909 aesd. */
193614f2 13910 return 672;
e9dbdd80 13911 }
a06ea964
NC
13912 }
13913 }
13914 else
13915 {
e9dbdd80 13916 if (((word >> 14) & 0x1) == 0)
a06ea964 13917 {
e9dbdd80 13918 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
13919 {
13920 /* 33222222222211111111110000000000
13921 10987654321098765432109876543210
e9dbdd80
TC
13922 0x001110xx10xxxx001110xxxxxxxxxx
13923 suqadd. */
193614f2 13924 return 165;
a06ea964
NC
13925 }
13926 else
13927 {
13928 /* 33222222222211111111110000000000
13929 10987654321098765432109876543210
e9dbdd80
TC
13930 0x001110xx11xxxx001110xxxxxxxxxx
13931 saddlv. */
193614f2 13932 return 29;
e9dbdd80
TC
13933 }
13934 }
13935 else
13936 {
13937 if (((word >> 16) & 0x1) == 0)
13938 {
13939 if (((word >> 19) & 0x1) == 0)
13940 {
13941 /* 33222222222211111111110000000000
13942 10987654321098765432109876543210
13943 0x001110xx1x0xx0011110xxxxxxxxxx
13944 sqabs. */
193614f2 13945 return 169;
e9dbdd80
TC
13946 }
13947 else
13948 {
13949 /* 33222222222211111111110000000000
13950 10987654321098765432109876543210
13951 0x001110xx1x1xx0011110xxxxxxxxxx
13952 aesimc. */
193614f2 13953 return 674;
e9dbdd80
TC
13954 }
13955 }
13956 else
13957 {
13958 if (((word >> 30) & 0x1) == 0)
13959 {
13960 /* 33222222222211111111110000000000
13961 10987654321098765432109876543210
13962 00001110xx1xxxx1011110xxxxxxxxxx
13963 fcvtl. */
193614f2 13964 return 180;
e9dbdd80
TC
13965 }
13966 else
13967 {
13968 /* 33222222222211111111110000000000
13969 10987654321098765432109876543210
13970 01001110xx1xxxx1011110xxxxxxxxxx
13971 fcvtl2. */
193614f2 13972 return 181;
e9dbdd80 13973 }
a06ea964
NC
13974 }
13975 }
e9dbdd80
TC
13976 }
13977 }
13978 }
13979 }
13980 else
13981 {
13982 if (((word >> 11) & 0x1) == 0)
13983 {
13984 if (((word >> 12) & 0x1) == 0)
13985 {
13986 if (((word >> 13) & 0x1) == 0)
13987 {
13988 if (((word >> 14) & 0x1) == 0)
13989 {
13990 /* 33222222222211111111110000000000
13991 10987654321098765432109876543210
13992 0x001110xx1xxxxx000001xxxxxxxxxx
13993 shadd. */
193614f2 13994 return 268;
e9dbdd80 13995 }
a06ea964
NC
13996 else
13997 {
13998 /* 33222222222211111111110000000000
13999 10987654321098765432109876543210
e9dbdd80
TC
14000 0x001110xx1xxxxx010001xxxxxxxxxx
14001 sshl. */
193614f2 14002 return 275;
a06ea964
NC
14003 }
14004 }
14005 else
14006 {
e9dbdd80 14007 if (((word >> 14) & 0x1) == 0)
a06ea964 14008 {
e9dbdd80
TC
14009 /* 33222222222211111111110000000000
14010 10987654321098765432109876543210
14011 0x001110xx1xxxxx001001xxxxxxxxxx
14012 shsub. */
193614f2 14013 return 271;
a06ea964
NC
14014 }
14015 else
14016 {
e9dbdd80
TC
14017 /* 33222222222211111111110000000000
14018 10987654321098765432109876543210
14019 0x001110xx1xxxxx011001xxxxxxxxxx
14020 smax. */
193614f2 14021 return 279;
a06ea964
NC
14022 }
14023 }
14024 }
14025 else
14026 {
e9dbdd80 14027 if (((word >> 13) & 0x1) == 0)
a06ea964 14028 {
e9dbdd80 14029 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14030 {
14031 /* 33222222222211111111110000000000
14032 10987654321098765432109876543210
e9dbdd80
TC
14033 0x001110xx1xxxxx000101xxxxxxxxxx
14034 srhadd. */
193614f2 14035 return 270;
a06ea964
NC
14036 }
14037 else
14038 {
14039 /* 33222222222211111111110000000000
14040 10987654321098765432109876543210
e9dbdd80
TC
14041 0x001110xx1xxxxx010101xxxxxxxxxx
14042 srshl. */
193614f2 14043 return 277;
a06ea964
NC
14044 }
14045 }
14046 else
14047 {
e9dbdd80
TC
14048 if (((word >> 14) & 0x1) == 0)
14049 {
14050 /* 33222222222211111111110000000000
14051 10987654321098765432109876543210
14052 0x001110xx1xxxxx001101xxxxxxxxxx
14053 cmgt. */
193614f2 14054 return 273;
e9dbdd80
TC
14055 }
14056 else
14057 {
14058 /* 33222222222211111111110000000000
14059 10987654321098765432109876543210
14060 0x001110xx1xxxxx011101xxxxxxxxxx
14061 sabd. */
193614f2 14062 return 281;
e9dbdd80 14063 }
a06ea964
NC
14064 }
14065 }
14066 }
14067 else
14068 {
e9dbdd80 14069 if (((word >> 12) & 0x1) == 0)
a06ea964 14070 {
e9dbdd80 14071 if (((word >> 13) & 0x1) == 0)
a06ea964 14072 {
e9dbdd80
TC
14073 if (((word >> 14) & 0x1) == 0)
14074 {
14075 /* 33222222222211111111110000000000
14076 10987654321098765432109876543210
14077 0x001110xx1xxxxx000011xxxxxxxxxx
14078 sqadd. */
193614f2 14079 return 269;
e9dbdd80
TC
14080 }
14081 else
14082 {
14083 /* 33222222222211111111110000000000
14084 10987654321098765432109876543210
14085 0x001110xx1xxxxx010011xxxxxxxxxx
14086 sqshl. */
193614f2 14087 return 276;
e9dbdd80
TC
14088 }
14089 }
14090 else
14091 {
14092 if (((word >> 14) & 0x1) == 0)
14093 {
14094 /* 33222222222211111111110000000000
14095 10987654321098765432109876543210
14096 0x001110xx1xxxxx001011xxxxxxxxxx
14097 sqsub. */
193614f2 14098 return 272;
e9dbdd80
TC
14099 }
14100 else
14101 {
14102 /* 33222222222211111111110000000000
14103 10987654321098765432109876543210
14104 0x001110xx1xxxxx011011xxxxxxxxxx
14105 smin. */
193614f2 14106 return 280;
e9dbdd80
TC
14107 }
14108 }
14109 }
14110 else
14111 {
14112 if (((word >> 13) & 0x1) == 0)
14113 {
14114 if (((word >> 14) & 0x1) == 0)
a06ea964 14115 {
e9dbdd80 14116 if (((word >> 22) & 0x1) == 0)
a06ea964 14117 {
e9dbdd80 14118 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14119 {
14120 /* 33222222222211111111110000000000
14121 10987654321098765432109876543210
e9dbdd80
TC
14122 0x001110001xxxxx000111xxxxxxxxxx
14123 and. */
193614f2 14124 return 305;
f3aa142b
MW
14125 }
14126 else
14127 {
14128 /* 33222222222211111111110000000000
14129 10987654321098765432109876543210
e9dbdd80
TC
14130 0x001110101xxxxx000111xxxxxxxxxx
14131 orr. */
193614f2 14132 return 317;
f3aa142b 14133 }
a06ea964
NC
14134 }
14135 else
14136 {
e9dbdd80 14137 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14138 {
14139 /* 33222222222211111111110000000000
14140 10987654321098765432109876543210
e9dbdd80
TC
14141 0x001110011xxxxx000111xxxxxxxxxx
14142 bic. */
193614f2 14143 return 306;
f3aa142b
MW
14144 }
14145 else
14146 {
14147 /* 33222222222211111111110000000000
14148 10987654321098765432109876543210
e9dbdd80
TC
14149 0x001110111xxxxx000111xxxxxxxxxx
14150 orn. */
193614f2 14151 return 319;
f3aa142b 14152 }
a06ea964
NC
14153 }
14154 }
14155 else
14156 {
e9dbdd80
TC
14157 /* 33222222222211111111110000000000
14158 10987654321098765432109876543210
14159 0x001110xx1xxxxx010111xxxxxxxxxx
14160 sqrshl. */
193614f2 14161 return 278;
a06ea964
NC
14162 }
14163 }
14164 else
f3aa142b 14165 {
e9dbdd80 14166 if (((word >> 14) & 0x1) == 0)
f3aa142b 14167 {
e9dbdd80
TC
14168 /* 33222222222211111111110000000000
14169 10987654321098765432109876543210
14170 0x001110xx1xxxxx001111xxxxxxxxxx
14171 cmge. */
193614f2 14172 return 274;
a06ea964
NC
14173 }
14174 else
14175 {
e9dbdd80
TC
14176 /* 33222222222211111111110000000000
14177 10987654321098765432109876543210
14178 0x001110xx1xxxxx011111xxxxxxxxxx
14179 saba. */
193614f2 14180 return 282;
a06ea964
NC
14181 }
14182 }
14183 }
14184 }
14185 }
14186 }
14187 else
14188 {
e9dbdd80
TC
14189 /* 33222222222211111111110000000000
14190 10987654321098765432109876543210
14191 1x001110xx1xxxxx0xxxxxxxxxxxxxxx
14192 bcax. */
b83b4b13 14193 return 2056;
e9dbdd80
TC
14194 }
14195 }
14196 else
14197 {
14198 if (((word >> 10) & 0x1) == 0)
14199 {
14200 if (((word >> 11) & 0x1) == 0)
a06ea964 14201 {
e9dbdd80 14202 if (((word >> 12) & 0x1) == 0)
a06ea964 14203 {
e9dbdd80 14204 if (((word >> 13) & 0x1) == 0)
a06ea964 14205 {
e9dbdd80 14206 if (((word >> 14) & 0x1) == 0)
a06ea964 14207 {
e9dbdd80 14208 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14209 {
14210 /* 33222222222211111111110000000000
14211 10987654321098765432109876543210
e9dbdd80
TC
14212 x0101110xx1xxxxx000000xxxxxxxxxx
14213 uaddl. */
193614f2 14214 return 76;
a06ea964
NC
14215 }
14216 else
14217 {
14218 /* 33222222222211111111110000000000
14219 10987654321098765432109876543210
e9dbdd80
TC
14220 x1101110xx1xxxxx000000xxxxxxxxxx
14221 uaddl2. */
193614f2 14222 return 77;
a06ea964
NC
14223 }
14224 }
14225 else
14226 {
e9dbdd80 14227 if (((word >> 30) & 0x1) == 0)
a06ea964 14228 {
e9dbdd80
TC
14229 /* 33222222222211111111110000000000
14230 10987654321098765432109876543210
14231 x0101110xx1xxxxx010000xxxxxxxxxx
14232 raddhn. */
193614f2 14233 return 84;
a06ea964
NC
14234 }
14235 else
14236 {
e9dbdd80
TC
14237 /* 33222222222211111111110000000000
14238 10987654321098765432109876543210
14239 x1101110xx1xxxxx010000xxxxxxxxxx
14240 raddhn2. */
193614f2 14241 return 85;
a06ea964
NC
14242 }
14243 }
14244 }
14245 else
14246 {
e9dbdd80 14247 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14248 {
14249 if (((word >> 30) & 0x1) == 0)
14250 {
14251 /* 33222222222211111111110000000000
14252 10987654321098765432109876543210
e9dbdd80
TC
14253 x0101110xx1xxxxx001000xxxxxxxxxx
14254 usubl. */
193614f2 14255 return 80;
a06ea964
NC
14256 }
14257 else
14258 {
14259 /* 33222222222211111111110000000000
14260 10987654321098765432109876543210
e9dbdd80
TC
14261 x1101110xx1xxxxx001000xxxxxxxxxx
14262 usubl2. */
193614f2 14263 return 81;
a06ea964
NC
14264 }
14265 }
14266 else
14267 {
e9dbdd80
TC
14268 if (((word >> 30) & 0x1) == 0)
14269 {
14270 /* 33222222222211111111110000000000
14271 10987654321098765432109876543210
14272 x0101110xx1xxxxx011000xxxxxxxxxx
14273 rsubhn. */
193614f2 14274 return 88;
e9dbdd80
TC
14275 }
14276 else
14277 {
14278 /* 33222222222211111111110000000000
14279 10987654321098765432109876543210
14280 x1101110xx1xxxxx011000xxxxxxxxxx
14281 rsubhn2. */
193614f2 14282 return 89;
e9dbdd80 14283 }
a06ea964
NC
14284 }
14285 }
14286 }
14287 else
14288 {
e9dbdd80 14289 if (((word >> 13) & 0x1) == 0)
a06ea964 14290 {
e9dbdd80 14291 if (((word >> 14) & 0x1) == 0)
a06ea964 14292 {
e9dbdd80 14293 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14294 {
14295 /* 33222222222211111111110000000000
14296 10987654321098765432109876543210
e9dbdd80
TC
14297 x0101110xx1xxxxx000100xxxxxxxxxx
14298 uaddw. */
193614f2 14299 return 78;
a06ea964
NC
14300 }
14301 else
14302 {
14303 /* 33222222222211111111110000000000
14304 10987654321098765432109876543210
e9dbdd80
TC
14305 x1101110xx1xxxxx000100xxxxxxxxxx
14306 uaddw2. */
193614f2 14307 return 79;
a06ea964
NC
14308 }
14309 }
14310 else
14311 {
e9dbdd80 14312 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14313 {
14314 /* 33222222222211111111110000000000
14315 10987654321098765432109876543210
e9dbdd80
TC
14316 x0101110xx1xxxxx010100xxxxxxxxxx
14317 uabal. */
193614f2 14318 return 86;
a06ea964
NC
14319 }
14320 else
14321 {
14322 /* 33222222222211111111110000000000
14323 10987654321098765432109876543210
e9dbdd80
TC
14324 x1101110xx1xxxxx010100xxxxxxxxxx
14325 uabal2. */
193614f2 14326 return 87;
a06ea964
NC
14327 }
14328 }
14329 }
14330 else
14331 {
e9dbdd80 14332 if (((word >> 14) & 0x1) == 0)
a06ea964 14333 {
e9dbdd80 14334 if (((word >> 30) & 0x1) == 0)
a06ea964 14335 {
e9dbdd80
TC
14336 /* 33222222222211111111110000000000
14337 10987654321098765432109876543210
14338 x0101110xx1xxxxx001100xxxxxxxxxx
14339 usubw. */
193614f2 14340 return 82;
a06ea964
NC
14341 }
14342 else
14343 {
e9dbdd80
TC
14344 /* 33222222222211111111110000000000
14345 10987654321098765432109876543210
14346 x1101110xx1xxxxx001100xxxxxxxxxx
14347 usubw2. */
193614f2 14348 return 83;
a06ea964
NC
14349 }
14350 }
14351 else
14352 {
e9dbdd80 14353 if (((word >> 30) & 0x1) == 0)
a06ea964 14354 {
e9dbdd80
TC
14355 /* 33222222222211111111110000000000
14356 10987654321098765432109876543210
14357 x0101110xx1xxxxx011100xxxxxxxxxx
14358 uabdl. */
193614f2 14359 return 90;
a06ea964
NC
14360 }
14361 else
14362 {
e9dbdd80
TC
14363 /* 33222222222211111111110000000000
14364 10987654321098765432109876543210
14365 x1101110xx1xxxxx011100xxxxxxxxxx
14366 uabdl2. */
193614f2 14367 return 91;
a06ea964
NC
14368 }
14369 }
14370 }
14371 }
14372 }
14373 else
14374 {
e9dbdd80 14375 if (((word >> 12) & 0x1) == 0)
a06ea964 14376 {
e9dbdd80 14377 if (((word >> 13) & 0x1) == 0)
a06ea964 14378 {
e9dbdd80 14379 if (((word >> 14) & 0x1) == 0)
a06ea964 14380 {
e9dbdd80
TC
14381 /* 33222222222211111111110000000000
14382 10987654321098765432109876543210
14383 xx101110xx1xxxxx000010xxxxxxxxxx
14384 rev32. */
193614f2 14385 return 213;
e9dbdd80
TC
14386 }
14387 else
14388 {
14389 if (((word >> 16) & 0x1) == 0)
a06ea964 14390 {
e9dbdd80
TC
14391 /* 33222222222211111111110000000000
14392 10987654321098765432109876543210
14393 xx101110xx1xxxx0010010xxxxxxxxxx
14394 clz. */
193614f2 14395 return 216;
e9dbdd80
TC
14396 }
14397 else
14398 {
14399 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14400 {
14401 /* 33222222222211111111110000000000
14402 10987654321098765432109876543210
e9dbdd80
TC
14403 x0101110xx1xxxx1010010xxxxxxxxxx
14404 uqxtn. */
193614f2 14405 return 226;
a06ea964
NC
14406 }
14407 else
14408 {
14409 /* 33222222222211111111110000000000
14410 10987654321098765432109876543210
e9dbdd80
TC
14411 x1101110xx1xxxx1010010xxxxxxxxxx
14412 uqxtn2. */
193614f2 14413 return 227;
a06ea964
NC
14414 }
14415 }
e9dbdd80
TC
14416 }
14417 }
14418 else
14419 {
14420 if (((word >> 14) & 0x1) == 0)
14421 {
14422 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
14423 {
14424 /* 33222222222211111111110000000000
14425 10987654321098765432109876543210
e9dbdd80
TC
14426 xx101110xx1xxxx0001010xxxxxxxxxx
14427 uaddlp. */
193614f2 14428 return 214;
a06ea964 14429 }
e9dbdd80 14430 else
a06ea964
NC
14431 {
14432 if (((word >> 30) & 0x1) == 0)
14433 {
14434 /* 33222222222211111111110000000000
14435 10987654321098765432109876543210
e9dbdd80
TC
14436 x0101110xx1xxxx1001010xxxxxxxxxx
14437 sqxtun. */
193614f2 14438 return 222;
a06ea964
NC
14439 }
14440 else
14441 {
14442 /* 33222222222211111111110000000000
14443 10987654321098765432109876543210
e9dbdd80
TC
14444 x1101110xx1xxxx1001010xxxxxxxxxx
14445 sqxtun2. */
193614f2 14446 return 223;
a06ea964
NC
14447 }
14448 }
e9dbdd80
TC
14449 }
14450 else
14451 {
14452 if (((word >> 16) & 0x1) == 0)
14453 {
14454 /* 33222222222211111111110000000000
14455 10987654321098765432109876543210
14456 xx101110xx1xxxx0011010xxxxxxxxxx
14457 uadalp. */
193614f2 14458 return 217;
e9dbdd80 14459 }
a06ea964
NC
14460 else
14461 {
14462 if (((word >> 30) & 0x1) == 0)
14463 {
14464 /* 33222222222211111111110000000000
14465 10987654321098765432109876543210
7684e580 14466 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14467 fcvtxn. */
193614f2 14468 return 228;
a06ea964
NC
14469 }
14470 else
14471 {
14472 /* 33222222222211111111110000000000
14473 10987654321098765432109876543210
7684e580 14474 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14475 fcvtxn2. */
193614f2 14476 return 229;
a06ea964
NC
14477 }
14478 }
14479 }
14480 }
a06ea964
NC
14481 }
14482 else
14483 {
e9dbdd80 14484 if (((word >> 13) & 0x1) == 0)
a06ea964 14485 {
e9dbdd80 14486 if (((word >> 22) & 0x1) == 0)
f3aa142b
MW
14487 {
14488 /* 33222222222211111111110000000000
14489 10987654321098765432109876543210
e9dbdd80
TC
14490 xx101110x01xxxxx0x0110xxxxxxxxxx
14491 not. */
193614f2 14492 return 242;
f3aa142b
MW
14493 }
14494 else
14495 {
14496 /* 33222222222211111111110000000000
14497 10987654321098765432109876543210
e9dbdd80
TC
14498 xx101110x11xxxxx0x0110xxxxxxxxxx
14499 rbit. */
193614f2 14500 return 244;
f3aa142b 14501 }
a06ea964
NC
14502 }
14503 else
14504 {
e9dbdd80 14505 if (((word >> 14) & 0x1) == 0)
80776b29 14506 {
e9dbdd80
TC
14507 if (((word >> 16) & 0x1) == 0)
14508 {
14509 if (((word >> 20) & 0x1) == 0)
14510 {
14511 /* 33222222222211111111110000000000
14512 10987654321098765432109876543210
14513 xx101110xx10xxx0001110xxxxxxxxxx
14514 usqadd. */
193614f2 14515 return 215;
e9dbdd80
TC
14516 }
14517 else
14518 {
14519 /* 33222222222211111111110000000000
14520 10987654321098765432109876543210
14521 xx101110xx11xxx0001110xxxxxxxxxx
14522 uaddlv. */
193614f2 14523 return 33;
e9dbdd80
TC
14524 }
14525 }
14526 else
14527 {
14528 if (((word >> 30) & 0x1) == 0)
14529 {
14530 /* 33222222222211111111110000000000
14531 10987654321098765432109876543210
14532 x0101110xx1xxxx1001110xxxxxxxxxx
14533 shll. */
193614f2 14534 return 224;
e9dbdd80
TC
14535 }
14536 else
14537 {
14538 /* 33222222222211111111110000000000
14539 10987654321098765432109876543210
14540 x1101110xx1xxxx1001110xxxxxxxxxx
14541 shll2. */
193614f2 14542 return 225;
e9dbdd80
TC
14543 }
14544 }
14545 }
14546 else
14547 {
14548 /* 33222222222211111111110000000000
14549 10987654321098765432109876543210
14550 xx101110xx1xxxxx011110xxxxxxxxxx
14551 sqneg. */
193614f2 14552 return 218;
e9dbdd80 14553 }
a06ea964
NC
14554 }
14555 }
14556 }
14557 }
e9dbdd80 14558 else
a06ea964 14559 {
e9dbdd80 14560 if (((word >> 11) & 0x1) == 0)
a06ea964 14561 {
e9dbdd80 14562 if (((word >> 12) & 0x1) == 0)
a06ea964 14563 {
e9dbdd80 14564 if (((word >> 13) & 0x1) == 0)
a06ea964 14565 {
e9dbdd80
TC
14566 if (((word >> 14) & 0x1) == 0)
14567 {
14568 /* 33222222222211111111110000000000
14569 10987654321098765432109876543210
14570 xx101110xx1xxxxx000001xxxxxxxxxx
14571 uhadd. */
193614f2 14572 return 320;
e9dbdd80
TC
14573 }
14574 else
14575 {
14576 /* 33222222222211111111110000000000
14577 10987654321098765432109876543210
14578 xx101110xx1xxxxx010001xxxxxxxxxx
14579 ushl. */
193614f2 14580 return 327;
e9dbdd80 14581 }
a06ea964
NC
14582 }
14583 else
14584 {
e9dbdd80 14585 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14586 {
14587 /* 33222222222211111111110000000000
14588 10987654321098765432109876543210
e9dbdd80
TC
14589 xx101110xx1xxxxx001001xxxxxxxxxx
14590 uhsub. */
193614f2 14591 return 323;
a06ea964
NC
14592 }
14593 else
14594 {
14595 /* 33222222222211111111110000000000
14596 10987654321098765432109876543210
e9dbdd80
TC
14597 xx101110xx1xxxxx011001xxxxxxxxxx
14598 umax. */
193614f2 14599 return 331;
a06ea964
NC
14600 }
14601 }
14602 }
14603 else
14604 {
e9dbdd80 14605 if (((word >> 13) & 0x1) == 0)
a06ea964 14606 {
e9dbdd80 14607 if (((word >> 14) & 0x1) == 0)
a06ea964 14608 {
e9dbdd80
TC
14609 /* 33222222222211111111110000000000
14610 10987654321098765432109876543210
14611 xx101110xx1xxxxx000101xxxxxxxxxx
14612 urhadd. */
193614f2 14613 return 322;
a06ea964
NC
14614 }
14615 else
14616 {
e9dbdd80
TC
14617 /* 33222222222211111111110000000000
14618 10987654321098765432109876543210
14619 xx101110xx1xxxxx010101xxxxxxxxxx
14620 urshl. */
193614f2 14621 return 329;
a06ea964
NC
14622 }
14623 }
14624 else
14625 {
e9dbdd80 14626 if (((word >> 14) & 0x1) == 0)
a06ea964 14627 {
e9dbdd80
TC
14628 /* 33222222222211111111110000000000
14629 10987654321098765432109876543210
14630 xx101110xx1xxxxx001101xxxxxxxxxx
14631 cmhi. */
193614f2 14632 return 325;
a06ea964
NC
14633 }
14634 else
14635 {
e9dbdd80
TC
14636 /* 33222222222211111111110000000000
14637 10987654321098765432109876543210
14638 xx101110xx1xxxxx011101xxxxxxxxxx
14639 uabd. */
193614f2 14640 return 333;
e9dbdd80
TC
14641 }
14642 }
14643 }
14644 }
14645 else
14646 {
14647 if (((word >> 12) & 0x1) == 0)
14648 {
14649 if (((word >> 13) & 0x1) == 0)
14650 {
14651 if (((word >> 14) & 0x1) == 0)
14652 {
14653 /* 33222222222211111111110000000000
14654 10987654321098765432109876543210
14655 xx101110xx1xxxxx000011xxxxxxxxxx
14656 uqadd. */
193614f2 14657 return 321;
e9dbdd80
TC
14658 }
14659 else
14660 {
14661 /* 33222222222211111111110000000000
14662 10987654321098765432109876543210
14663 xx101110xx1xxxxx010011xxxxxxxxxx
14664 uqshl. */
193614f2 14665 return 328;
e9dbdd80
TC
14666 }
14667 }
14668 else
14669 {
14670 if (((word >> 14) & 0x1) == 0)
14671 {
14672 /* 33222222222211111111110000000000
14673 10987654321098765432109876543210
14674 xx101110xx1xxxxx001011xxxxxxxxxx
14675 uqsub. */
193614f2 14676 return 324;
e9dbdd80
TC
14677 }
14678 else
14679 {
14680 /* 33222222222211111111110000000000
14681 10987654321098765432109876543210
14682 xx101110xx1xxxxx011011xxxxxxxxxx
14683 umin. */
193614f2 14684 return 332;
e9dbdd80
TC
14685 }
14686 }
14687 }
14688 else
14689 {
14690 if (((word >> 13) & 0x1) == 0)
14691 {
14692 if (((word >> 14) & 0x1) == 0)
14693 {
14694 if (((word >> 22) & 0x1) == 0)
a06ea964 14695 {
e9dbdd80 14696 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14697 {
14698 /* 33222222222211111111110000000000
14699 10987654321098765432109876543210
e9dbdd80
TC
14700 xx101110001xxxxx000111xxxxxxxxxx
14701 eor. */
193614f2 14702 return 356;
f3aa142b
MW
14703 }
14704 else
14705 {
14706 /* 33222222222211111111110000000000
14707 10987654321098765432109876543210
e9dbdd80
TC
14708 xx101110101xxxxx000111xxxxxxxxxx
14709 bit. */
193614f2 14710 return 368;
f3aa142b 14711 }
a06ea964
NC
14712 }
14713 else
14714 {
e9dbdd80 14715 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14716 {
14717 /* 33222222222211111111110000000000
14718 10987654321098765432109876543210
e9dbdd80
TC
14719 xx101110011xxxxx000111xxxxxxxxxx
14720 bsl. */
193614f2 14721 return 357;
f3aa142b
MW
14722 }
14723 else
14724 {
14725 /* 33222222222211111111110000000000
14726 10987654321098765432109876543210
e9dbdd80
TC
14727 xx101110111xxxxx000111xxxxxxxxxx
14728 bif. */
193614f2 14729 return 369;
f3aa142b 14730 }
a06ea964
NC
14731 }
14732 }
e9dbdd80
TC
14733 else
14734 {
14735 /* 33222222222211111111110000000000
14736 10987654321098765432109876543210
14737 xx101110xx1xxxxx010111xxxxxxxxxx
14738 uqrshl. */
193614f2 14739 return 330;
e9dbdd80 14740 }
a06ea964 14741 }
e9dbdd80 14742 else
a06ea964 14743 {
e9dbdd80 14744 if (((word >> 14) & 0x1) == 0)
a06ea964 14745 {
e9dbdd80
TC
14746 /* 33222222222211111111110000000000
14747 10987654321098765432109876543210
14748 xx101110xx1xxxxx001111xxxxxxxxxx
14749 cmhs. */
193614f2 14750 return 326;
e9dbdd80
TC
14751 }
14752 else
14753 {
14754 /* 33222222222211111111110000000000
14755 10987654321098765432109876543210
14756 xx101110xx1xxxxx011111xxxxxxxxxx
14757 uaba. */
193614f2 14758 return 334;
e9dbdd80
TC
14759 }
14760 }
14761 }
14762 }
14763 }
14764 }
14765 }
14766 else
14767 {
14768 if (((word >> 10) & 0x1) == 0)
14769 {
14770 if (((word >> 11) & 0x1) == 0)
14771 {
14772 if (((word >> 12) & 0x1) == 0)
14773 {
14774 if (((word >> 13) & 0x1) == 0)
14775 {
14776 if (((word >> 14) & 0x1) == 0)
14777 {
14778 if (((word >> 29) & 0x1) == 0)
14779 {
14780 if (((word >> 30) & 0x1) == 0)
14781 {
a06ea964
NC
14782 /* 33222222222211111111110000000000
14783 10987654321098765432109876543210
e9dbdd80
TC
14784 x0001110xx1xxxxx100000xxxxxxxxxx
14785 smlal. */
193614f2 14786 return 60;
a06ea964
NC
14787 }
14788 else
14789 {
e9dbdd80 14790 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
14791 {
14792 /* 33222222222211111111110000000000
14793 10987654321098765432109876543210
e9dbdd80
TC
14794 01001110xx1xxxxx100000xxxxxxxxxx
14795 smlal2. */
193614f2 14796 return 61;
a06ea964
NC
14797 }
14798 else
14799 {
14800 /* 33222222222211111111110000000000
14801 10987654321098765432109876543210
e9dbdd80
TC
14802 11001110xx1xxxxx100000xxxxxxxxxx
14803 sha512h. */
b83b4b13 14804 return 2049;
a06ea964
NC
14805 }
14806 }
14807 }
14808 else
14809 {
e9dbdd80
TC
14810 if (((word >> 30) & 0x1) == 0)
14811 {
14812 /* 33222222222211111111110000000000
14813 10987654321098765432109876543210
14814 x0101110xx1xxxxx100000xxxxxxxxxx
14815 umlal. */
193614f2 14816 return 92;
e9dbdd80
TC
14817 }
14818 else
14819 {
14820 /* 33222222222211111111110000000000
14821 10987654321098765432109876543210
14822 x1101110xx1xxxxx100000xxxxxxxxxx
14823 umlal2. */
193614f2 14824 return 93;
e9dbdd80 14825 }
a06ea964
NC
14826 }
14827 }
14828 else
14829 {
e9dbdd80 14830 if (((word >> 29) & 0x1) == 0)
a06ea964 14831 {
e9dbdd80 14832 if (((word >> 30) & 0x1) == 0)
a06ea964 14833 {
e9dbdd80
TC
14834 /* 33222222222211111111110000000000
14835 10987654321098765432109876543210
14836 x0001110xx1xxxxx110000xxxxxxxxxx
14837 smull. */
193614f2 14838 return 68;
a06ea964
NC
14839 }
14840 else
14841 {
e9dbdd80 14842 if (((word >> 31) & 0x1) == 0)
b195470d
MW
14843 {
14844 /* 33222222222211111111110000000000
14845 10987654321098765432109876543210
e9dbdd80
TC
14846 01001110xx1xxxxx110000xxxxxxxxxx
14847 smull2. */
193614f2 14848 return 69;
b195470d
MW
14849 }
14850 else
14851 {
14852 /* 33222222222211111111110000000000
14853 10987654321098765432109876543210
e9dbdd80
TC
14854 11001110xx1xxxxx110000xxxxxxxxxx
14855 sm3partw1. */
b83b4b13 14856 return 2062;
b195470d 14857 }
a06ea964
NC
14858 }
14859 }
14860 else
14861 {
e9dbdd80 14862 if (((word >> 30) & 0x1) == 0)
f3aa142b 14863 {
e9dbdd80
TC
14864 /* 33222222222211111111110000000000
14865 10987654321098765432109876543210
14866 x0101110xx1xxxxx110000xxxxxxxxxx
14867 umull. */
193614f2 14868 return 96;
f3aa142b
MW
14869 }
14870 else
14871 {
e9dbdd80
TC
14872 /* 33222222222211111111110000000000
14873 10987654321098765432109876543210
14874 x1101110xx1xxxxx110000xxxxxxxxxx
14875 umull2. */
193614f2 14876 return 97;
f3aa142b 14877 }
a06ea964
NC
14878 }
14879 }
e9dbdd80
TC
14880 }
14881 else
14882 {
14883 if (((word >> 14) & 0x1) == 0)
a06ea964 14884 {
e9dbdd80 14885 if (((word >> 29) & 0x1) == 0)
a06ea964 14886 {
e9dbdd80 14887 if (((word >> 30) & 0x1) == 0)
a06ea964 14888 {
e9dbdd80
TC
14889 /* 33222222222211111111110000000000
14890 10987654321098765432109876543210
14891 x0001110xx1xxxxx101000xxxxxxxxxx
14892 smlsl. */
193614f2 14893 return 64;
a06ea964
NC
14894 }
14895 else
14896 {
e9dbdd80
TC
14897 /* 33222222222211111111110000000000
14898 10987654321098765432109876543210
14899 x1001110xx1xxxxx101000xxxxxxxxxx
14900 smlsl2. */
193614f2 14901 return 65;
a06ea964
NC
14902 }
14903 }
14904 else
14905 {
e9dbdd80 14906 if (((word >> 30) & 0x1) == 0)
a06ea964 14907 {
e9dbdd80
TC
14908 /* 33222222222211111111110000000000
14909 10987654321098765432109876543210
14910 x0101110xx1xxxxx101000xxxxxxxxxx
14911 umlsl. */
193614f2 14912 return 94;
a06ea964
NC
14913 }
14914 else
14915 {
e9dbdd80
TC
14916 /* 33222222222211111111110000000000
14917 10987654321098765432109876543210
14918 x1101110xx1xxxxx101000xxxxxxxxxx
14919 umlsl2. */
193614f2 14920 return 95;
a06ea964
NC
14921 }
14922 }
14923 }
e9dbdd80 14924 else
a06ea964 14925 {
e9dbdd80 14926 if (((word >> 22) & 0x1) == 0)
a06ea964
NC
14927 {
14928 if (((word >> 30) & 0x1) == 0)
14929 {
14930 /* 33222222222211111111110000000000
14931 10987654321098765432109876543210
e9dbdd80
TC
14932 x0x01110x01xxxxx111000xxxxxxxxxx
14933 pmull. */
193614f2 14934 return 72;
a06ea964
NC
14935 }
14936 else
14937 {
14938 /* 33222222222211111111110000000000
14939 10987654321098765432109876543210
e9dbdd80
TC
14940 x1x01110x01xxxxx111000xxxxxxxxxx
14941 pmull2. */
193614f2 14942 return 74;
a06ea964
NC
14943 }
14944 }
e9dbdd80 14945 else
a06ea964
NC
14946 {
14947 if (((word >> 30) & 0x1) == 0)
14948 {
14949 /* 33222222222211111111110000000000
14950 10987654321098765432109876543210
e9dbdd80
TC
14951 x0x01110x11xxxxx111000xxxxxxxxxx
14952 pmull. */
193614f2 14953 return 73;
a06ea964
NC
14954 }
14955 else
14956 {
14957 /* 33222222222211111111110000000000
14958 10987654321098765432109876543210
e9dbdd80
TC
14959 x1x01110x11xxxxx111000xxxxxxxxxx
14960 pmull2. */
193614f2 14961 return 75;
a06ea964
NC
14962 }
14963 }
e9dbdd80
TC
14964 }
14965 }
14966 }
14967 else
14968 {
14969 if (((word >> 13) & 0x1) == 0)
14970 {
14971 if (((word >> 14) & 0x1) == 0)
14972 {
14973 if (((word >> 30) & 0x1) == 0)
14974 {
14975 /* 33222222222211111111110000000000
14976 10987654321098765432109876543210
14977 x0x01110xx1xxxxx100100xxxxxxxxxx
14978 sqdmlal. */
193614f2 14979 return 62;
e9dbdd80 14980 }
a06ea964
NC
14981 else
14982 {
14983 /* 33222222222211111111110000000000
14984 10987654321098765432109876543210
e9dbdd80
TC
14985 x1x01110xx1xxxxx100100xxxxxxxxxx
14986 sqdmlal2. */
193614f2 14987 return 63;
a06ea964
NC
14988 }
14989 }
e9dbdd80 14990 else
a06ea964 14991 {
e9dbdd80 14992 if (((word >> 30) & 0x1) == 0)
a06ea964 14993 {
e9dbdd80
TC
14994 /* 33222222222211111111110000000000
14995 10987654321098765432109876543210
14996 x0x01110xx1xxxxx110100xxxxxxxxxx
14997 sqdmull. */
193614f2 14998 return 70;
a06ea964
NC
14999 }
15000 else
15001 {
e9dbdd80
TC
15002 /* 33222222222211111111110000000000
15003 10987654321098765432109876543210
15004 x1x01110xx1xxxxx110100xxxxxxxxxx
15005 sqdmull2. */
193614f2 15006 return 71;
a06ea964
NC
15007 }
15008 }
e9dbdd80
TC
15009 }
15010 else
15011 {
15012 if (((word >> 30) & 0x1) == 0)
15013 {
15014 /* 33222222222211111111110000000000
15015 10987654321098765432109876543210
15016 x0x01110xx1xxxxx1x1100xxxxxxxxxx
15017 sqdmlsl. */
193614f2 15018 return 66;
e9dbdd80 15019 }
a06ea964
NC
15020 else
15021 {
e9dbdd80
TC
15022 /* 33222222222211111111110000000000
15023 10987654321098765432109876543210
15024 x1x01110xx1xxxxx1x1100xxxxxxxxxx
15025 sqdmlsl2. */
193614f2 15026 return 67;
e9dbdd80
TC
15027 }
15028 }
15029 }
15030 }
15031 else
15032 {
15033 if (((word >> 12) & 0x1) == 0)
15034 {
15035 if (((word >> 13) & 0x1) == 0)
15036 {
15037 if (((word >> 14) & 0x1) == 0)
15038 {
15039 if (((word >> 29) & 0x1) == 0)
a06ea964 15040 {
e9dbdd80 15041 if (((word >> 31) & 0x1) == 0)
a06ea964 15042 {
e9dbdd80
TC
15043 if (((word >> 16) & 0x1) == 0)
15044 {
15045 /* 33222222222211111111110000000000
15046 10987654321098765432109876543210
15047 0x001110xx1xxxx0100010xxxxxxxxxx
15048 cmgt. */
193614f2 15049 return 170;
e9dbdd80
TC
15050 }
15051 else
a06ea964 15052 {
e9dbdd80 15053 if (((word >> 19) & 0x1) == 0)
a06ea964 15054 {
e9dbdd80 15055 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
15056 {
15057 /* 33222222222211111111110000000000
15058 10987654321098765432109876543210
e9dbdd80
TC
15059 0x0011100x1x0xx1100010xxxxxxxxxx
15060 frintn. */
193614f2 15061 return 182;
f3aa142b
MW
15062 }
15063 else
15064 {
15065 /* 33222222222211111111110000000000
15066 10987654321098765432109876543210
e9dbdd80
TC
15067 0x0011101x1x0xx1100010xxxxxxxxxx
15068 frintp. */
193614f2 15069 return 202;
f3aa142b 15070 }
a06ea964
NC
15071 }
15072 else
15073 {
e9dbdd80 15074 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
15075 {
15076 /* 33222222222211111111110000000000
15077 10987654321098765432109876543210
e9dbdd80
TC
15078 0x0011100x1x1xx1100010xxxxxxxxxx
15079 frintn. */
193614f2 15080 return 183;
f3aa142b
MW
15081 }
15082 else
15083 {
15084 /* 33222222222211111111110000000000
15085 10987654321098765432109876543210
e9dbdd80
TC
15086 0x0011101x1x1xx1100010xxxxxxxxxx
15087 frintp. */
193614f2 15088 return 203;
f3aa142b 15089 }
a06ea964
NC
15090 }
15091 }
15092 }
15093 else
15094 {
e9dbdd80
TC
15095 /* 33222222222211111111110000000000
15096 10987654321098765432109876543210
15097 1x001110xx1xxxxx100010xxxxxxxxxx
15098 sha512su1. */
b83b4b13 15099 return 2052;
e9dbdd80
TC
15100 }
15101 }
15102 else
15103 {
15104 if (((word >> 16) & 0x1) == 0)
15105 {
15106 /* 33222222222211111111110000000000
15107 10987654321098765432109876543210
15108 xx101110xx1xxxx0100010xxxxxxxxxx
15109 cmge. */
193614f2 15110 return 219;
e9dbdd80
TC
15111 }
15112 else
15113 {
15114 if (((word >> 19) & 0x1) == 0)
a06ea964 15115 {
f3aa142b
MW
15116 /* 33222222222211111111110000000000
15117 10987654321098765432109876543210
e9dbdd80
TC
15118 xx101110xx1x0xx1100010xxxxxxxxxx
15119 frinta. */
193614f2 15120 return 230;
a06ea964
NC
15121 }
15122 else
15123 {
f3aa142b
MW
15124 /* 33222222222211111111110000000000
15125 10987654321098765432109876543210
e9dbdd80
TC
15126 xx101110xx1x1xx1100010xxxxxxxxxx
15127 frinta. */
193614f2 15128 return 231;
a06ea964
NC
15129 }
15130 }
15131 }
e9dbdd80
TC
15132 }
15133 else
15134 {
15135 if (((word >> 23) & 0x1) == 0)
a06ea964 15136 {
e9dbdd80 15137 if (((word >> 29) & 0x1) == 0)
a06ea964 15138 {
e9dbdd80 15139 if (((word >> 31) & 0x1) == 0)
f3aa142b 15140 {
e9dbdd80 15141 if (((word >> 16) & 0x1) == 0)
80776b29
MW
15142 {
15143 /* 33222222222211111111110000000000
15144 10987654321098765432109876543210
e9dbdd80
TC
15145 0x0011100x1xxxx0110010xxxxxxxxxx
15146 fmaxnmv. */
193614f2 15147 return 37;
80776b29
MW
15148 }
15149 else
15150 {
e9dbdd80
TC
15151 if (((word >> 19) & 0x1) == 0)
15152 {
15153 /* 33222222222211111111110000000000
15154 10987654321098765432109876543210
15155 0x0011100x1x0xx1110010xxxxxxxxxx
15156 fcvtas. */
193614f2 15157 return 190;
e9dbdd80
TC
15158 }
15159 else
15160 {
15161 /* 33222222222211111111110000000000
15162 10987654321098765432109876543210
15163 0x0011100x1x1xx1110010xxxxxxxxxx
15164 fcvtas. */
193614f2 15165 return 191;
e9dbdd80 15166 }
80776b29 15167 }
f3aa142b
MW
15168 }
15169 else
15170 {
e9dbdd80
TC
15171 /* 33222222222211111111110000000000
15172 10987654321098765432109876543210
15173 1x0011100x1xxxxx110010xxxxxxxxxx
15174 sm4ekey. */
b83b4b13 15175 return 2065;
e9dbdd80
TC
15176 }
15177 }
15178 else
15179 {
15180 if (((word >> 16) & 0x1) == 0)
15181 {
15182 /* 33222222222211111111110000000000
15183 10987654321098765432109876543210
15184 xx1011100x1xxxx0110010xxxxxxxxxx
15185 fmaxnmv. */
193614f2 15186 return 36;
e9dbdd80
TC
15187 }
15188 else
15189 {
15190 if (((word >> 19) & 0x1) == 0)
80776b29
MW
15191 {
15192 /* 33222222222211111111110000000000
15193 10987654321098765432109876543210
e9dbdd80
TC
15194 xx1011100x1x0xx1110010xxxxxxxxxx
15195 fcvtau. */
193614f2 15196 return 238;
80776b29
MW
15197 }
15198 else
15199 {
15200 /* 33222222222211111111110000000000
15201 10987654321098765432109876543210
e9dbdd80
TC
15202 xx1011100x1x1xx1110010xxxxxxxxxx
15203 fcvtau. */
193614f2 15204 return 239;
80776b29 15205 }
f3aa142b 15206 }
a06ea964 15207 }
e9dbdd80
TC
15208 }
15209 else
15210 {
15211 if (((word >> 16) & 0x1) == 0)
a06ea964 15212 {
e9dbdd80 15213 if (((word >> 19) & 0x1) == 0)
f3aa142b 15214 {
e9dbdd80 15215 if (((word >> 20) & 0x1) == 0)
80776b29 15216 {
e9dbdd80
TC
15217 if (((word >> 29) & 0x1) == 0)
15218 {
15219 /* 33222222222211111111110000000000
15220 10987654321098765432109876543210
15221 xx0011101x100xx0110010xxxxxxxxxx
15222 fcmgt. */
193614f2 15223 return 194;
e9dbdd80
TC
15224 }
15225 else
15226 {
15227 /* 33222222222211111111110000000000
15228 10987654321098765432109876543210
15229 xx1011101x100xx0110010xxxxxxxxxx
15230 fcmge. */
193614f2 15231 return 245;
e9dbdd80 15232 }
80776b29
MW
15233 }
15234 else
15235 {
e9dbdd80
TC
15236 if (((word >> 29) & 0x1) == 0)
15237 {
15238 /* 33222222222211111111110000000000
15239 10987654321098765432109876543210
15240 xx0011101x110xx0110010xxxxxxxxxx
15241 fminnmv. */
193614f2 15242 return 41;
e9dbdd80
TC
15243 }
15244 else
15245 {
15246 /* 33222222222211111111110000000000
15247 10987654321098765432109876543210
15248 xx1011101x110xx0110010xxxxxxxxxx
15249 fminnmv. */
193614f2 15250 return 40;
e9dbdd80 15251 }
80776b29 15252 }
f3aa142b
MW
15253 }
15254 else
15255 {
80776b29
MW
15256 if (((word >> 29) & 0x1) == 0)
15257 {
15258 /* 33222222222211111111110000000000
15259 10987654321098765432109876543210
e9dbdd80
TC
15260 xx0011101x1x1xx0110010xxxxxxxxxx
15261 fcmgt. */
193614f2 15262 return 195;
80776b29
MW
15263 }
15264 else
15265 {
15266 /* 33222222222211111111110000000000
15267 10987654321098765432109876543210
e9dbdd80
TC
15268 xx1011101x1x1xx0110010xxxxxxxxxx
15269 fcmge. */
193614f2 15270 return 246;
80776b29 15271 }
f3aa142b 15272 }
a06ea964 15273 }
e9dbdd80 15274 else
a06ea964
NC
15275 {
15276 if (((word >> 29) & 0x1) == 0)
15277 {
15278 /* 33222222222211111111110000000000
15279 10987654321098765432109876543210
e9dbdd80
TC
15280 xx0011101x1xxxx1110010xxxxxxxxxx
15281 urecpe. */
193614f2 15282 return 210;
a06ea964
NC
15283 }
15284 else
15285 {
15286 /* 33222222222211111111110000000000
15287 10987654321098765432109876543210
e9dbdd80
TC
15288 xx1011101x1xxxx1110010xxxxxxxxxx
15289 ursqrte. */
193614f2 15290 return 257;
a06ea964
NC
15291 }
15292 }
a06ea964
NC
15293 }
15294 }
e9dbdd80
TC
15295 }
15296 else
15297 {
15298 if (((word >> 14) & 0x1) == 0)
a06ea964 15299 {
e9dbdd80 15300 if (((word >> 16) & 0x1) == 0)
a06ea964 15301 {
e9dbdd80 15302 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
15303 {
15304 /* 33222222222211111111110000000000
15305 10987654321098765432109876543210
e9dbdd80
TC
15306 xxx01110xx10xxx0101010xxxxxxxxxx
15307 cmlt. */
193614f2 15308 return 172;
a06ea964
NC
15309 }
15310 else
a06ea964 15311 {
f3aa142b 15312 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
15313 {
15314 /* 33222222222211111111110000000000
15315 10987654321098765432109876543210
e9dbdd80
TC
15316 xx001110xx11xxx0101010xxxxxxxxxx
15317 smaxv. */
193614f2 15318 return 30;
a06ea964
NC
15319 }
15320 else
15321 {
15322 /* 33222222222211111111110000000000
15323 10987654321098765432109876543210
e9dbdd80
TC
15324 xx101110xx11xxx0101010xxxxxxxxxx
15325 umaxv. */
193614f2 15326 return 34;
a06ea964
NC
15327 }
15328 }
e9dbdd80
TC
15329 }
15330 else
15331 {
15332 if (((word >> 19) & 0x1) == 0)
a06ea964 15333 {
e9dbdd80 15334 if (((word >> 20) & 0x1) == 0)
a06ea964 15335 {
e9dbdd80 15336 if (((word >> 23) & 0x1) == 0)
f3aa142b 15337 {
bb515fea
MW
15338 if (((word >> 29) & 0x1) == 0)
15339 {
15340 /* 33222222222211111111110000000000
15341 10987654321098765432109876543210
e9dbdd80
TC
15342 xx0011100x100xx1101010xxxxxxxxxx
15343 fcvtns. */
193614f2 15344 return 186;
bb515fea
MW
15345 }
15346 else
15347 {
15348 /* 33222222222211111111110000000000
15349 10987654321098765432109876543210
e9dbdd80
TC
15350 xx1011100x100xx1101010xxxxxxxxxx
15351 fcvtnu. */
193614f2 15352 return 234;
e9dbdd80
TC
15353 }
15354 }
f3aa142b
MW
15355 else
15356 {
b195470d
MW
15357 if (((word >> 29) & 0x1) == 0)
15358 {
15359 /* 33222222222211111111110000000000
15360 10987654321098765432109876543210
e9dbdd80
TC
15361 xx0011101x100xx1101010xxxxxxxxxx
15362 fcvtps. */
193614f2 15363 return 206;
b195470d
MW
15364 }
15365 else
15366 {
15367 /* 33222222222211111111110000000000
15368 10987654321098765432109876543210
e9dbdd80
TC
15369 xx1011101x100xx1101010xxxxxxxxxx
15370 fcvtpu. */
193614f2 15371 return 253;
b195470d 15372 }
f3aa142b 15373 }
a06ea964
NC
15374 }
15375 else
15376 {
e9dbdd80 15377 if (((word >> 29) & 0x1) == 0)
f3aa142b 15378 {
e9dbdd80
TC
15379 /* 33222222222211111111110000000000
15380 10987654321098765432109876543210
15381 xx001110xx110xx1101010xxxxxxxxxx
15382 sminv. */
193614f2 15383 return 31;
f3aa142b
MW
15384 }
15385 else
15386 {
e9dbdd80
TC
15387 /* 33222222222211111111110000000000
15388 10987654321098765432109876543210
15389 xx101110xx110xx1101010xxxxxxxxxx
15390 uminv. */
193614f2 15391 return 35;
f3aa142b 15392 }
a06ea964
NC
15393 }
15394 }
f3aa142b
MW
15395 else
15396 {
e9dbdd80
TC
15397 if (((word >> 23) & 0x1) == 0)
15398 {
15399 if (((word >> 29) & 0x1) == 0)
15400 {
15401 /* 33222222222211111111110000000000
15402 10987654321098765432109876543210
15403 xx0011100x1x1xx1101010xxxxxxxxxx
15404 fcvtns. */
193614f2 15405 return 187;
e9dbdd80
TC
15406 }
15407 else
15408 {
15409 /* 33222222222211111111110000000000
15410 10987654321098765432109876543210
15411 xx1011100x1x1xx1101010xxxxxxxxxx
15412 fcvtnu. */
193614f2 15413 return 235;
e9dbdd80
TC
15414 }
15415 }
15416 else
15417 {
15418 if (((word >> 29) & 0x1) == 0)
15419 {
15420 /* 33222222222211111111110000000000
15421 10987654321098765432109876543210
15422 xx0011101x1x1xx1101010xxxxxxxxxx
15423 fcvtps. */
193614f2 15424 return 207;
e9dbdd80
TC
15425 }
15426 else
15427 {
15428 /* 33222222222211111111110000000000
15429 10987654321098765432109876543210
15430 xx1011101x1x1xx1101010xxxxxxxxxx
15431 fcvtpu. */
193614f2 15432 return 254;
e9dbdd80
TC
15433 }
15434 }
f3aa142b
MW
15435 }
15436 }
a06ea964
NC
15437 }
15438 else
15439 {
13c60ad7 15440 if (((word >> 16) & 0x1) == 0)
a06ea964 15441 {
13c60ad7
SD
15442 if (((word >> 19) & 0x1) == 0)
15443 {
15444 /* 33222222222211111111110000000000
15445 10987654321098765432109876543210
15446 xxx01110xx1x0xx0111010xxxxxxxxxx
15447 fcmlt. */
193614f2 15448 return 198;
13c60ad7
SD
15449 }
15450 else
15451 {
15452 /* 33222222222211111111110000000000
15453 10987654321098765432109876543210
15454 xxx01110xx1x1xx0111010xxxxxxxxxx
15455 fcmlt. */
193614f2 15456 return 199;
13c60ad7 15457 }
a06ea964
NC
15458 }
15459 else
15460 {
13c60ad7
SD
15461 if (((word >> 29) & 0x1) == 0)
15462 {
15463 /* 33222222222211111111110000000000
15464 10987654321098765432109876543210
15465 xx001110xx1xxxx1111010xxxxxxxxxx
15466 frint32z. */
193614f2 15467 return 158;
13c60ad7
SD
15468 }
15469 else
15470 {
15471 /* 33222222222211111111110000000000
15472 10987654321098765432109876543210
15473 xx101110xx1xxxx1111010xxxxxxxxxx
15474 frint32x. */
193614f2 15475 return 159;
13c60ad7 15476 }
a06ea964
NC
15477 }
15478 }
15479 }
e9dbdd80
TC
15480 }
15481 else
15482 {
15483 if (((word >> 13) & 0x1) == 0)
a06ea964 15484 {
e9dbdd80 15485 if (((word >> 14) & 0x1) == 0)
a06ea964 15486 {
e9dbdd80 15487 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
15488 {
15489 if (((word >> 29) & 0x1) == 0)
15490 {
15491 /* 33222222222211111111110000000000
15492 10987654321098765432109876543210
e9dbdd80
TC
15493 xx001110xx1xxxx0100110xxxxxxxxxx
15494 cmeq. */
193614f2 15495 return 171;
a06ea964
NC
15496 }
15497 else
15498 {
15499 /* 33222222222211111111110000000000
15500 10987654321098765432109876543210
e9dbdd80
TC
15501 xx101110xx1xxxx0100110xxxxxxxxxx
15502 cmle. */
193614f2 15503 return 220;
a06ea964
NC
15504 }
15505 }
15506 else
15507 {
e9dbdd80 15508 if (((word >> 19) & 0x1) == 0)
a06ea964 15509 {
e9dbdd80
TC
15510 if (((word >> 23) & 0x1) == 0)
15511 {
15512 if (((word >> 29) & 0x1) == 0)
15513 {
15514 /* 33222222222211111111110000000000
15515 10987654321098765432109876543210
15516 xx0011100x1x0xx1100110xxxxxxxxxx
15517 frintm. */
193614f2 15518 return 184;
e9dbdd80
TC
15519 }
15520 else
15521 {
15522 /* 33222222222211111111110000000000
15523 10987654321098765432109876543210
15524 xx1011100x1x0xx1100110xxxxxxxxxx
15525 frintx. */
193614f2 15526 return 232;
e9dbdd80
TC
15527 }
15528 }
15529 else
15530 {
15531 if (((word >> 29) & 0x1) == 0)
15532 {
15533 /* 33222222222211111111110000000000
15534 10987654321098765432109876543210
15535 xx0011101x1x0xx1100110xxxxxxxxxx
15536 frintz. */
193614f2 15537 return 204;
e9dbdd80
TC
15538 }
15539 else
15540 {
15541 /* 33222222222211111111110000000000
15542 10987654321098765432109876543210
15543 xx1011101x1x0xx1100110xxxxxxxxxx
15544 frinti. */
193614f2 15545 return 251;
e9dbdd80
TC
15546 }
15547 }
a06ea964
NC
15548 }
15549 else
15550 {
e9dbdd80
TC
15551 if (((word >> 23) & 0x1) == 0)
15552 {
15553 if (((word >> 29) & 0x1) == 0)
15554 {
15555 /* 33222222222211111111110000000000
15556 10987654321098765432109876543210
15557 xx0011100x1x1xx1100110xxxxxxxxxx
15558 frintm. */
193614f2 15559 return 185;
e9dbdd80
TC
15560 }
15561 else
15562 {
15563 /* 33222222222211111111110000000000
15564 10987654321098765432109876543210
15565 xx1011100x1x1xx1100110xxxxxxxxxx
15566 frintx. */
193614f2 15567 return 233;
e9dbdd80
TC
15568 }
15569 }
15570 else
15571 {
15572 if (((word >> 29) & 0x1) == 0)
15573 {
15574 /* 33222222222211111111110000000000
15575 10987654321098765432109876543210
15576 xx0011101x1x1xx1100110xxxxxxxxxx
15577 frintz. */
193614f2 15578 return 205;
e9dbdd80
TC
15579 }
15580 else
15581 {
15582 /* 33222222222211111111110000000000
15583 10987654321098765432109876543210
15584 xx1011101x1x1xx1100110xxxxxxxxxx
15585 frinti. */
193614f2 15586 return 252;
e9dbdd80
TC
15587 }
15588 }
15589 }
15590 }
15591 }
15592 else
15593 {
15594 if (((word >> 16) & 0x1) == 0)
15595 {
15596 if (((word >> 19) & 0x1) == 0)
15597 {
15598 if (((word >> 29) & 0x1) == 0)
15599 {
15600 /* 33222222222211111111110000000000
15601 10987654321098765432109876543210
15602 xx001110xx1x0xx0110110xxxxxxxxxx
15603 fcmeq. */
193614f2 15604 return 196;
e9dbdd80
TC
15605 }
15606 else
15607 {
15608 /* 33222222222211111111110000000000
15609 10987654321098765432109876543210
15610 xx101110xx1x0xx0110110xxxxxxxxxx
15611 fcmle. */
193614f2 15612 return 247;
e9dbdd80
TC
15613 }
15614 }
15615 else
15616 {
15617 if (((word >> 29) & 0x1) == 0)
15618 {
15619 /* 33222222222211111111110000000000
15620 10987654321098765432109876543210
15621 xx001110xx1x1xx0110110xxxxxxxxxx
15622 fcmeq. */
193614f2 15623 return 197;
e9dbdd80
TC
15624 }
15625 else
15626 {
15627 /* 33222222222211111111110000000000
15628 10987654321098765432109876543210
15629 xx101110xx1x1xx0110110xxxxxxxxxx
15630 fcmle. */
193614f2 15631 return 248;
e9dbdd80
TC
15632 }
15633 }
15634 }
15635 else
15636 {
15637 if (((word >> 19) & 0x1) == 0)
15638 {
15639 if (((word >> 23) & 0x1) == 0)
15640 {
15641 if (((word >> 29) & 0x1) == 0)
15642 {
15643 /* 33222222222211111111110000000000
15644 10987654321098765432109876543210
15645 xx0011100x1x0xx1110110xxxxxxxxxx
15646 scvtf. */
193614f2 15647 return 192;
e9dbdd80
TC
15648 }
15649 else
15650 {
15651 /* 33222222222211111111110000000000
15652 10987654321098765432109876543210
15653 xx1011100x1x0xx1110110xxxxxxxxxx
15654 ucvtf. */
193614f2 15655 return 240;
e9dbdd80
TC
15656 }
15657 }
15658 else
15659 {
15660 if (((word >> 29) & 0x1) == 0)
15661 {
15662 /* 33222222222211111111110000000000
15663 10987654321098765432109876543210
15664 xx0011101x1x0xx1110110xxxxxxxxxx
15665 frecpe. */
193614f2 15666 return 211;
e9dbdd80
TC
15667 }
15668 else
15669 {
15670 /* 33222222222211111111110000000000
15671 10987654321098765432109876543210
15672 xx1011101x1x0xx1110110xxxxxxxxxx
15673 frsqrte. */
193614f2 15674 return 258;
e9dbdd80
TC
15675 }
15676 }
15677 }
15678 else
15679 {
15680 if (((word >> 23) & 0x1) == 0)
15681 {
15682 if (((word >> 29) & 0x1) == 0)
15683 {
15684 /* 33222222222211111111110000000000
15685 10987654321098765432109876543210
15686 xx0011100x1x1xx1110110xxxxxxxxxx
15687 scvtf. */
193614f2 15688 return 193;
e9dbdd80
TC
15689 }
15690 else
15691 {
15692 /* 33222222222211111111110000000000
15693 10987654321098765432109876543210
15694 xx1011100x1x1xx1110110xxxxxxxxxx
15695 ucvtf. */
193614f2 15696 return 241;
e9dbdd80
TC
15697 }
15698 }
15699 else
15700 {
15701 if (((word >> 29) & 0x1) == 0)
15702 {
15703 /* 33222222222211111111110000000000
15704 10987654321098765432109876543210
15705 xx0011101x1x1xx1110110xxxxxxxxxx
15706 frecpe. */
193614f2 15707 return 212;
e9dbdd80
TC
15708 }
15709 else
15710 {
15711 /* 33222222222211111111110000000000
15712 10987654321098765432109876543210
15713 xx1011101x1x1xx1110110xxxxxxxxxx
15714 frsqrte. */
193614f2 15715 return 259;
e9dbdd80
TC
15716 }
15717 }
15718 }
15719 }
15720 }
15721 }
15722 else
15723 {
15724 if (((word >> 14) & 0x1) == 0)
15725 {
15726 if (((word >> 16) & 0x1) == 0)
15727 {
15728 if (((word >> 29) & 0x1) == 0)
15729 {
15730 /* 33222222222211111111110000000000
15731 10987654321098765432109876543210
15732 xx001110xx1xxxx0101110xxxxxxxxxx
15733 abs. */
193614f2 15734 return 173;
e9dbdd80
TC
15735 }
15736 else
15737 {
15738 /* 33222222222211111111110000000000
15739 10987654321098765432109876543210
15740 xx101110xx1xxxx0101110xxxxxxxxxx
15741 neg. */
193614f2 15742 return 221;
e9dbdd80
TC
15743 }
15744 }
15745 else
15746 {
15747 if (((word >> 19) & 0x1) == 0)
15748 {
15749 if (((word >> 20) & 0x1) == 0)
15750 {
15751 if (((word >> 23) & 0x1) == 0)
15752 {
15753 if (((word >> 29) & 0x1) == 0)
15754 {
15755 /* 33222222222211111111110000000000
15756 10987654321098765432109876543210
15757 xx0011100x100xx1101110xxxxxxxxxx
15758 fcvtms. */
193614f2 15759 return 188;
e9dbdd80
TC
15760 }
15761 else
15762 {
15763 /* 33222222222211111111110000000000
15764 10987654321098765432109876543210
15765 xx1011100x100xx1101110xxxxxxxxxx
15766 fcvtmu. */
193614f2 15767 return 236;
e9dbdd80
TC
15768 }
15769 }
15770 else
15771 {
15772 if (((word >> 29) & 0x1) == 0)
15773 {
15774 /* 33222222222211111111110000000000
15775 10987654321098765432109876543210
15776 xx0011101x100xx1101110xxxxxxxxxx
15777 fcvtzs. */
193614f2 15778 return 208;
e9dbdd80
TC
15779 }
15780 else
15781 {
15782 /* 33222222222211111111110000000000
15783 10987654321098765432109876543210
15784 xx1011101x100xx1101110xxxxxxxxxx
15785 fcvtzu. */
193614f2 15786 return 255;
e9dbdd80
TC
15787 }
15788 }
15789 }
15790 else
15791 {
15792 /* 33222222222211111111110000000000
15793 10987654321098765432109876543210
15794 xxx01110xx110xx1101110xxxxxxxxxx
15795 addv. */
193614f2 15796 return 32;
e9dbdd80
TC
15797 }
15798 }
15799 else
15800 {
15801 if (((word >> 23) & 0x1) == 0)
15802 {
15803 if (((word >> 29) & 0x1) == 0)
15804 {
15805 /* 33222222222211111111110000000000
15806 10987654321098765432109876543210
15807 xx0011100x1x1xx1101110xxxxxxxxxx
15808 fcvtms. */
193614f2 15809 return 189;
e9dbdd80
TC
15810 }
15811 else
15812 {
15813 /* 33222222222211111111110000000000
15814 10987654321098765432109876543210
15815 xx1011100x1x1xx1101110xxxxxxxxxx
15816 fcvtmu. */
193614f2 15817 return 237;
e9dbdd80
TC
15818 }
15819 }
15820 else
15821 {
15822 if (((word >> 29) & 0x1) == 0)
15823 {
15824 /* 33222222222211111111110000000000
15825 10987654321098765432109876543210
15826 xx0011101x1x1xx1101110xxxxxxxxxx
15827 fcvtzs. */
193614f2 15828 return 209;
e9dbdd80
TC
15829 }
15830 else
15831 {
15832 /* 33222222222211111111110000000000
15833 10987654321098765432109876543210
15834 xx1011101x1x1xx1101110xxxxxxxxxx
15835 fcvtzu. */
193614f2 15836 return 256;
e9dbdd80
TC
15837 }
15838 }
15839 }
15840 }
15841 }
15842 else
15843 {
15844 if (((word >> 16) & 0x1) == 0)
15845 {
15846 if (((word >> 19) & 0x1) == 0)
15847 {
15848 if (((word >> 20) & 0x1) == 0)
15849 {
15850 if (((word >> 29) & 0x1) == 0)
15851 {
15852 /* 33222222222211111111110000000000
15853 10987654321098765432109876543210
15854 xx001110xx100xx0111110xxxxxxxxxx
15855 fabs. */
193614f2 15856 return 200;
e9dbdd80
TC
15857 }
15858 else
15859 {
15860 /* 33222222222211111111110000000000
15861 10987654321098765432109876543210
15862 xx101110xx100xx0111110xxxxxxxxxx
15863 fneg. */
193614f2 15864 return 249;
e9dbdd80
TC
15865 }
15866 }
15867 else
15868 {
15869 if (((word >> 23) & 0x1) == 0)
15870 {
15871 if (((word >> 29) & 0x1) == 0)
15872 {
15873 /* 33222222222211111111110000000000
15874 10987654321098765432109876543210
15875 xx0011100x110xx0111110xxxxxxxxxx
15876 fmaxv. */
193614f2 15877 return 39;
e9dbdd80
TC
15878 }
15879 else
15880 {
15881 /* 33222222222211111111110000000000
15882 10987654321098765432109876543210
15883 xx1011100x110xx0111110xxxxxxxxxx
15884 fmaxv. */
193614f2 15885 return 38;
e9dbdd80
TC
15886 }
15887 }
15888 else
15889 {
15890 if (((word >> 29) & 0x1) == 0)
15891 {
15892 /* 33222222222211111111110000000000
15893 10987654321098765432109876543210
15894 xx0011101x110xx0111110xxxxxxxxxx
15895 fminv. */
193614f2 15896 return 43;
e9dbdd80
TC
15897 }
15898 else
15899 {
15900 /* 33222222222211111111110000000000
15901 10987654321098765432109876543210
15902 xx1011101x110xx0111110xxxxxxxxxx
15903 fminv. */
193614f2 15904 return 42;
e9dbdd80
TC
15905 }
15906 }
15907 }
15908 }
15909 else
15910 {
15911 if (((word >> 29) & 0x1) == 0)
15912 {
15913 /* 33222222222211111111110000000000
15914 10987654321098765432109876543210
15915 xx001110xx1x1xx0111110xxxxxxxxxx
15916 fabs. */
193614f2 15917 return 201;
e9dbdd80
TC
15918 }
15919 else
15920 {
15921 /* 33222222222211111111110000000000
15922 10987654321098765432109876543210
15923 xx101110xx1x1xx0111110xxxxxxxxxx
15924 fneg. */
193614f2 15925 return 250;
e9dbdd80
TC
15926 }
15927 }
15928 }
15929 else
15930 {
15931 if (((word >> 19) & 0x1) == 0)
15932 {
13c60ad7
SD
15933 if (((word >> 23) & 0x1) == 0)
15934 {
15935 if (((word >> 29) & 0x1) == 0)
15936 {
15937 /* 33222222222211111111110000000000
15938 10987654321098765432109876543210
15939 xx0011100x1x0xx1111110xxxxxxxxxx
15940 frint64z. */
193614f2 15941 return 160;
13c60ad7
SD
15942 }
15943 else
15944 {
15945 /* 33222222222211111111110000000000
15946 10987654321098765432109876543210
15947 xx1011100x1x0xx1111110xxxxxxxxxx
15948 frint64x. */
193614f2 15949 return 161;
13c60ad7
SD
15950 }
15951 }
15952 else
15953 {
15954 /* 33222222222211111111110000000000
15955 10987654321098765432109876543210
15956 xxx011101x1x0xx1111110xxxxxxxxxx
15957 fsqrt. */
193614f2 15958 return 260;
13c60ad7 15959 }
e9dbdd80
TC
15960 }
15961 else
15962 {
15963 /* 33222222222211111111110000000000
15964 10987654321098765432109876543210
15965 xxx01110xx1x1xx1111110xxxxxxxxxx
15966 fsqrt. */
193614f2 15967 return 261;
e9dbdd80
TC
15968 }
15969 }
15970 }
15971 }
15972 }
15973 }
15974 }
15975 else
15976 {
15977 if (((word >> 11) & 0x1) == 0)
15978 {
15979 if (((word >> 12) & 0x1) == 0)
15980 {
15981 if (((word >> 13) & 0x1) == 0)
15982 {
15983 if (((word >> 14) & 0x1) == 0)
15984 {
15985 if (((word >> 29) & 0x1) == 0)
15986 {
15987 if (((word >> 31) & 0x1) == 0)
15988 {
15989 /* 33222222222211111111110000000000
15990 10987654321098765432109876543210
15991 0x001110xx1xxxxx100001xxxxxxxxxx
15992 add. */
193614f2 15993 return 283;
e9dbdd80
TC
15994 }
15995 else
15996 {
15997 /* 33222222222211111111110000000000
15998 10987654321098765432109876543210
15999 1x001110xx1xxxxx100001xxxxxxxxxx
16000 sha512h2. */
b83b4b13 16001 return 2050;
e9dbdd80
TC
16002 }
16003 }
16004 else
16005 {
16006 /* 33222222222211111111110000000000
16007 10987654321098765432109876543210
16008 xx101110xx1xxxxx100001xxxxxxxxxx
16009 sub. */
193614f2 16010 return 335;
e9dbdd80
TC
16011 }
16012 }
16013 else
16014 {
16015 if (((word >> 23) & 0x1) == 0)
16016 {
16017 if (((word >> 29) & 0x1) == 0)
16018 {
16019 if (((word >> 31) & 0x1) == 0)
16020 {
16021 /* 33222222222211111111110000000000
16022 10987654321098765432109876543210
16023 0x0011100x1xxxxx110001xxxxxxxxxx
16024 fmaxnm. */
193614f2 16025 return 291;
e9dbdd80
TC
16026 }
16027 else
16028 {
16029 /* 33222222222211111111110000000000
16030 10987654321098765432109876543210
16031 1x0011100x1xxxxx110001xxxxxxxxxx
16032 sm3partw2. */
b83b4b13 16033 return 2063;
e9dbdd80
TC
16034 }
16035 }
16036 else
16037 {
16038 /* 33222222222211111111110000000000
16039 10987654321098765432109876543210
16040 xx1011100x1xxxxx110001xxxxxxxxxx
16041 fmaxnmp. */
193614f2 16042 return 342;
e9dbdd80
TC
16043 }
16044 }
16045 else
16046 {
16047 if (((word >> 29) & 0x1) == 0)
16048 {
16049 /* 33222222222211111111110000000000
16050 10987654321098765432109876543210
16051 xx0011101x1xxxxx110001xxxxxxxxxx
16052 fminnm. */
193614f2 16053 return 307;
e9dbdd80
TC
16054 }
16055 else
16056 {
16057 /* 33222222222211111111110000000000
16058 10987654321098765432109876543210
16059 xx1011101x1xxxxx110001xxxxxxxxxx
16060 fminnmp. */
193614f2 16061 return 358;
e9dbdd80
TC
16062 }
16063 }
16064 }
16065 }
16066 else
16067 {
16068 if (((word >> 14) & 0x1) == 0)
16069 {
16070 if (((word >> 29) & 0x1) == 0)
16071 {
16072 /* 33222222222211111111110000000000
16073 10987654321098765432109876543210
16074 xx001110xx1xxxxx101001xxxxxxxxxx
16075 smaxp. */
193614f2 16076 return 287;
e9dbdd80
TC
16077 }
16078 else
16079 {
16080 /* 33222222222211111111110000000000
16081 10987654321098765432109876543210
16082 xx101110xx1xxxxx101001xxxxxxxxxx
16083 umaxp. */
193614f2 16084 return 339;
e9dbdd80
TC
16085 }
16086 }
16087 else
16088 {
16089 if (((word >> 23) & 0x1) == 0)
16090 {
16091 if (((word >> 29) & 0x1) == 0)
16092 {
16093 /* 33222222222211111111110000000000
16094 10987654321098765432109876543210
16095 xx0011100x1xxxxx111001xxxxxxxxxx
16096 fcmeq. */
193614f2 16097 return 299;
e9dbdd80
TC
16098 }
16099 else
16100 {
16101 /* 33222222222211111111110000000000
16102 10987654321098765432109876543210
16103 xx1011100x1xxxxx111001xxxxxxxxxx
16104 fcmge. */
193614f2 16105 return 348;
e9dbdd80
TC
16106 }
16107 }
16108 else
16109 {
16110 /* 33222222222211111111110000000000
16111 10987654321098765432109876543210
16112 xxx011101x1xxxxx111001xxxxxxxxxx
16113 fcmgt. */
193614f2 16114 return 362;
e9dbdd80
TC
16115 }
16116 }
16117 }
16118 }
16119 else
16120 {
16121 if (((word >> 13) & 0x1) == 0)
16122 {
16123 if (((word >> 14) & 0x1) == 0)
16124 {
16125 if (((word >> 29) & 0x1) == 0)
16126 {
16127 /* 33222222222211111111110000000000
16128 10987654321098765432109876543210
16129 xx001110xx1xxxxx100101xxxxxxxxxx
16130 mla. */
193614f2 16131 return 285;
e9dbdd80
TC
16132 }
16133 else
16134 {
16135 /* 33222222222211111111110000000000
16136 10987654321098765432109876543210
16137 xx101110xx1xxxxx100101xxxxxxxxxx
16138 mls. */
193614f2 16139 return 337;
e9dbdd80
TC
16140 }
16141 }
16142 else
16143 {
16144 if (((word >> 23) & 0x1) == 0)
16145 {
16146 if (((word >> 29) & 0x1) == 0)
16147 {
16148 /* 33222222222211111111110000000000
16149 10987654321098765432109876543210
16150 xx0011100x1xxxxx110101xxxxxxxxxx
16151 fadd. */
193614f2 16152 return 295;
e9dbdd80
TC
16153 }
16154 else
16155 {
16156 /* 33222222222211111111110000000000
16157 10987654321098765432109876543210
16158 xx1011100x1xxxxx110101xxxxxxxxxx
16159 faddp. */
193614f2 16160 return 344;
e9dbdd80
TC
16161 }
16162 }
16163 else
16164 {
16165 if (((word >> 29) & 0x1) == 0)
16166 {
16167 /* 33222222222211111111110000000000
16168 10987654321098765432109876543210
16169 xx0011101x1xxxxx110101xxxxxxxxxx
16170 fsub. */
193614f2 16171 return 311;
e9dbdd80
TC
16172 }
16173 else
16174 {
16175 /* 33222222222211111111110000000000
16176 10987654321098765432109876543210
16177 xx1011101x1xxxxx110101xxxxxxxxxx
16178 fabd. */
193614f2 16179 return 360;
e9dbdd80
TC
16180 }
16181 }
16182 }
16183 }
16184 else
16185 {
16186 if (((word >> 14) & 0x1) == 0)
16187 {
16188 if (((word >> 29) & 0x1) == 0)
16189 {
16190 /* 33222222222211111111110000000000
16191 10987654321098765432109876543210
16192 xx001110xx1xxxxx101101xxxxxxxxxx
16193 sqdmulh. */
193614f2 16194 return 289;
e9dbdd80
TC
16195 }
16196 else
16197 {
16198 /* 33222222222211111111110000000000
16199 10987654321098765432109876543210
16200 xx101110xx1xxxxx101101xxxxxxxxxx
16201 sqrdmulh. */
193614f2 16202 return 341;
e9dbdd80
TC
16203 }
16204 }
16205 else
16206 {
16207 if (((word >> 23) & 0x1) == 0)
16208 {
16209 if (((word >> 29) & 0x1) == 0)
16210 {
16211 /* 33222222222211111111110000000000
16212 10987654321098765432109876543210
16213 xx0011100x1xxxxx111101xxxxxxxxxx
16214 fmax. */
193614f2 16215 return 301;
e9dbdd80
TC
16216 }
16217 else
16218 {
16219 /* 33222222222211111111110000000000
16220 10987654321098765432109876543210
16221 xx1011100x1xxxxx111101xxxxxxxxxx
16222 fmaxp. */
193614f2 16223 return 352;
e9dbdd80
TC
16224 }
16225 }
16226 else
16227 {
16228 if (((word >> 29) & 0x1) == 0)
16229 {
16230 /* 33222222222211111111110000000000
16231 10987654321098765432109876543210
16232 xx0011101x1xxxxx111101xxxxxxxxxx
16233 fmin. */
193614f2 16234 return 313;
e9dbdd80
TC
16235 }
16236 else
16237 {
16238 /* 33222222222211111111110000000000
16239 10987654321098765432109876543210
16240 xx1011101x1xxxxx111101xxxxxxxxxx
16241 fminp. */
193614f2 16242 return 366;
e9dbdd80
TC
16243 }
16244 }
16245 }
16246 }
16247 }
16248 }
16249 else
16250 {
16251 if (((word >> 12) & 0x1) == 0)
16252 {
16253 if (((word >> 13) & 0x1) == 0)
16254 {
16255 if (((word >> 14) & 0x1) == 0)
16256 {
16257 if (((word >> 29) & 0x1) == 0)
16258 {
16259 if (((word >> 31) & 0x1) == 0)
16260 {
16261 /* 33222222222211111111110000000000
16262 10987654321098765432109876543210
16263 0x001110xx1xxxxx100011xxxxxxxxxx
16264 cmtst. */
193614f2 16265 return 284;
e9dbdd80
TC
16266 }
16267 else
16268 {
16269 /* 33222222222211111111110000000000
16270 10987654321098765432109876543210
16271 1x001110xx1xxxxx100011xxxxxxxxxx
16272 rax1. */
b83b4b13 16273 return 2054;
e9dbdd80
TC
16274 }
16275 }
16276 else
16277 {
16278 /* 33222222222211111111110000000000
16279 10987654321098765432109876543210
16280 xx101110xx1xxxxx100011xxxxxxxxxx
16281 cmeq. */
193614f2 16282 return 336;
e9dbdd80
TC
16283 }
16284 }
16285 else
16286 {
16287 if (((word >> 23) & 0x1) == 0)
16288 {
16289 if (((word >> 29) & 0x1) == 0)
16290 {
16291 /* 33222222222211111111110000000000
16292 10987654321098765432109876543210
16293 xx0011100x1xxxxx110011xxxxxxxxxx
16294 fmla. */
193614f2 16295 return 293;
e9dbdd80
TC
16296 }
16297 else
16298 {
16299 if (((word >> 30) & 0x1) == 0)
16300 {
16301 /* 33222222222211111111110000000000
16302 10987654321098765432109876543210
16303 x01011100x1xxxxx110011xxxxxxxxxx
16304 fmlal2. */
b83b4b13 16305 return 2068;
e9dbdd80
TC
16306 }
16307 else
16308 {
16309 /* 33222222222211111111110000000000
16310 10987654321098765432109876543210
16311 x11011100x1xxxxx110011xxxxxxxxxx
16312 fmlal2. */
b83b4b13 16313 return 2072;
e9dbdd80
TC
16314 }
16315 }
16316 }
16317 else
16318 {
16319 if (((word >> 29) & 0x1) == 0)
16320 {
16321 /* 33222222222211111111110000000000
16322 10987654321098765432109876543210
16323 xx0011101x1xxxxx110011xxxxxxxxxx
16324 fmls. */
193614f2 16325 return 309;
e9dbdd80
TC
16326 }
16327 else
16328 {
16329 if (((word >> 30) & 0x1) == 0)
16330 {
16331 /* 33222222222211111111110000000000
16332 10987654321098765432109876543210
16333 x01011101x1xxxxx110011xxxxxxxxxx
16334 fmlsl2. */
b83b4b13 16335 return 2069;
e9dbdd80
TC
16336 }
16337 else
16338 {
16339 /* 33222222222211111111110000000000
16340 10987654321098765432109876543210
16341 x11011101x1xxxxx110011xxxxxxxxxx
16342 fmlsl2. */
b83b4b13 16343 return 2073;
e9dbdd80
TC
16344 }
16345 }
16346 }
16347 }
16348 }
16349 else
16350 {
16351 if (((word >> 14) & 0x1) == 0)
16352 {
16353 if (((word >> 29) & 0x1) == 0)
16354 {
16355 /* 33222222222211111111110000000000
16356 10987654321098765432109876543210
16357 xx001110xx1xxxxx101011xxxxxxxxxx
16358 sminp. */
193614f2 16359 return 288;
e9dbdd80
TC
16360 }
16361 else
16362 {
16363 /* 33222222222211111111110000000000
16364 10987654321098765432109876543210
16365 xx101110xx1xxxxx101011xxxxxxxxxx
16366 uminp. */
193614f2 16367 return 340;
e9dbdd80
TC
16368 }
16369 }
16370 else
16371 {
16372 if (((word >> 23) & 0x1) == 0)
16373 {
16374 if (((word >> 29) & 0x1) == 0)
16375 {
16376 if (((word >> 30) & 0x1) == 0)
16377 {
16378 /* 33222222222211111111110000000000
16379 10987654321098765432109876543210
16380 x00011100x1xxxxx111011xxxxxxxxxx
16381 fmlal. */
b83b4b13 16382 return 2066;
e9dbdd80
TC
16383 }
16384 else
16385 {
16386 /* 33222222222211111111110000000000
16387 10987654321098765432109876543210
16388 x10011100x1xxxxx111011xxxxxxxxxx
16389 fmlal. */
b83b4b13 16390 return 2070;
e9dbdd80
TC
16391 }
16392 }
16393 else
16394 {
16395 /* 33222222222211111111110000000000
16396 10987654321098765432109876543210
16397 xx1011100x1xxxxx111011xxxxxxxxxx
16398 facge. */
193614f2 16399 return 350;
e9dbdd80
TC
16400 }
16401 }
16402 else
16403 {
16404 if (((word >> 29) & 0x1) == 0)
16405 {
16406 if (((word >> 30) & 0x1) == 0)
16407 {
16408 /* 33222222222211111111110000000000
16409 10987654321098765432109876543210
16410 x00011101x1xxxxx111011xxxxxxxxxx
16411 fmlsl. */
b83b4b13 16412 return 2067;
e9dbdd80
TC
16413 }
16414 else
16415 {
16416 /* 33222222222211111111110000000000
16417 10987654321098765432109876543210
16418 x10011101x1xxxxx111011xxxxxxxxxx
16419 fmlsl. */
b83b4b13 16420 return 2071;
e9dbdd80
TC
16421 }
16422 }
16423 else
16424 {
16425 /* 33222222222211111111110000000000
16426 10987654321098765432109876543210
16427 xx1011101x1xxxxx111011xxxxxxxxxx
16428 facgt. */
193614f2 16429 return 364;
e9dbdd80
TC
16430 }
16431 }
16432 }
16433 }
16434 }
16435 else
16436 {
16437 if (((word >> 13) & 0x1) == 0)
a06ea964 16438 {
e9dbdd80
TC
16439 if (((word >> 14) & 0x1) == 0)
16440 {
16441 if (((word >> 29) & 0x1) == 0)
16442 {
16443 /* 33222222222211111111110000000000
16444 10987654321098765432109876543210
16445 xx001110xx1xxxxx100111xxxxxxxxxx
16446 mul. */
193614f2 16447 return 286;
e9dbdd80
TC
16448 }
16449 else
16450 {
16451 /* 33222222222211111111110000000000
16452 10987654321098765432109876543210
16453 xx101110xx1xxxxx100111xxxxxxxxxx
16454 pmul. */
193614f2 16455 return 338;
e9dbdd80
TC
16456 }
16457 }
16458 else
a06ea964
NC
16459 {
16460 if (((word >> 29) & 0x1) == 0)
16461 {
16462 /* 33222222222211111111110000000000
16463 10987654321098765432109876543210
e9dbdd80
TC
16464 xx001110xx1xxxxx110111xxxxxxxxxx
16465 fmulx. */
193614f2 16466 return 297;
e9dbdd80
TC
16467 }
16468 else
16469 {
16470 /* 33222222222211111111110000000000
16471 10987654321098765432109876543210
16472 xx101110xx1xxxxx110111xxxxxxxxxx
16473 fmul. */
193614f2 16474 return 346;
e9dbdd80
TC
16475 }
16476 }
16477 }
16478 else
16479 {
16480 if (((word >> 14) & 0x1) == 0)
16481 {
16482 /* 33222222222211111111110000000000
16483 10987654321098765432109876543210
16484 xxx01110xx1xxxxx101111xxxxxxxxxx
16485 addp. */
193614f2 16486 return 290;
e9dbdd80
TC
16487 }
16488 else
16489 {
16490 if (((word >> 23) & 0x1) == 0)
16491 {
16492 if (((word >> 29) & 0x1) == 0)
16493 {
16494 /* 33222222222211111111110000000000
16495 10987654321098765432109876543210
16496 xx0011100x1xxxxx111111xxxxxxxxxx
16497 frecps. */
193614f2 16498 return 303;
e9dbdd80
TC
16499 }
16500 else
16501 {
16502 /* 33222222222211111111110000000000
16503 10987654321098765432109876543210
16504 xx1011100x1xxxxx111111xxxxxxxxxx
16505 fdiv. */
193614f2 16506 return 354;
e9dbdd80
TC
16507 }
16508 }
16509 else
16510 {
16511 /* 33222222222211111111110000000000
16512 10987654321098765432109876543210
16513 xxx011101x1xxxxx111111xxxxxxxxxx
16514 frsqrts. */
193614f2 16515 return 315;
e9dbdd80
TC
16516 }
16517 }
16518 }
16519 }
16520 }
16521 }
16522 }
16523 }
16524 else
16525 {
16526 if (((word >> 10) & 0x1) == 0)
16527 {
16528 if (((word >> 11) & 0x1) == 0)
16529 {
16530 if (((word >> 12) & 0x1) == 0)
16531 {
16532 if (((word >> 13) & 0x1) == 0)
16533 {
16534 if (((word >> 14) & 0x1) == 0)
16535 {
16536 if (((word >> 16) & 0x1) == 0)
16537 {
16538 if (((word >> 17) & 0x1) == 0)
16539 {
16540 if (((word >> 18) & 0x1) == 0)
16541 {
16542 if (((word >> 19) & 0x1) == 0)
16543 {
16544 if (((word >> 20) & 0x1) == 0)
16545 {
16546 /* 33222222222211111111110000000000
16547 10987654321098765432109876543210
16548 xxx11110xx100000x00000xxxxxxxxxx
16549 fcvtns. */
b731bc3b 16550 return 767;
e9dbdd80
TC
16551 }
16552 else
16553 {
16554 /* 33222222222211111111110000000000
16555 10987654321098765432109876543210
16556 xxx11110xx110000x00000xxxxxxxxxx
16557 fcvtms. */
b731bc3b 16558 return 787;
e9dbdd80
TC
16559 }
16560 }
16561 else
16562 {
16563 if (((word >> 20) & 0x1) == 0)
16564 {
16565 /* 33222222222211111111110000000000
16566 10987654321098765432109876543210
16567 xxx11110xx101000x00000xxxxxxxxxx
16568 fcvtps. */
b731bc3b 16569 return 783;
e9dbdd80
TC
16570 }
16571 else
16572 {
16573 /* 33222222222211111111110000000000
16574 10987654321098765432109876543210
16575 xxx11110xx111000x00000xxxxxxxxxx
16576 fcvtzs. */
b731bc3b 16577 return 791;
e9dbdd80
TC
16578 }
16579 }
16580 }
16581 else
16582 {
16583 /* 33222222222211111111110000000000
16584 10987654321098765432109876543210
16585 xxx11110xx1xx100x00000xxxxxxxxxx
16586 fcvtas. */
b731bc3b 16587 return 775;
e9dbdd80
TC
16588 }
16589 }
16590 else
16591 {
16592 if (((word >> 18) & 0x1) == 0)
16593 {
16594 /* 33222222222211111111110000000000
16595 10987654321098765432109876543210
16596 xxx11110xx1xx010x00000xxxxxxxxxx
16597 scvtf. */
b731bc3b 16598 return 771;
e9dbdd80
TC
16599 }
16600 else
16601 {
16602 if (((word >> 19) & 0x1) == 0)
16603 {
16604 /* 33222222222211111111110000000000
16605 10987654321098765432109876543210
16606 xxx11110xx1x0110x00000xxxxxxxxxx
16607 fmov. */
b731bc3b 16608 return 779;
e9dbdd80
TC
16609 }
16610 else
16611 {
16612 if (((word >> 20) & 0x1) == 0)
16613 {
16614 /* 33222222222211111111110000000000
16615 10987654321098765432109876543210
16616 xxx11110xx101110x00000xxxxxxxxxx
16617 fmov. */
b731bc3b 16618 return 795;
e9dbdd80
TC
16619 }
16620 else
16621 {
16622 /* 33222222222211111111110000000000
16623 10987654321098765432109876543210
16624 xxx11110xx111110x00000xxxxxxxxxx
16625 fjcvtzs. */
b731bc3b 16626 return 797;
e9dbdd80
TC
16627 }
16628 }
16629 }
16630 }
16631 }
16632 else
16633 {
16634 if (((word >> 17) & 0x1) == 0)
16635 {
16636 if (((word >> 18) & 0x1) == 0)
16637 {
16638 if (((word >> 19) & 0x1) == 0)
16639 {
16640 if (((word >> 20) & 0x1) == 0)
16641 {
16642 /* 33222222222211111111110000000000
16643 10987654321098765432109876543210
16644 xxx11110xx100001x00000xxxxxxxxxx
16645 fcvtnu. */
b731bc3b 16646 return 769;
e9dbdd80
TC
16647 }
16648 else
16649 {
16650 /* 33222222222211111111110000000000
16651 10987654321098765432109876543210
16652 xxx11110xx110001x00000xxxxxxxxxx
16653 fcvtmu. */
b731bc3b 16654 return 789;
e9dbdd80
TC
16655 }
16656 }
16657 else
16658 {
16659 if (((word >> 20) & 0x1) == 0)
16660 {
16661 /* 33222222222211111111110000000000
16662 10987654321098765432109876543210
16663 xxx11110xx101001x00000xxxxxxxxxx
16664 fcvtpu. */
b731bc3b 16665 return 785;
e9dbdd80
TC
16666 }
16667 else
16668 {
16669 /* 33222222222211111111110000000000
16670 10987654321098765432109876543210
16671 xxx11110xx111001x00000xxxxxxxxxx
16672 fcvtzu. */
b731bc3b 16673 return 793;
e9dbdd80
TC
16674 }
16675 }
16676 }
16677 else
16678 {
16679 /* 33222222222211111111110000000000
16680 10987654321098765432109876543210
16681 xxx11110xx1xx101x00000xxxxxxxxxx
16682 fcvtau. */
b731bc3b 16683 return 777;
e9dbdd80 16684 }
a06ea964
NC
16685 }
16686 else
16687 {
e9dbdd80
TC
16688 if (((word >> 18) & 0x1) == 0)
16689 {
16690 /* 33222222222211111111110000000000
16691 10987654321098765432109876543210
16692 xxx11110xx1xx011x00000xxxxxxxxxx
16693 ucvtf. */
b731bc3b 16694 return 773;
e9dbdd80
TC
16695 }
16696 else
16697 {
16698 if (((word >> 19) & 0x1) == 0)
16699 {
16700 /* 33222222222211111111110000000000
16701 10987654321098765432109876543210
16702 xxx11110xx1x0111x00000xxxxxxxxxx
16703 fmov. */
b731bc3b 16704 return 781;
e9dbdd80
TC
16705 }
16706 else
16707 {
16708 /* 33222222222211111111110000000000
16709 10987654321098765432109876543210
16710 xxx11110xx1x1111x00000xxxxxxxxxx
16711 fmov. */
b731bc3b 16712 return 796;
e9dbdd80
TC
16713 }
16714 }
a06ea964
NC
16715 }
16716 }
e9dbdd80
TC
16717 }
16718 else
16719 {
16720 if (((word >> 17) & 0x1) == 0)
a06ea964 16721 {
e9dbdd80 16722 if (((word >> 15) & 0x1) == 0)
a06ea964 16723 {
e9dbdd80
TC
16724 if (((word >> 16) & 0x1) == 0)
16725 {
16726 if (((word >> 18) & 0x1) == 0)
16727 {
13c60ad7
SD
16728 if (((word >> 19) & 0x1) == 0)
16729 {
16730 /* 33222222222211111111110000000000
16731 10987654321098765432109876543210
16732 xxx11110xx1x0000010000xxxxxxxxxx
16733 fmov. */
b731bc3b 16734 return 816;
13c60ad7
SD
16735 }
16736 else
16737 {
16738 /* 33222222222211111111110000000000
16739 10987654321098765432109876543210
16740 xxx11110xx1x1000010000xxxxxxxxxx
16741 frint32z. */
b731bc3b 16742 return 812;
13c60ad7 16743 }
e9dbdd80
TC
16744 }
16745 else
16746 {
16747 /* 33222222222211111111110000000000
16748 10987654321098765432109876543210
16749 xxx11110xx1xx100010000xxxxxxxxxx
16750 frintn. */
b731bc3b 16751 return 825;
e9dbdd80
TC
16752 }
16753 }
16754 else
16755 {
16756 if (((word >> 18) & 0x1) == 0)
16757 {
13c60ad7
SD
16758 if (((word >> 19) & 0x1) == 0)
16759 {
16760 /* 33222222222211111111110000000000
16761 10987654321098765432109876543210
16762 xxx11110xx1x0001010000xxxxxxxxxx
16763 fneg. */
b731bc3b 16764 return 820;
13c60ad7
SD
16765 }
16766 else
16767 {
16768 /* 33222222222211111111110000000000
16769 10987654321098765432109876543210
16770 xxx11110xx1x1001010000xxxxxxxxxx
16771 frint64z. */
b731bc3b 16772 return 814;
13c60ad7 16773 }
e9dbdd80
TC
16774 }
16775 else
16776 {
16777 /* 33222222222211111111110000000000
16778 10987654321098765432109876543210
16779 xxx11110xx1xx101010000xxxxxxxxxx
16780 frintm. */
b731bc3b 16781 return 829;
e9dbdd80
TC
16782 }
16783 }
a06ea964
NC
16784 }
16785 else
16786 {
e9dbdd80
TC
16787 if (((word >> 16) & 0x1) == 0)
16788 {
16789 if (((word >> 18) & 0x1) == 0)
16790 {
13c60ad7
SD
16791 if (((word >> 19) & 0x1) == 0)
16792 {
16793 /* 33222222222211111111110000000000
16794 10987654321098765432109876543210
16795 xxx11110xx1x0000110000xxxxxxxxxx
16796 fabs. */
b731bc3b 16797 return 818;
13c60ad7
SD
16798 }
16799 else
16800 {
16801 /* 33222222222211111111110000000000
16802 10987654321098765432109876543210
16803 xxx11110xx1x1000110000xxxxxxxxxx
16804 frint32x. */
b731bc3b 16805 return 813;
13c60ad7 16806 }
e9dbdd80
TC
16807 }
16808 else
16809 {
16810 /* 33222222222211111111110000000000
16811 10987654321098765432109876543210
16812 xxx11110xx1xx100110000xxxxxxxxxx
16813 frintp. */
b731bc3b 16814 return 827;
e9dbdd80
TC
16815 }
16816 }
16817 else
16818 {
16819 if (((word >> 18) & 0x1) == 0)
16820 {
13c60ad7
SD
16821 if (((word >> 19) & 0x1) == 0)
16822 {
16823 /* 33222222222211111111110000000000
16824 10987654321098765432109876543210
16825 xxx11110xx1x0001110000xxxxxxxxxx
16826 fsqrt. */
b731bc3b 16827 return 822;
13c60ad7
SD
16828 }
16829 else
16830 {
16831 /* 33222222222211111111110000000000
16832 10987654321098765432109876543210
16833 xxx11110xx1x1001110000xxxxxxxxxx
16834 frint64x. */
b731bc3b 16835 return 815;
13c60ad7 16836 }
e9dbdd80
TC
16837 }
16838 else
16839 {
16840 /* 33222222222211111111110000000000
16841 10987654321098765432109876543210
16842 xxx11110xx1xx101110000xxxxxxxxxx
16843 frintz. */
b731bc3b 16844 return 831;
e9dbdd80
TC
16845 }
16846 }
a06ea964
NC
16847 }
16848 }
e9dbdd80 16849 else
a06ea964 16850 {
e9dbdd80 16851 if (((word >> 18) & 0x1) == 0)
a06ea964
NC
16852 {
16853 /* 33222222222211111111110000000000
16854 10987654321098765432109876543210
e9dbdd80
TC
16855 xxx11110xx1xx01xx10000xxxxxxxxxx
16856 fcvt. */
b731bc3b 16857 return 824;
a06ea964
NC
16858 }
16859 else
16860 {
e9dbdd80 16861 if (((word >> 15) & 0x1) == 0)
a06ea964 16862 {
e9dbdd80
TC
16863 if (((word >> 16) & 0x1) == 0)
16864 {
16865 /* 33222222222211111111110000000000
16866 10987654321098765432109876543210
16867 xxx11110xx1xx110010000xxxxxxxxxx
16868 frinta. */
b731bc3b 16869 return 833;
e9dbdd80
TC
16870 }
16871 else
16872 {
16873 /* 33222222222211111111110000000000
16874 10987654321098765432109876543210
16875 xxx11110xx1xx111010000xxxxxxxxxx
16876 frintx. */
b731bc3b 16877 return 835;
e9dbdd80 16878 }
a06ea964
NC
16879 }
16880 else
16881 {
16882 /* 33222222222211111111110000000000
16883 10987654321098765432109876543210
e9dbdd80
TC
16884 xxx11110xx1xx11x110000xxxxxxxxxx
16885 frinti. */
b731bc3b 16886 return 837;
a06ea964
NC
16887 }
16888 }
e9dbdd80
TC
16889 }
16890 }
16891 }
16892 else
16893 {
16894 if (((word >> 3) & 0x1) == 0)
16895 {
16896 if (((word >> 4) & 0x1) == 0)
16897 {
16898 /* 33222222222211111111110000000000
16899 10987654321098765432109876543210
16900 xxx11110xx1xxxxxxx1000xxxxx00xxx
16901 fcmp. */
b731bc3b 16902 return 802;
e9dbdd80
TC
16903 }
16904 else
16905 {
16906 /* 33222222222211111111110000000000
16907 10987654321098765432109876543210
16908 xxx11110xx1xxxxxxx1000xxxxx10xxx
16909 fcmpe. */
b731bc3b 16910 return 804;
e9dbdd80
TC
16911 }
16912 }
16913 else
16914 {
16915 if (((word >> 4) & 0x1) == 0)
16916 {
16917 /* 33222222222211111111110000000000
16918 10987654321098765432109876543210
16919 xxx11110xx1xxxxxxx1000xxxxx01xxx
16920 fcmp. */
b731bc3b 16921 return 806;
e9dbdd80
TC
16922 }
16923 else
16924 {
16925 /* 33222222222211111111110000000000
16926 10987654321098765432109876543210
16927 xxx11110xx1xxxxxxx1000xxxxx11xxx
16928 fcmpe. */
b731bc3b 16929 return 808;
a06ea964
NC
16930 }
16931 }
16932 }
16933 }
16934 else
16935 {
e9dbdd80 16936 if (((word >> 30) & 0x1) == 0)
a06ea964 16937 {
e9dbdd80
TC
16938 /* 33222222222211111111110000000000
16939 10987654321098765432109876543210
16940 x0x11110xx1xxxxxxxx100xxxxxxxxxx
16941 fmov. */
b731bc3b 16942 return 865;
e9dbdd80
TC
16943 }
16944 else
16945 {
16946 if (((word >> 13) & 0x1) == 0)
a06ea964 16947 {
e9dbdd80 16948 if (((word >> 14) & 0x1) == 0)
a06ea964 16949 {
e9dbdd80
TC
16950 /* 33222222222211111111110000000000
16951 10987654321098765432109876543210
16952 x1x11110xx1xxxxxx00100xxxxxxxxxx
16953 sqdmlal. */
193614f2 16954 return 422;
a06ea964
NC
16955 }
16956 else
16957 {
e9dbdd80
TC
16958 /* 33222222222211111111110000000000
16959 10987654321098765432109876543210
16960 x1x11110xx1xxxxxx10100xxxxxxxxxx
16961 sqdmull. */
193614f2 16962 return 424;
a06ea964
NC
16963 }
16964 }
16965 else
e9dbdd80
TC
16966 {
16967 /* 33222222222211111111110000000000
16968 10987654321098765432109876543210
16969 x1x11110xx1xxxxxxx1100xxxxxxxxxx
16970 sqdmlsl. */
193614f2 16971 return 423;
e9dbdd80
TC
16972 }
16973 }
16974 }
16975 }
16976 else
16977 {
16978 if (((word >> 12) & 0x1) == 0)
16979 {
16980 if (((word >> 13) & 0x1) == 0)
16981 {
16982 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
16983 {
16984 if (((word >> 15) & 0x1) == 0)
16985 {
e9dbdd80 16986 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
16987 {
16988 /* 33222222222211111111110000000000
16989 10987654321098765432109876543210
e9dbdd80
TC
16990 x0x11110xx1xxxxx000010xxxxxxxxxx
16991 fmul. */
b731bc3b 16992 return 839;
a06ea964
NC
16993 }
16994 else
16995 {
16996 /* 33222222222211111111110000000000
16997 10987654321098765432109876543210
e9dbdd80
TC
16998 x1x11110xx1xxxxx000010xxxxxxxxxx
16999 sha1h. */
193614f2 17000 return 675;
a06ea964
NC
17001 }
17002 }
17003 else
17004 {
e9dbdd80 17005 if (((word >> 29) & 0x1) == 0)
a06ea964 17006 {
e9dbdd80 17007 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17008 {
17009 /* 33222222222211111111110000000000
17010 10987654321098765432109876543210
e9dbdd80
TC
17011 x0011110xx1xxxxx100010xxxxxxxxxx
17012 fnmul. */
b731bc3b 17013 return 855;
a06ea964
NC
17014 }
17015 else
17016 {
17017 /* 33222222222211111111110000000000
17018 10987654321098765432109876543210
e9dbdd80
TC
17019 x1011110xx1xxxxx100010xxxxxxxxxx
17020 cmgt. */
193614f2 17021 return 482;
a06ea964
NC
17022 }
17023 }
a06ea964
NC
17024 else
17025 {
17026 /* 33222222222211111111110000000000
17027 10987654321098765432109876543210
e9dbdd80
TC
17028 xx111110xx1xxxxx100010xxxxxxxxxx
17029 cmge. */
193614f2 17030 return 511;
a06ea964
NC
17031 }
17032 }
17033 }
17034 else
17035 {
17036 if (((word >> 15) & 0x1) == 0)
17037 {
17038 if (((word >> 29) & 0x1) == 0)
17039 {
e9dbdd80
TC
17040 if (((word >> 30) & 0x1) == 0)
17041 {
17042 /* 33222222222211111111110000000000
17043 10987654321098765432109876543210
17044 x0011110xx1xxxxx010010xxxxxxxxxx
17045 fmax. */
b731bc3b 17046 return 847;
e9dbdd80
TC
17047 }
17048 else
17049 {
17050 /* 33222222222211111111110000000000
17051 10987654321098765432109876543210
17052 x1011110xx1xxxxx010010xxxxxxxxxx
17053 sqxtn. */
193614f2 17054 return 486;
e9dbdd80 17055 }
a06ea964
NC
17056 }
17057 else
17058 {
17059 /* 33222222222211111111110000000000
17060 10987654321098765432109876543210
e9dbdd80
TC
17061 xx111110xx1xxxxx010010xxxxxxxxxx
17062 uqxtn. */
193614f2 17063 return 515;
a06ea964
NC
17064 }
17065 }
17066 else
17067 {
e9dbdd80 17068 if (((word >> 16) & 0x1) == 0)
a06ea964 17069 {
e9dbdd80 17070 if (((word >> 19) & 0x1) == 0)
a06ea964 17071 {
e9dbdd80
TC
17072 if (((word >> 20) & 0x1) == 0)
17073 {
17074 if (((word >> 29) & 0x1) == 0)
17075 {
17076 /* 33222222222211111111110000000000
17077 10987654321098765432109876543210
17078 xx011110xx100xx0110010xxxxxxxxxx
17079 fcmgt. */
193614f2 17080 return 495;
e9dbdd80
TC
17081 }
17082 else
17083 {
17084 /* 33222222222211111111110000000000
17085 10987654321098765432109876543210
17086 xx111110xx100xx0110010xxxxxxxxxx
17087 fcmge. */
193614f2 17088 return 525;
e9dbdd80
TC
17089 }
17090 }
17091 else
17092 {
17093 if (((word >> 23) & 0x1) == 0)
17094 {
17095 if (((word >> 29) & 0x1) == 0)
17096 {
17097 /* 33222222222211111111110000000000
17098 10987654321098765432109876543210
17099 xx0111100x110xx0110010xxxxxxxxxx
17100 fmaxnmp. */
193614f2 17101 return 539;
e9dbdd80
TC
17102 }
17103 else
17104 {
17105 /* 33222222222211111111110000000000
17106 10987654321098765432109876543210
17107 xx1111100x110xx0110010xxxxxxxxxx
17108 fmaxnmp. */
193614f2 17109 return 538;
e9dbdd80
TC
17110 }
17111 }
17112 else
17113 {
17114 if (((word >> 29) & 0x1) == 0)
17115 {
17116 /* 33222222222211111111110000000000
17117 10987654321098765432109876543210
17118 xx0111101x110xx0110010xxxxxxxxxx
17119 fminnmp. */
193614f2 17120 return 545;
e9dbdd80
TC
17121 }
17122 else
17123 {
17124 /* 33222222222211111111110000000000
17125 10987654321098765432109876543210
17126 xx1111101x110xx0110010xxxxxxxxxx
17127 fminnmp. */
193614f2 17128 return 544;
e9dbdd80
TC
17129 }
17130 }
17131 }
a06ea964
NC
17132 }
17133 else
17134 {
e9dbdd80
TC
17135 if (((word >> 29) & 0x1) == 0)
17136 {
17137 /* 33222222222211111111110000000000
17138 10987654321098765432109876543210
17139 xx011110xx1x1xx0110010xxxxxxxxxx
17140 fcmgt. */
193614f2 17141 return 496;
e9dbdd80
TC
17142 }
17143 else
17144 {
17145 /* 33222222222211111111110000000000
17146 10987654321098765432109876543210
17147 xx111110xx1x1xx0110010xxxxxxxxxx
17148 fcmge. */
193614f2 17149 return 526;
e9dbdd80 17150 }
a06ea964
NC
17151 }
17152 }
17153 else
17154 {
e9dbdd80 17155 if (((word >> 19) & 0x1) == 0)
a06ea964 17156 {
e9dbdd80
TC
17157 if (((word >> 29) & 0x1) == 0)
17158 {
17159 /* 33222222222211111111110000000000
17160 10987654321098765432109876543210
17161 xx011110xx1x0xx1110010xxxxxxxxxx
17162 fcvtas. */
193614f2 17163 return 491;
e9dbdd80
TC
17164 }
17165 else
17166 {
17167 /* 33222222222211111111110000000000
17168 10987654321098765432109876543210
17169 xx111110xx1x0xx1110010xxxxxxxxxx
17170 fcvtau. */
193614f2 17171 return 521;
e9dbdd80 17172 }
a06ea964
NC
17173 }
17174 else
17175 {
e9dbdd80
TC
17176 if (((word >> 29) & 0x1) == 0)
17177 {
17178 /* 33222222222211111111110000000000
17179 10987654321098765432109876543210
17180 xx011110xx1x1xx1110010xxxxxxxxxx
17181 fcvtas. */
193614f2 17182 return 492;
e9dbdd80
TC
17183 }
17184 else
17185 {
17186 /* 33222222222211111111110000000000
17187 10987654321098765432109876543210
17188 xx111110xx1x1xx1110010xxxxxxxxxx
17189 fcvtau. */
193614f2 17190 return 522;
e9dbdd80 17191 }
a06ea964
NC
17192 }
17193 }
17194 }
17195 }
17196 }
a06ea964
NC
17197 else
17198 {
e9dbdd80 17199 if (((word >> 14) & 0x1) == 0)
a06ea964 17200 {
e9dbdd80 17201 if (((word >> 15) & 0x1) == 0)
a06ea964 17202 {
e9dbdd80 17203 if (((word >> 29) & 0x1) == 0)
a06ea964 17204 {
e9dbdd80
TC
17205 if (((word >> 30) & 0x1) == 0)
17206 {
17207 /* 33222222222211111111110000000000
17208 10987654321098765432109876543210
17209 x0011110xx1xxxxx001010xxxxxxxxxx
17210 fadd. */
b731bc3b 17211 return 843;
e9dbdd80
TC
17212 }
17213 else
17214 {
17215 /* 33222222222211111111110000000000
17216 10987654321098765432109876543210
17217 x1011110xx1xxxxx001010xxxxxxxxxx
17218 sha256su0. */
193614f2 17219 return 677;
e9dbdd80 17220 }
a06ea964
NC
17221 }
17222 else
17223 {
17224 /* 33222222222211111111110000000000
17225 10987654321098765432109876543210
e9dbdd80
TC
17226 xx111110xx1xxxxx001010xxxxxxxxxx
17227 sqxtun. */
193614f2 17228 return 514;
a06ea964
NC
17229 }
17230 }
17231 else
17232 {
e9dbdd80 17233 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17234 {
17235 /* 33222222222211111111110000000000
17236 10987654321098765432109876543210
e9dbdd80
TC
17237 xxx11110xx1xxxx0101010xxxxxxxxxx
17238 cmlt. */
193614f2 17239 return 484;
a06ea964
NC
17240 }
17241 else
17242 {
e9dbdd80
TC
17243 if (((word >> 19) & 0x1) == 0)
17244 {
17245 if (((word >> 23) & 0x1) == 0)
17246 {
17247 if (((word >> 29) & 0x1) == 0)
17248 {
17249 /* 33222222222211111111110000000000
17250 10987654321098765432109876543210
17251 xx0111100x1x0xx1101010xxxxxxxxxx
17252 fcvtns. */
193614f2 17253 return 487;
e9dbdd80
TC
17254 }
17255 else
17256 {
17257 /* 33222222222211111111110000000000
17258 10987654321098765432109876543210
17259 xx1111100x1x0xx1101010xxxxxxxxxx
17260 fcvtnu. */
193614f2 17261 return 517;
e9dbdd80
TC
17262 }
17263 }
17264 else
17265 {
17266 if (((word >> 29) & 0x1) == 0)
17267 {
17268 /* 33222222222211111111110000000000
17269 10987654321098765432109876543210
17270 xx0111101x1x0xx1101010xxxxxxxxxx
17271 fcvtps. */
193614f2 17272 return 501;
e9dbdd80
TC
17273 }
17274 else
17275 {
17276 /* 33222222222211111111110000000000
17277 10987654321098765432109876543210
17278 xx1111101x1x0xx1101010xxxxxxxxxx
17279 fcvtpu. */
193614f2 17280 return 529;
e9dbdd80
TC
17281 }
17282 }
17283 }
17284 else
17285 {
17286 if (((word >> 23) & 0x1) == 0)
17287 {
17288 if (((word >> 29) & 0x1) == 0)
17289 {
17290 /* 33222222222211111111110000000000
17291 10987654321098765432109876543210
17292 xx0111100x1x1xx1101010xxxxxxxxxx
17293 fcvtns. */
193614f2 17294 return 488;
e9dbdd80
TC
17295 }
17296 else
17297 {
17298 /* 33222222222211111111110000000000
17299 10987654321098765432109876543210
17300 xx1111100x1x1xx1101010xxxxxxxxxx
17301 fcvtnu. */
193614f2 17302 return 518;
e9dbdd80
TC
17303 }
17304 }
17305 else
17306 {
17307 if (((word >> 29) & 0x1) == 0)
17308 {
17309 /* 33222222222211111111110000000000
17310 10987654321098765432109876543210
17311 xx0111101x1x1xx1101010xxxxxxxxxx
17312 fcvtps. */
193614f2 17313 return 502;
e9dbdd80
TC
17314 }
17315 else
17316 {
17317 /* 33222222222211111111110000000000
17318 10987654321098765432109876543210
17319 xx1111101x1x1xx1101010xxxxxxxxxx
17320 fcvtpu. */
193614f2 17321 return 530;
e9dbdd80
TC
17322 }
17323 }
17324 }
17325 }
a06ea964
NC
17326 }
17327 }
e9dbdd80 17328 else
a06ea964
NC
17329 {
17330 if (((word >> 15) & 0x1) == 0)
17331 {
17332 if (((word >> 29) & 0x1) == 0)
17333 {
17334 /* 33222222222211111111110000000000
17335 10987654321098765432109876543210
e9dbdd80
TC
17336 xx011110xx1xxxxx011010xxxxxxxxxx
17337 fmaxnm. */
b731bc3b 17338 return 851;
a06ea964
NC
17339 }
17340 else
17341 {
17342 /* 33222222222211111111110000000000
17343 10987654321098765432109876543210
e9dbdd80
TC
17344 xx111110xx1xxxxx011010xxxxxxxxxx
17345 fcvtxn. */
193614f2 17346 return 516;
a06ea964
NC
17347 }
17348 }
17349 else
17350 {
e9dbdd80 17351 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
17352 {
17353 /* 33222222222211111111110000000000
17354 10987654321098765432109876543210
e9dbdd80
TC
17355 xxx11110xx1x0xxx111010xxxxxxxxxx
17356 fcmlt. */
193614f2 17357 return 499;
a06ea964
NC
17358 }
17359 else
17360 {
17361 /* 33222222222211111111110000000000
17362 10987654321098765432109876543210
e9dbdd80
TC
17363 xxx11110xx1x1xxx111010xxxxxxxxxx
17364 fcmlt. */
193614f2 17365 return 500;
a06ea964
NC
17366 }
17367 }
17368 }
e9dbdd80
TC
17369 }
17370 }
17371 else
17372 {
17373 if (((word >> 13) & 0x1) == 0)
17374 {
17375 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17376 {
17377 if (((word >> 15) & 0x1) == 0)
17378 {
e9dbdd80 17379 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17380 {
17381 /* 33222222222211111111110000000000
17382 10987654321098765432109876543210
e9dbdd80
TC
17383 x0x11110xx1xxxxx000110xxxxxxxxxx
17384 fdiv. */
b731bc3b 17385 return 841;
a06ea964
NC
17386 }
17387 else
17388 {
17389 /* 33222222222211111111110000000000
17390 10987654321098765432109876543210
e9dbdd80
TC
17391 x1x11110xx1xxxxx000110xxxxxxxxxx
17392 sha1su1. */
193614f2 17393 return 676;
a06ea964
NC
17394 }
17395 }
17396 else
17397 {
e9dbdd80 17398 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
17399 {
17400 /* 33222222222211111111110000000000
17401 10987654321098765432109876543210
e9dbdd80
TC
17402 xx011110xx1xxxxx100110xxxxxxxxxx
17403 cmeq. */
193614f2 17404 return 483;
a06ea964
NC
17405 }
17406 else
17407 {
17408 /* 33222222222211111111110000000000
17409 10987654321098765432109876543210
e9dbdd80
TC
17410 xx111110xx1xxxxx100110xxxxxxxxxx
17411 cmle. */
193614f2 17412 return 512;
a06ea964
NC
17413 }
17414 }
17415 }
e9dbdd80 17416 else
a06ea964
NC
17417 {
17418 if (((word >> 15) & 0x1) == 0)
17419 {
e9dbdd80
TC
17420 /* 33222222222211111111110000000000
17421 10987654321098765432109876543210
17422 xxx11110xx1xxxxx010110xxxxxxxxxx
17423 fmin. */
b731bc3b 17424 return 849;
a06ea964
NC
17425 }
17426 else
17427 {
e9dbdd80 17428 if (((word >> 16) & 0x1) == 0)
a06ea964 17429 {
e9dbdd80
TC
17430 if (((word >> 19) & 0x1) == 0)
17431 {
17432 if (((word >> 20) & 0x1) == 0)
17433 {
17434 if (((word >> 29) & 0x1) == 0)
17435 {
17436 /* 33222222222211111111110000000000
17437 10987654321098765432109876543210
17438 xx011110xx100xx0110110xxxxxxxxxx
17439 fcmeq. */
193614f2 17440 return 497;
e9dbdd80
TC
17441 }
17442 else
17443 {
17444 /* 33222222222211111111110000000000
17445 10987654321098765432109876543210
17446 xx111110xx100xx0110110xxxxxxxxxx
17447 fcmle. */
193614f2 17448 return 527;
e9dbdd80
TC
17449 }
17450 }
17451 else
17452 {
17453 if (((word >> 29) & 0x1) == 0)
17454 {
17455 /* 33222222222211111111110000000000
17456 10987654321098765432109876543210
17457 xx011110xx110xx0110110xxxxxxxxxx
17458 faddp. */
193614f2 17459 return 541;
e9dbdd80
TC
17460 }
17461 else
17462 {
17463 /* 33222222222211111111110000000000
17464 10987654321098765432109876543210
17465 xx111110xx110xx0110110xxxxxxxxxx
17466 faddp. */
193614f2 17467 return 540;
e9dbdd80
TC
17468 }
17469 }
17470 }
17471 else
17472 {
17473 if (((word >> 29) & 0x1) == 0)
17474 {
17475 /* 33222222222211111111110000000000
17476 10987654321098765432109876543210
17477 xx011110xx1x1xx0110110xxxxxxxxxx
17478 fcmeq. */
193614f2 17479 return 498;
e9dbdd80
TC
17480 }
17481 else
17482 {
17483 /* 33222222222211111111110000000000
17484 10987654321098765432109876543210
17485 xx111110xx1x1xx0110110xxxxxxxxxx
17486 fcmle. */
193614f2 17487 return 528;
e9dbdd80
TC
17488 }
17489 }
a06ea964
NC
17490 }
17491 else
17492 {
e9dbdd80
TC
17493 if (((word >> 19) & 0x1) == 0)
17494 {
17495 if (((word >> 23) & 0x1) == 0)
17496 {
17497 if (((word >> 29) & 0x1) == 0)
17498 {
17499 /* 33222222222211111111110000000000
17500 10987654321098765432109876543210
17501 xx0111100x1x0xx1110110xxxxxxxxxx
17502 scvtf. */
193614f2 17503 return 493;
e9dbdd80
TC
17504 }
17505 else
17506 {
17507 /* 33222222222211111111110000000000
17508 10987654321098765432109876543210
17509 xx1111100x1x0xx1110110xxxxxxxxxx
17510 ucvtf. */
193614f2 17511 return 523;
e9dbdd80
TC
17512 }
17513 }
17514 else
17515 {
17516 if (((word >> 29) & 0x1) == 0)
17517 {
17518 /* 33222222222211111111110000000000
17519 10987654321098765432109876543210
17520 xx0111101x1x0xx1110110xxxxxxxxxx
17521 frecpe. */
193614f2 17522 return 505;
e9dbdd80
TC
17523 }
17524 else
17525 {
17526 /* 33222222222211111111110000000000
17527 10987654321098765432109876543210
17528 xx1111101x1x0xx1110110xxxxxxxxxx
17529 frsqrte. */
193614f2 17530 return 533;
e9dbdd80
TC
17531 }
17532 }
17533 }
17534 else
17535 {
17536 if (((word >> 23) & 0x1) == 0)
17537 {
17538 if (((word >> 29) & 0x1) == 0)
17539 {
17540 /* 33222222222211111111110000000000
17541 10987654321098765432109876543210
17542 xx0111100x1x1xx1110110xxxxxxxxxx
17543 scvtf. */
193614f2 17544 return 494;
e9dbdd80
TC
17545 }
17546 else
17547 {
17548 /* 33222222222211111111110000000000
17549 10987654321098765432109876543210
17550 xx1111100x1x1xx1110110xxxxxxxxxx
17551 ucvtf. */
193614f2 17552 return 524;
e9dbdd80
TC
17553 }
17554 }
17555 else
17556 {
17557 if (((word >> 29) & 0x1) == 0)
17558 {
17559 /* 33222222222211111111110000000000
17560 10987654321098765432109876543210
17561 xx0111101x1x1xx1110110xxxxxxxxxx
17562 frecpe. */
193614f2 17563 return 506;
e9dbdd80
TC
17564 }
17565 else
17566 {
17567 /* 33222222222211111111110000000000
17568 10987654321098765432109876543210
17569 xx1111101x1x1xx1110110xxxxxxxxxx
17570 frsqrte. */
193614f2 17571 return 534;
e9dbdd80
TC
17572 }
17573 }
17574 }
a06ea964
NC
17575 }
17576 }
17577 }
e9dbdd80
TC
17578 }
17579 else
17580 {
17581 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17582 {
17583 if (((word >> 15) & 0x1) == 0)
17584 {
17585 if (((word >> 29) & 0x1) == 0)
17586 {
e9dbdd80
TC
17587 if (((word >> 30) & 0x1) == 0)
17588 {
17589 /* 33222222222211111111110000000000
17590 10987654321098765432109876543210
17591 x0011110xx1xxxxx001110xxxxxxxxxx
17592 fsub. */
b731bc3b 17593 return 845;
e9dbdd80
TC
17594 }
17595 else
17596 {
17597 /* 33222222222211111111110000000000
17598 10987654321098765432109876543210
17599 x1011110xx1xxxxx001110xxxxxxxxxx
17600 suqadd. */
193614f2 17601 return 480;
e9dbdd80 17602 }
a06ea964
NC
17603 }
17604 else
17605 {
17606 /* 33222222222211111111110000000000
17607 10987654321098765432109876543210
e9dbdd80
TC
17608 xx111110xx1xxxxx001110xxxxxxxxxx
17609 usqadd. */
193614f2 17610 return 509;
a06ea964
NC
17611 }
17612 }
17613 else
17614 {
e9dbdd80 17615 if (((word >> 16) & 0x1) == 0)
a06ea964 17616 {
e9dbdd80
TC
17617 if (((word >> 29) & 0x1) == 0)
17618 {
17619 /* 33222222222211111111110000000000
17620 10987654321098765432109876543210
17621 xx011110xx1xxxx0101110xxxxxxxxxx
17622 abs. */
193614f2 17623 return 485;
e9dbdd80
TC
17624 }
17625 else
17626 {
17627 /* 33222222222211111111110000000000
17628 10987654321098765432109876543210
17629 xx111110xx1xxxx0101110xxxxxxxxxx
17630 neg. */
193614f2 17631 return 513;
e9dbdd80 17632 }
a06ea964
NC
17633 }
17634 else
17635 {
e9dbdd80 17636 if (((word >> 19) & 0x1) == 0)
a06ea964 17637 {
e9dbdd80 17638 if (((word >> 20) & 0x1) == 0)
a06ea964 17639 {
e9dbdd80
TC
17640 if (((word >> 23) & 0x1) == 0)
17641 {
17642 if (((word >> 29) & 0x1) == 0)
17643 {
17644 /* 33222222222211111111110000000000
17645 10987654321098765432109876543210
17646 xx0111100x100xx1101110xxxxxxxxxx
17647 fcvtms. */
193614f2 17648 return 489;
e9dbdd80
TC
17649 }
17650 else
17651 {
17652 /* 33222222222211111111110000000000
17653 10987654321098765432109876543210
17654 xx1111100x100xx1101110xxxxxxxxxx
17655 fcvtmu. */
193614f2 17656 return 519;
e9dbdd80
TC
17657 }
17658 }
17659 else
17660 {
17661 if (((word >> 29) & 0x1) == 0)
17662 {
17663 /* 33222222222211111111110000000000
17664 10987654321098765432109876543210
17665 xx0111101x100xx1101110xxxxxxxxxx
17666 fcvtzs. */
193614f2 17667 return 503;
e9dbdd80
TC
17668 }
17669 else
17670 {
17671 /* 33222222222211111111110000000000
17672 10987654321098765432109876543210
17673 xx1111101x100xx1101110xxxxxxxxxx
17674 fcvtzu. */
193614f2 17675 return 531;
e9dbdd80
TC
17676 }
17677 }
a06ea964
NC
17678 }
17679 else
17680 {
17681 /* 33222222222211111111110000000000
17682 10987654321098765432109876543210
e9dbdd80
TC
17683 xxx11110xx110xx1101110xxxxxxxxxx
17684 addp. */
193614f2 17685 return 537;
a06ea964
NC
17686 }
17687 }
17688 else
17689 {
e9dbdd80 17690 if (((word >> 23) & 0x1) == 0)
a06ea964 17691 {
e9dbdd80
TC
17692 if (((word >> 29) & 0x1) == 0)
17693 {
17694 /* 33222222222211111111110000000000
17695 10987654321098765432109876543210
17696 xx0111100x1x1xx1101110xxxxxxxxxx
17697 fcvtms. */
193614f2 17698 return 490;
e9dbdd80
TC
17699 }
17700 else
17701 {
17702 /* 33222222222211111111110000000000
17703 10987654321098765432109876543210
17704 xx1111100x1x1xx1101110xxxxxxxxxx
17705 fcvtmu. */
193614f2 17706 return 520;
e9dbdd80 17707 }
a06ea964
NC
17708 }
17709 else
17710 {
e9dbdd80
TC
17711 if (((word >> 29) & 0x1) == 0)
17712 {
17713 /* 33222222222211111111110000000000
17714 10987654321098765432109876543210
17715 xx0111101x1x1xx1101110xxxxxxxxxx
17716 fcvtzs. */
193614f2 17717 return 504;
e9dbdd80
TC
17718 }
17719 else
17720 {
17721 /* 33222222222211111111110000000000
17722 10987654321098765432109876543210
17723 xx1111101x1x1xx1101110xxxxxxxxxx
17724 fcvtzu. */
193614f2 17725 return 532;
e9dbdd80 17726 }
a06ea964
NC
17727 }
17728 }
17729 }
e9dbdd80
TC
17730 }
17731 }
17732 else
17733 {
17734 if (((word >> 15) & 0x1) == 0)
17735 {
17736 if (((word >> 29) & 0x1) == 0)
17737 {
17738 if (((word >> 30) & 0x1) == 0)
17739 {
17740 /* 33222222222211111111110000000000
17741 10987654321098765432109876543210
17742 x0011110xx1xxxxx011110xxxxxxxxxx
17743 fminnm. */
b731bc3b 17744 return 853;
e9dbdd80
TC
17745 }
17746 else
17747 {
17748 /* 33222222222211111111110000000000
17749 10987654321098765432109876543210
17750 x1011110xx1xxxxx011110xxxxxxxxxx
17751 sqabs. */
193614f2 17752 return 481;
e9dbdd80
TC
17753 }
17754 }
a06ea964 17755 else
e9dbdd80
TC
17756 {
17757 /* 33222222222211111111110000000000
17758 10987654321098765432109876543210
17759 xx111110xx1xxxxx011110xxxxxxxxxx
17760 sqneg. */
193614f2 17761 return 510;
e9dbdd80
TC
17762 }
17763 }
17764 else
17765 {
17766 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17767 {
17768 if (((word >> 23) & 0x1) == 0)
17769 {
17770 if (((word >> 29) & 0x1) == 0)
17771 {
17772 /* 33222222222211111111110000000000
17773 10987654321098765432109876543210
e9dbdd80
TC
17774 xx0111100x1xxxx0111110xxxxxxxxxx
17775 fmaxp. */
193614f2 17776 return 543;
a06ea964
NC
17777 }
17778 else
17779 {
17780 /* 33222222222211111111110000000000
17781 10987654321098765432109876543210
e9dbdd80
TC
17782 xx1111100x1xxxx0111110xxxxxxxxxx
17783 fmaxp. */
193614f2 17784 return 542;
a06ea964
NC
17785 }
17786 }
17787 else
17788 {
17789 if (((word >> 29) & 0x1) == 0)
17790 {
17791 /* 33222222222211111111110000000000
17792 10987654321098765432109876543210
e9dbdd80
TC
17793 xx0111101x1xxxx0111110xxxxxxxxxx
17794 fminp. */
193614f2 17795 return 547;
a06ea964
NC
17796 }
17797 else
17798 {
17799 /* 33222222222211111111110000000000
17800 10987654321098765432109876543210
e9dbdd80
TC
17801 xx1111101x1xxxx0111110xxxxxxxxxx
17802 fminp. */
193614f2 17803 return 546;
a06ea964
NC
17804 }
17805 }
17806 }
a06ea964
NC
17807 else
17808 {
e9dbdd80
TC
17809 if (((word >> 19) & 0x1) == 0)
17810 {
17811 /* 33222222222211111111110000000000
17812 10987654321098765432109876543210
17813 xxx11110xx1x0xx1111110xxxxxxxxxx
17814 frecpx. */
193614f2 17815 return 507;
e9dbdd80
TC
17816 }
17817 else
17818 {
17819 /* 33222222222211111111110000000000
17820 10987654321098765432109876543210
17821 xxx11110xx1x1xx1111110xxxxxxxxxx
17822 frecpx. */
193614f2 17823 return 508;
e9dbdd80 17824 }
a06ea964
NC
17825 }
17826 }
17827 }
e9dbdd80
TC
17828 }
17829 }
17830 }
17831 }
17832 else
17833 {
17834 if (((word >> 11) & 0x1) == 0)
17835 {
17836 if (((word >> 29) & 0x1) == 0)
17837 {
17838 if (((word >> 30) & 0x1) == 0)
17839 {
17840 if (((word >> 4) & 0x1) == 0)
17841 {
17842 /* 33222222222211111111110000000000
17843 10987654321098765432109876543210
17844 x0011110xx1xxxxxxxxx01xxxxx0xxxx
17845 fccmp. */
b731bc3b 17846 return 798;
e9dbdd80
TC
17847 }
17848 else
17849 {
17850 /* 33222222222211111111110000000000
17851 10987654321098765432109876543210
17852 x0011110xx1xxxxxxxxx01xxxxx1xxxx
17853 fccmpe. */
b731bc3b 17854 return 800;
e9dbdd80
TC
17855 }
17856 }
17857 else
17858 {
17859 if (((word >> 12) & 0x1) == 0)
a06ea964 17860 {
e9dbdd80 17861 if (((word >> 13) & 0x1) == 0)
a06ea964 17862 {
e9dbdd80 17863 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17864 {
17865 /* 33222222222211111111110000000000
17866 10987654321098765432109876543210
e9dbdd80
TC
17867 x1011110xx1xxxxxx00001xxxxxxxxxx
17868 add. */
193614f2 17869 return 565;
a06ea964
NC
17870 }
17871 else
17872 {
17873 /* 33222222222211111111110000000000
17874 10987654321098765432109876543210
e9dbdd80
TC
17875 x1011110xx1xxxxxx10001xxxxxxxxxx
17876 sshl. */
193614f2 17877 return 563;
a06ea964
NC
17878 }
17879 }
17880 else
17881 {
e9dbdd80
TC
17882 /* 33222222222211111111110000000000
17883 10987654321098765432109876543210
17884 x1011110xx1xxxxxxx1001xxxxxxxxxx
17885 fcmeq. */
193614f2 17886 return 555;
e9dbdd80
TC
17887 }
17888 }
17889 else
17890 {
17891 if (((word >> 13) & 0x1) == 0)
17892 {
17893 /* 33222222222211111111110000000000
17894 10987654321098765432109876543210
17895 x1011110xx1xxxxxxx0101xxxxxxxxxx
17896 srshl. */
193614f2 17897 return 564;
e9dbdd80
TC
17898 }
17899 else
17900 {
17901 if (((word >> 15) & 0x1) == 0)
a06ea964
NC
17902 {
17903 /* 33222222222211111111110000000000
17904 10987654321098765432109876543210
e9dbdd80
TC
17905 x1011110xx1xxxxx0x1101xxxxxxxxxx
17906 cmgt. */
193614f2 17907 return 561;
a06ea964
NC
17908 }
17909 else
17910 {
17911 /* 33222222222211111111110000000000
17912 10987654321098765432109876543210
e9dbdd80
TC
17913 x1011110xx1xxxxx1x1101xxxxxxxxxx
17914 sqdmulh. */
193614f2 17915 return 552;
a06ea964
NC
17916 }
17917 }
17918 }
17919 }
e9dbdd80
TC
17920 }
17921 else
17922 {
17923 if (((word >> 12) & 0x1) == 0)
17924 {
17925 if (((word >> 13) & 0x1) == 0)
17926 {
17927 if (((word >> 14) & 0x1) == 0)
17928 {
17929 /* 33222222222211111111110000000000
17930 10987654321098765432109876543210
17931 xx111110xx1xxxxxx00001xxxxxxxxxx
17932 sub. */
193614f2 17933 return 586;
e9dbdd80
TC
17934 }
17935 else
17936 {
17937 /* 33222222222211111111110000000000
17938 10987654321098765432109876543210
17939 xx111110xx1xxxxxx10001xxxxxxxxxx
17940 ushl. */
193614f2 17941 return 584;
e9dbdd80
TC
17942 }
17943 }
17944 else
17945 {
17946 if (((word >> 23) & 0x1) == 0)
17947 {
17948 /* 33222222222211111111110000000000
17949 10987654321098765432109876543210
17950 xx1111100x1xxxxxxx1001xxxxxxxxxx
17951 fcmge. */
193614f2 17952 return 572;
e9dbdd80
TC
17953 }
17954 else
17955 {
17956 /* 33222222222211111111110000000000
17957 10987654321098765432109876543210
17958 xx1111101x1xxxxxxx1001xxxxxxxxxx
17959 fcmgt. */
193614f2 17960 return 578;
e9dbdd80
TC
17961 }
17962 }
17963 }
a06ea964
NC
17964 else
17965 {
e9dbdd80 17966 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
17967 {
17968 if (((word >> 15) & 0x1) == 0)
17969 {
e9dbdd80
TC
17970 /* 33222222222211111111110000000000
17971 10987654321098765432109876543210
17972 xx111110xx1xxxxx0x0101xxxxxxxxxx
17973 urshl. */
193614f2 17974 return 585;
a06ea964
NC
17975 }
17976 else
17977 {
17978 /* 33222222222211111111110000000000
17979 10987654321098765432109876543210
e9dbdd80
TC
17980 xx111110xx1xxxxx1x0101xxxxxxxxxx
17981 fabd. */
193614f2 17982 return 576;
a06ea964
NC
17983 }
17984 }
17985 else
17986 {
17987 if (((word >> 15) & 0x1) == 0)
17988 {
e9dbdd80
TC
17989 /* 33222222222211111111110000000000
17990 10987654321098765432109876543210
17991 xx111110xx1xxxxx0x1101xxxxxxxxxx
17992 cmhi. */
193614f2 17993 return 582;
a06ea964
NC
17994 }
17995 else
17996 {
e9dbdd80
TC
17997 /* 33222222222211111111110000000000
17998 10987654321098765432109876543210
17999 xx111110xx1xxxxx1x1101xxxxxxxxxx
18000 sqrdmulh. */
193614f2 18001 return 571;
a06ea964
NC
18002 }
18003 }
18004 }
18005 }
18006 }
18007 else
18008 {
18009 if (((word >> 29) & 0x1) == 0)
18010 {
18011 if (((word >> 30) & 0x1) == 0)
18012 {
18013 /* 33222222222211111111110000000000
18014 10987654321098765432109876543210
7684e580 18015 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 18016 fcsel. */
b731bc3b 18017 return 867;
a06ea964
NC
18018 }
18019 else
18020 {
18021 if (((word >> 12) & 0x1) == 0)
18022 {
18023 if (((word >> 13) & 0x1) == 0)
18024 {
18025 if (((word >> 14) & 0x1) == 0)
18026 {
18027 if (((word >> 15) & 0x1) == 0)
18028 {
18029 /* 33222222222211111111110000000000
18030 10987654321098765432109876543210
7684e580 18031 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 18032 sqadd. */
193614f2 18033 return 548;
a06ea964
NC
18034 }
18035 else
18036 {
18037 /* 33222222222211111111110000000000
18038 10987654321098765432109876543210
7684e580 18039 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 18040 cmtst. */
193614f2 18041 return 566;
a06ea964
NC
18042 }
18043 }
18044 else
18045 {
18046 /* 33222222222211111111110000000000
18047 10987654321098765432109876543210
7684e580 18048 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 18049 sqshl. */
193614f2 18050 return 550;
a06ea964
NC
18051 }
18052 }
18053 else
18054 {
18055 /* 33222222222211111111110000000000
18056 10987654321098765432109876543210
7684e580 18057 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 18058 sqsub. */
193614f2 18059 return 549;
a06ea964
NC
18060 }
18061 }
18062 else
18063 {
18064 if (((word >> 13) & 0x1) == 0)
18065 {
18066 if (((word >> 15) & 0x1) == 0)
18067 {
18068 /* 33222222222211111111110000000000
18069 10987654321098765432109876543210
7684e580 18070 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 18071 sqrshl. */
193614f2 18072 return 551;
a06ea964
NC
18073 }
18074 else
18075 {
18076 /* 33222222222211111111110000000000
18077 10987654321098765432109876543210
7684e580 18078 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 18079 fmulx. */
193614f2 18080 return 553;
a06ea964
NC
18081 }
18082 }
18083 else
18084 {
18085 if (((word >> 14) & 0x1) == 0)
18086 {
18087 /* 33222222222211111111110000000000
18088 10987654321098765432109876543210
7684e580 18089 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 18090 cmge. */
193614f2 18091 return 562;
a06ea964
NC
18092 }
18093 else
18094 {
18095 if (((word >> 23) & 0x1) == 0)
18096 {
18097 /* 33222222222211111111110000000000
18098 10987654321098765432109876543210
7684e580 18099 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 18100 frecps. */
193614f2 18101 return 557;
a06ea964
NC
18102 }
18103 else
18104 {
18105 /* 33222222222211111111110000000000
18106 10987654321098765432109876543210
7684e580 18107 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 18108 frsqrts. */
193614f2 18109 return 559;
a06ea964
NC
18110 }
18111 }
18112 }
18113 }
18114 }
18115 }
18116 else
18117 {
18118 if (((word >> 12) & 0x1) == 0)
18119 {
18120 if (((word >> 13) & 0x1) == 0)
18121 {
18122 if (((word >> 14) & 0x1) == 0)
18123 {
18124 if (((word >> 15) & 0x1) == 0)
18125 {
18126 /* 33222222222211111111110000000000
18127 10987654321098765432109876543210
7684e580 18128 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 18129 uqadd. */
193614f2 18130 return 567;
a06ea964
NC
18131 }
18132 else
18133 {
18134 /* 33222222222211111111110000000000
18135 10987654321098765432109876543210
7684e580 18136 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 18137 cmeq. */
193614f2 18138 return 587;
a06ea964
NC
18139 }
18140 }
18141 else
18142 {
18143 /* 33222222222211111111110000000000
18144 10987654321098765432109876543210
7684e580 18145 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 18146 uqshl. */
193614f2 18147 return 569;
a06ea964
NC
18148 }
18149 }
18150 else
18151 {
18152 if (((word >> 14) & 0x1) == 0)
18153 {
18154 /* 33222222222211111111110000000000
18155 10987654321098765432109876543210
7684e580 18156 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 18157 uqsub. */
193614f2 18158 return 568;
a06ea964
NC
18159 }
18160 else
18161 {
18162 if (((word >> 23) & 0x1) == 0)
18163 {
18164 /* 33222222222211111111110000000000
18165 10987654321098765432109876543210
7684e580 18166 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 18167 facge. */
193614f2 18168 return 574;
a06ea964
NC
18169 }
18170 else
18171 {
18172 /* 33222222222211111111110000000000
18173 10987654321098765432109876543210
7684e580 18174 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 18175 facgt. */
193614f2 18176 return 580;
a06ea964
NC
18177 }
18178 }
18179 }
18180 }
18181 else
18182 {
18183 if (((word >> 13) & 0x1) == 0)
18184 {
18185 /* 33222222222211111111110000000000
18186 10987654321098765432109876543210
7684e580 18187 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 18188 uqrshl. */
193614f2 18189 return 570;
a06ea964
NC
18190 }
18191 else
18192 {
18193 /* 33222222222211111111110000000000
18194 10987654321098765432109876543210
7684e580 18195 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 18196 cmhs. */
193614f2 18197 return 583;
a06ea964
NC
18198 }
18199 }
18200 }
18201 }
18202 }
18203 }
18204 }
18205 }
18206 else
18207 {
18208 if (((word >> 15) & 0x1) == 0)
18209 {
18210 if (((word >> 28) & 0x1) == 0)
18211 {
18212 if (((word >> 10) & 0x1) == 0)
18213 {
18214 if (((word >> 12) & 0x1) == 0)
18215 {
18216 if (((word >> 13) & 0x1) == 0)
18217 {
18218 if (((word >> 14) & 0x1) == 0)
18219 {
e9dbdd80
TC
18220 if (((word >> 29) & 0x1) == 0)
18221 {
18222 if (((word >> 30) & 0x1) == 0)
18223 {
18224 /* 33222222222211111111110000000000
18225 10987654321098765432109876543210
18226 x0001111xxxxxxxx0000x0xxxxxxxxxx
18227 fmlal. */
b83b4b13 18228 return 2074;
e9dbdd80
TC
18229 }
18230 else
18231 {
18232 /* 33222222222211111111110000000000
18233 10987654321098765432109876543210
18234 x1001111xxxxxxxx0000x0xxxxxxxxxx
18235 fmlal. */
b83b4b13 18236 return 2078;
e9dbdd80
TC
18237 }
18238 }
18239 else
18240 {
18241 /* 33222222222211111111110000000000
18242 10987654321098765432109876543210
18243 xx101111xxxxxxxx0000x0xxxxxxxxxx
18244 mla. */
193614f2 18245 return 119;
e9dbdd80 18246 }
a06ea964
NC
18247 }
18248 else
18249 {
e9dbdd80
TC
18250 if (((word >> 29) & 0x1) == 0)
18251 {
18252 if (((word >> 30) & 0x1) == 0)
18253 {
18254 /* 33222222222211111111110000000000
18255 10987654321098765432109876543210
18256 x0001111xxxxxxxx0100x0xxxxxxxxxx
18257 fmlsl. */
b83b4b13 18258 return 2075;
e9dbdd80
TC
18259 }
18260 else
18261 {
18262 /* 33222222222211111111110000000000
18263 10987654321098765432109876543210
18264 x1001111xxxxxxxx0100x0xxxxxxxxxx
18265 fmlsl. */
b83b4b13 18266 return 2079;
e9dbdd80
TC
18267 }
18268 }
18269 else
18270 {
18271 /* 33222222222211111111110000000000
18272 10987654321098765432109876543210
18273 xx101111xxxxxxxx0100x0xxxxxxxxxx
18274 mls. */
193614f2 18275 return 122;
e9dbdd80 18276 }
a06ea964
NC
18277 }
18278 }
18279 else
18280 {
18281 if (((word >> 14) & 0x1) == 0)
18282 {
18283 if (((word >> 29) & 0x1) == 0)
18284 {
18285 if (((word >> 30) & 0x1) == 0)
18286 {
18287 /* 33222222222211111111110000000000
18288 10987654321098765432109876543210
7684e580 18289 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18290 smlal. */
193614f2 18291 return 98;
a06ea964
NC
18292 }
18293 else
18294 {
18295 /* 33222222222211111111110000000000
18296 10987654321098765432109876543210
7684e580 18297 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18298 smlal2. */
193614f2 18299 return 99;
a06ea964
NC
18300 }
18301 }
18302 else
18303 {
18304 if (((word >> 30) & 0x1) == 0)
18305 {
18306 /* 33222222222211111111110000000000
18307 10987654321098765432109876543210
7684e580 18308 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18309 umlal. */
193614f2 18310 return 120;
a06ea964
NC
18311 }
18312 else
18313 {
18314 /* 33222222222211111111110000000000
18315 10987654321098765432109876543210
7684e580 18316 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18317 umlal2. */
193614f2 18318 return 121;
a06ea964
NC
18319 }
18320 }
18321 }
18322 else
18323 {
18324 if (((word >> 29) & 0x1) == 0)
18325 {
18326 if (((word >> 30) & 0x1) == 0)
18327 {
18328 /* 33222222222211111111110000000000
18329 10987654321098765432109876543210
7684e580 18330 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18331 smlsl. */
193614f2 18332 return 102;
a06ea964
NC
18333 }
18334 else
18335 {
18336 /* 33222222222211111111110000000000
18337 10987654321098765432109876543210
7684e580 18338 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18339 smlsl2. */
193614f2 18340 return 103;
a06ea964
NC
18341 }
18342 }
18343 else
18344 {
18345 if (((word >> 30) & 0x1) == 0)
18346 {
18347 /* 33222222222211111111110000000000
18348 10987654321098765432109876543210
7684e580 18349 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18350 umlsl. */
193614f2 18351 return 123;
a06ea964
NC
18352 }
18353 else
18354 {
18355 /* 33222222222211111111110000000000
18356 10987654321098765432109876543210
7684e580 18357 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18358 umlsl2. */
193614f2 18359 return 124;
a06ea964
NC
18360 }
18361 }
18362 }
18363 }
18364 }
18365 else
18366 {
c2c4ff8d 18367 if (((word >> 29) & 0x1) == 0)
a06ea964 18368 {
c2c4ff8d 18369 if (((word >> 13) & 0x1) == 0)
a06ea964 18370 {
c2c4ff8d 18371 if (((word >> 14) & 0x1) == 0)
42f23f62 18372 {
c2c4ff8d
SN
18373 if (((word >> 23) & 0x1) == 0)
18374 {
18375 /* 33222222222211111111110000000000
18376 10987654321098765432109876543210
7684e580 18377 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18378 fmla. */
193614f2 18379 return 114;
c2c4ff8d
SN
18380 }
18381 else
18382 {
18383 /* 33222222222211111111110000000000
18384 10987654321098765432109876543210
7684e580 18385 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18386 fmla. */
193614f2 18387 return 113;
c2c4ff8d 18388 }
42f23f62
MW
18389 }
18390 else
18391 {
c2c4ff8d
SN
18392 if (((word >> 23) & 0x1) == 0)
18393 {
18394 /* 33222222222211111111110000000000
18395 10987654321098765432109876543210
7684e580 18396 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18397 fmls. */
193614f2 18398 return 116;
c2c4ff8d
SN
18399 }
18400 else
18401 {
18402 /* 33222222222211111111110000000000
18403 10987654321098765432109876543210
7684e580 18404 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18405 fmls. */
193614f2 18406 return 115;
c2c4ff8d 18407 }
42f23f62 18408 }
a06ea964
NC
18409 }
18410 else
18411 {
c2c4ff8d 18412 if (((word >> 14) & 0x1) == 0)
42f23f62 18413 {
c2c4ff8d
SN
18414 if (((word >> 30) & 0x1) == 0)
18415 {
18416 /* 33222222222211111111110000000000
18417 10987654321098765432109876543210
7684e580 18418 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18419 sqdmlal. */
193614f2 18420 return 100;
c2c4ff8d
SN
18421 }
18422 else
18423 {
18424 /* 33222222222211111111110000000000
18425 10987654321098765432109876543210
7684e580 18426 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18427 sqdmlal2. */
193614f2 18428 return 101;
c2c4ff8d 18429 }
42f23f62
MW
18430 }
18431 else
18432 {
c2c4ff8d
SN
18433 if (((word >> 30) & 0x1) == 0)
18434 {
18435 /* 33222222222211111111110000000000
18436 10987654321098765432109876543210
7684e580 18437 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18438 sqdmlsl. */
193614f2 18439 return 104;
c2c4ff8d
SN
18440 }
18441 else
18442 {
18443 /* 33222222222211111111110000000000
18444 10987654321098765432109876543210
7684e580 18445 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18446 sqdmlsl2. */
193614f2 18447 return 105;
c2c4ff8d 18448 }
42f23f62 18449 }
a06ea964
NC
18450 }
18451 }
18452 else
18453 {
c2c4ff8d
SN
18454 /* 33222222222211111111110000000000
18455 10987654321098765432109876543210
7684e580 18456 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d 18457 fcmla. */
193614f2 18458 return 131;
a06ea964
NC
18459 }
18460 }
18461 }
18462 else
18463 {
18464 if (((word >> 12) & 0x1) == 0)
18465 {
18466 if (((word >> 29) & 0x1) == 0)
18467 {
18468 /* 33222222222211111111110000000000
18469 10987654321098765432109876543210
7684e580 18470 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18471 movi. */
193614f2 18472 return 133;
a06ea964
NC
18473 }
18474 else
18475 {
18476 /* 33222222222211111111110000000000
18477 10987654321098765432109876543210
7684e580 18478 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18479 mvni. */
193614f2 18480 return 141;
a06ea964
NC
18481 }
18482 }
18483 else
18484 {
18485 if (((word >> 29) & 0x1) == 0)
18486 {
18487 /* 33222222222211111111110000000000
18488 10987654321098765432109876543210
7684e580 18489 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18490 orr. */
193614f2 18491 return 134;
a06ea964
NC
18492 }
18493 else
18494 {
18495 /* 33222222222211111111110000000000
18496 10987654321098765432109876543210
7684e580 18497 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18498 bic. */
193614f2 18499 return 142;
a06ea964
NC
18500 }
18501 }
18502 }
18503 }
18504 else
18505 {
18506 if (((word >> 29) & 0x1) == 0)
18507 {
18508 if (((word >> 30) & 0x1) == 0)
18509 {
18510 if (((word >> 21) & 0x1) == 0)
18511 {
18512 /* 33222222222211111111110000000000
18513 10987654321098765432109876543210
7684e580 18514 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 18515 fmadd. */
b731bc3b 18516 return 857;
a06ea964
NC
18517 }
18518 else
18519 {
18520 /* 33222222222211111111110000000000
18521 10987654321098765432109876543210
7684e580 18522 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 18523 fnmadd. */
b731bc3b 18524 return 861;
a06ea964
NC
18525 }
18526 }
18527 else
18528 {
18529 if (((word >> 10) & 0x1) == 0)
18530 {
18531 if (((word >> 13) & 0x1) == 0)
18532 {
18533 if (((word >> 14) & 0x1) == 0)
18534 {
5f7728b7
MW
18535 if (((word >> 23) & 0x1) == 0)
18536 {
18537 /* 33222222222211111111110000000000
18538 10987654321098765432109876543210
7684e580 18539 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18540 fmla. */
193614f2 18541 return 431;
5f7728b7
MW
18542 }
18543 else
18544 {
18545 /* 33222222222211111111110000000000
18546 10987654321098765432109876543210
7684e580 18547 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18548 fmla. */
193614f2 18549 return 430;
5f7728b7 18550 }
a06ea964
NC
18551 }
18552 else
18553 {
5f7728b7
MW
18554 if (((word >> 23) & 0x1) == 0)
18555 {
18556 /* 33222222222211111111110000000000
18557 10987654321098765432109876543210
7684e580 18558 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18559 fmls. */
193614f2 18560 return 433;
5f7728b7
MW
18561 }
18562 else
18563 {
18564 /* 33222222222211111111110000000000
18565 10987654321098765432109876543210
7684e580 18566 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18567 fmls. */
193614f2 18568 return 432;
5f7728b7 18569 }
a06ea964
NC
18570 }
18571 }
18572 else
18573 {
18574 if (((word >> 14) & 0x1) == 0)
18575 {
18576 /* 33222222222211111111110000000000
18577 10987654321098765432109876543210
7684e580 18578 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 18579 sqdmlal. */
193614f2 18580 return 425;
a06ea964
NC
18581 }
18582 else
18583 {
18584 /* 33222222222211111111110000000000
18585 10987654321098765432109876543210
7684e580 18586 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 18587 sqdmlsl. */
193614f2 18588 return 426;
a06ea964
NC
18589 }
18590 }
18591 }
18592 else
18593 {
18594 if (((word >> 12) & 0x1) == 0)
18595 {
18596 if (((word >> 13) & 0x1) == 0)
18597 {
18598 /* 33222222222211111111110000000000
18599 10987654321098765432109876543210
7684e580 18600 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 18601 sshr. */
193614f2 18602 return 590;
a06ea964
NC
18603 }
18604 else
18605 {
18606 /* 33222222222211111111110000000000
18607 10987654321098765432109876543210
7684e580 18608 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 18609 srshr. */
193614f2 18610 return 592;
a06ea964
NC
18611 }
18612 }
18613 else
18614 {
18615 if (((word >> 13) & 0x1) == 0)
18616 {
18617 if (((word >> 14) & 0x1) == 0)
18618 {
18619 /* 33222222222211111111110000000000
18620 10987654321098765432109876543210
7684e580 18621 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 18622 ssra. */
193614f2 18623 return 591;
a06ea964
NC
18624 }
18625 else
18626 {
18627 /* 33222222222211111111110000000000
18628 10987654321098765432109876543210
7684e580 18629 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 18630 shl. */
193614f2 18631 return 594;
a06ea964
NC
18632 }
18633 }
18634 else
18635 {
18636 if (((word >> 14) & 0x1) == 0)
18637 {
18638 /* 33222222222211111111110000000000
18639 10987654321098765432109876543210
7684e580 18640 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 18641 srsra. */
193614f2 18642 return 593;
a06ea964
NC
18643 }
18644 else
18645 {
18646 /* 33222222222211111111110000000000
18647 10987654321098765432109876543210
7684e580 18648 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 18649 sqshl. */
193614f2 18650 return 595;
a06ea964
NC
18651 }
18652 }
18653 }
18654 }
18655 }
18656 }
18657 else
18658 {
18659 if (((word >> 12) & 0x1) == 0)
18660 {
18661 if (((word >> 13) & 0x1) == 0)
18662 {
18663 if (((word >> 14) & 0x1) == 0)
18664 {
18665 /* 33222222222211111111110000000000
18666 10987654321098765432109876543210
7684e580 18667 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 18668 ushr. */
193614f2 18669 return 602;
a06ea964
NC
18670 }
18671 else
18672 {
18673 /* 33222222222211111111110000000000
18674 10987654321098765432109876543210
7684e580 18675 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 18676 sri. */
193614f2 18677 return 606;
a06ea964
NC
18678 }
18679 }
18680 else
18681 {
18682 if (((word >> 14) & 0x1) == 0)
18683 {
18684 /* 33222222222211111111110000000000
18685 10987654321098765432109876543210
7684e580 18686 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 18687 urshr. */
193614f2 18688 return 604;
a06ea964
NC
18689 }
18690 else
18691 {
18692 /* 33222222222211111111110000000000
18693 10987654321098765432109876543210
7684e580 18694 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 18695 sqshlu. */
193614f2 18696 return 608;
a06ea964
NC
18697 }
18698 }
18699 }
18700 else
18701 {
18702 if (((word >> 13) & 0x1) == 0)
18703 {
18704 if (((word >> 14) & 0x1) == 0)
18705 {
18706 /* 33222222222211111111110000000000
18707 10987654321098765432109876543210
7684e580 18708 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 18709 usra. */
193614f2 18710 return 603;
a06ea964
NC
18711 }
18712 else
18713 {
18714 /* 33222222222211111111110000000000
18715 10987654321098765432109876543210
7684e580 18716 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 18717 sli. */
193614f2 18718 return 607;
a06ea964
NC
18719 }
18720 }
18721 else
18722 {
18723 if (((word >> 14) & 0x1) == 0)
18724 {
18725 /* 33222222222211111111110000000000
18726 10987654321098765432109876543210
7684e580 18727 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 18728 ursra. */
193614f2 18729 return 605;
a06ea964
NC
18730 }
18731 else
18732 {
18733 /* 33222222222211111111110000000000
18734 10987654321098765432109876543210
7684e580 18735 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 18736 uqshl. */
193614f2 18737 return 609;
a06ea964
NC
18738 }
18739 }
18740 }
18741 }
18742 }
18743 }
18744 else
18745 {
18746 if (((word >> 28) & 0x1) == 0)
18747 {
ff329288 18748 if (((word >> 10) & 0x1) == 0)
a06ea964 18749 {
ff329288 18750 if (((word >> 12) & 0x1) == 0)
a06ea964 18751 {
ff329288 18752 if (((word >> 13) & 0x1) == 0)
a06ea964 18753 {
ff329288 18754 if (((word >> 14) & 0x1) == 0)
a06ea964 18755 {
e9dbdd80
TC
18756 if (((word >> 29) & 0x1) == 0)
18757 {
18758 /* 33222222222211111111110000000000
18759 10987654321098765432109876543210
18760 xx001111xxxxxxxx1000x0xxxxxxxxxx
18761 mul. */
193614f2 18762 return 106;
e9dbdd80
TC
18763 }
18764 else
18765 {
18766 if (((word >> 30) & 0x1) == 0)
18767 {
18768 /* 33222222222211111111110000000000
18769 10987654321098765432109876543210
18770 x0101111xxxxxxxx1000x0xxxxxxxxxx
18771 fmlal2. */
b83b4b13 18772 return 2076;
e9dbdd80
TC
18773 }
18774 else
18775 {
18776 /* 33222222222211111111110000000000
18777 10987654321098765432109876543210
18778 x1101111xxxxxxxx1000x0xxxxxxxxxx
18779 fmlal2. */
b83b4b13 18780 return 2080;
e9dbdd80
TC
18781 }
18782 }
a06ea964
NC
18783 }
18784 else
ff329288
TC
18785 {
18786 if (((word >> 29) & 0x1) == 0)
18787 {
18788 /* 33222222222211111111110000000000
18789 10987654321098765432109876543210
18790 xx001111xxxxxxxx1100x0xxxxxxxxxx
18791 sqdmulh. */
193614f2 18792 return 111;
ff329288
TC
18793 }
18794 else
18795 {
18796 if (((word >> 30) & 0x1) == 0)
18797 {
18798 /* 33222222222211111111110000000000
18799 10987654321098765432109876543210
18800 x0101111xxxxxxxx1100x0xxxxxxxxxx
18801 fmlsl2. */
b83b4b13 18802 return 2077;
ff329288
TC
18803 }
18804 else
18805 {
18806 /* 33222222222211111111110000000000
18807 10987654321098765432109876543210
18808 x1101111xxxxxxxx1100x0xxxxxxxxxx
18809 fmlsl2. */
b83b4b13 18810 return 2081;
ff329288
TC
18811 }
18812 }
18813 }
18814 }
18815 else
18816 {
18817 if (((word >> 14) & 0x1) == 0)
a06ea964 18818 {
65a55fbb 18819 if (((word >> 29) & 0x1) == 0)
a06ea964 18820 {
65a55fbb
TC
18821 if (((word >> 30) & 0x1) == 0)
18822 {
18823 /* 33222222222211111111110000000000
18824 10987654321098765432109876543210
7684e580 18825 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18826 smull. */
193614f2 18827 return 107;
65a55fbb
TC
18828 }
18829 else
18830 {
18831 /* 33222222222211111111110000000000
18832 10987654321098765432109876543210
7684e580 18833 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18834 smull2. */
193614f2 18835 return 108;
65a55fbb 18836 }
a06ea964
NC
18837 }
18838 else
18839 {
65a55fbb
TC
18840 if (((word >> 30) & 0x1) == 0)
18841 {
18842 /* 33222222222211111111110000000000
18843 10987654321098765432109876543210
7684e580 18844 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18845 umull. */
193614f2 18846 return 125;
65a55fbb
TC
18847 }
18848 else
18849 {
18850 /* 33222222222211111111110000000000
18851 10987654321098765432109876543210
7684e580 18852 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18853 umull2. */
193614f2 18854 return 126;
65a55fbb 18855 }
a06ea964
NC
18856 }
18857 }
ff329288
TC
18858 else
18859 {
18860 if (((word >> 29) & 0x1) == 0)
18861 {
18862 /* 33222222222211111111110000000000
18863 10987654321098765432109876543210
18864 xx001111xxxxxxxx1110x0xxxxxxxxxx
18865 sdot. */
b83b4b13 18866 return 2048;
ff329288
TC
18867 }
18868 else
18869 {
18870 /* 33222222222211111111110000000000
18871 10987654321098765432109876543210
18872 xx101111xxxxxxxx1110x0xxxxxxxxxx
18873 udot. */
b83b4b13 18874 return 2047;
ff329288
TC
18875 }
18876 }
a06ea964 18877 }
ff329288
TC
18878 }
18879 else
18880 {
18881 if (((word >> 13) & 0x1) == 0)
a06ea964 18882 {
ff329288 18883 if (((word >> 14) & 0x1) == 0)
a06ea964 18884 {
42f23f62 18885 if (((word >> 23) & 0x1) == 0)
a06ea964 18886 {
42f23f62
MW
18887 if (((word >> 29) & 0x1) == 0)
18888 {
18889 /* 33222222222211111111110000000000
18890 10987654321098765432109876543210
7684e580 18891 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18892 fmul. */
193614f2 18893 return 118;
42f23f62
MW
18894 }
18895 else
18896 {
18897 /* 33222222222211111111110000000000
18898 10987654321098765432109876543210
7684e580 18899 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18900 fmulx. */
193614f2 18901 return 128;
42f23f62 18902 }
a06ea964
NC
18903 }
18904 else
18905 {
42f23f62
MW
18906 if (((word >> 29) & 0x1) == 0)
18907 {
18908 /* 33222222222211111111110000000000
18909 10987654321098765432109876543210
7684e580 18910 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18911 fmul. */
193614f2 18912 return 117;
42f23f62
MW
18913 }
18914 else
18915 {
18916 /* 33222222222211111111110000000000
18917 10987654321098765432109876543210
7684e580 18918 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18919 fmulx. */
193614f2 18920 return 127;
42f23f62 18921 }
a06ea964
NC
18922 }
18923 }
18924 else
ff329288
TC
18925 {
18926 if (((word >> 29) & 0x1) == 0)
18927 {
18928 /* 33222222222211111111110000000000
18929 10987654321098765432109876543210
18930 xx001111xxxxxxxx1101x0xxxxxxxxxx
18931 sqrdmulh. */
193614f2 18932 return 112;
ff329288
TC
18933 }
18934 else
18935 {
18936 /* 33222222222211111111110000000000
18937 10987654321098765432109876543210
18938 xx101111xxxxxxxx1101x0xxxxxxxxxx
18939 sqrdmlah. */
193614f2 18940 return 129;
ff329288
TC
18941 }
18942 }
18943 }
18944 else
18945 {
18946 if (((word >> 14) & 0x1) == 0)
a06ea964 18947 {
9e1f0fa7
MW
18948 if (((word >> 30) & 0x1) == 0)
18949 {
18950 /* 33222222222211111111110000000000
18951 10987654321098765432109876543210
7684e580 18952 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18953 sqdmull. */
193614f2 18954 return 109;
9e1f0fa7
MW
18955 }
18956 else
18957 {
18958 /* 33222222222211111111110000000000
18959 10987654321098765432109876543210
7684e580 18960 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18961 sqdmull2. */
193614f2 18962 return 110;
9e1f0fa7 18963 }
a06ea964 18964 }
ff329288
TC
18965 else
18966 {
18967 /* 33222222222211111111110000000000
18968 10987654321098765432109876543210
18969 xxx01111xxxxxxxx1111x0xxxxxxxxxx
18970 sqrdmlsh. */
193614f2 18971 return 130;
ff329288 18972 }
a06ea964
NC
18973 }
18974 }
ff329288
TC
18975 }
18976 else
18977 {
18978 if (((word >> 11) & 0x1) == 0)
a06ea964 18979 {
ff329288 18980 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18981 {
18982 if (((word >> 12) & 0x1) == 0)
18983 {
18984 if (((word >> 29) & 0x1) == 0)
18985 {
18986 /* 33222222222211111111110000000000
18987 10987654321098765432109876543210
7684e580 18988 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18989 movi. */
193614f2 18990 return 135;
a06ea964
NC
18991 }
18992 else
18993 {
18994 /* 33222222222211111111110000000000
18995 10987654321098765432109876543210
7684e580 18996 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18997 mvni. */
193614f2 18998 return 143;
a06ea964
NC
18999 }
19000 }
19001 else
19002 {
19003 if (((word >> 29) & 0x1) == 0)
19004 {
19005 /* 33222222222211111111110000000000
19006 10987654321098765432109876543210
7684e580 19007 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 19008 orr. */
193614f2 19009 return 136;
a06ea964
NC
19010 }
19011 else
19012 {
19013 /* 33222222222211111111110000000000
19014 10987654321098765432109876543210
7684e580 19015 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 19016 bic. */
193614f2 19017 return 144;
a06ea964
NC
19018 }
19019 }
19020 }
19021 else
19022 {
ff329288 19023 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
19024 {
19025 if (((word >> 29) & 0x1) == 0)
19026 {
ff329288
TC
19027 /* 33222222222211111111110000000000
19028 10987654321098765432109876543210
19029 xx001111xxxxxxxx110x01xxxxxxxxxx
19030 movi. */
193614f2 19031 return 137;
a06ea964
NC
19032 }
19033 else
19034 {
ff329288
TC
19035 /* 33222222222211111111110000000000
19036 10987654321098765432109876543210
19037 xx101111xxxxxxxx110x01xxxxxxxxxx
19038 mvni. */
193614f2 19039 return 145;
a06ea964
NC
19040 }
19041 }
19042 else
19043 {
ff329288 19044 if (((word >> 12) & 0x1) == 0)
a06ea964 19045 {
ff329288 19046 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
19047 {
19048 /* 33222222222211111111110000000000
19049 10987654321098765432109876543210
ff329288
TC
19050 xx001111xxxxxxxx111001xxxxxxxxxx
19051 movi. */
193614f2 19052 return 138;
a06ea964
NC
19053 }
19054 else
19055 {
19056 /* 33222222222211111111110000000000
19057 10987654321098765432109876543210
ff329288
TC
19058 xx101111xxxxxxxx111001xxxxxxxxxx
19059 movi. */
193614f2 19060 return 146;
a06ea964
NC
19061 }
19062 }
19063 else
19064 {
ff329288 19065 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
19066 {
19067 /* 33222222222211111111110000000000
19068 10987654321098765432109876543210
ff329288
TC
19069 xx001111xxxxxxxx111101xxxxxxxxxx
19070 fmov. */
193614f2 19071 return 139;
a06ea964
NC
19072 }
19073 else
19074 {
19075 /* 33222222222211111111110000000000
19076 10987654321098765432109876543210
ff329288
TC
19077 xx101111xxxxxxxx111101xxxxxxxxxx
19078 fmov. */
193614f2 19079 return 148;
a06ea964
NC
19080 }
19081 }
19082 }
19083 }
19084 }
ff329288 19085 else
a06ea964 19086 {
ff329288 19087 if (((word >> 12) & 0x1) == 0)
a06ea964 19088 {
ff329288 19089 if (((word >> 29) & 0x1) == 0)
a06ea964 19090 {
ff329288 19091 if (((word >> 30) & 0x1) == 0)
e9dbdd80
TC
19092 {
19093 /* 33222222222211111111110000000000
19094 10987654321098765432109876543210
ff329288
TC
19095 x0001111xxxxxxxx1xx011xxxxxxxxxx
19096 rshrn. */
193614f2 19097 return 382;
e9dbdd80
TC
19098 }
19099 else
19100 {
ff329288
TC
19101 /* 33222222222211111111110000000000
19102 10987654321098765432109876543210
19103 x1001111xxxxxxxx1xx011xxxxxxxxxx
19104 rshrn2. */
193614f2 19105 return 383;
e9dbdd80 19106 }
a06ea964
NC
19107 }
19108 else
19109 {
ff329288 19110 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19111 {
19112 /* 33222222222211111111110000000000
19113 10987654321098765432109876543210
ff329288
TC
19114 x0101111xxxxxxxx1xx011xxxxxxxxxx
19115 sqrshrun. */
193614f2 19116 return 406;
a06ea964
NC
19117 }
19118 else
19119 {
19120 /* 33222222222211111111110000000000
19121 10987654321098765432109876543210
ff329288
TC
19122 x1101111xxxxxxxx1xx011xxxxxxxxxx
19123 sqrshrun2. */
193614f2 19124 return 407;
a06ea964
NC
19125 }
19126 }
19127 }
19128 else
19129 {
ff329288 19130 if (((word >> 13) & 0x1) == 0)
65a55fbb 19131 {
ff329288 19132 if (((word >> 29) & 0x1) == 0)
a06ea964 19133 {
ff329288 19134 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19135 {
19136 /* 33222222222211111111110000000000
19137 10987654321098765432109876543210
ff329288
TC
19138 x0001111xxxxxxxx1x0111xxxxxxxxxx
19139 sqrshrn. */
193614f2 19140 return 386;
a06ea964
NC
19141 }
19142 else
19143 {
19144 /* 33222222222211111111110000000000
19145 10987654321098765432109876543210
ff329288
TC
19146 x1001111xxxxxxxx1x0111xxxxxxxxxx
19147 sqrshrn2. */
193614f2 19148 return 387;
a06ea964
NC
19149 }
19150 }
19151 else
19152 {
ff329288 19153 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
19154 {
19155 /* 33222222222211111111110000000000
19156 10987654321098765432109876543210
ff329288
TC
19157 x0101111xxxxxxxx1x0111xxxxxxxxxx
19158 uqrshrn. */
193614f2 19159 return 410;
a06ea964
NC
19160 }
19161 else
19162 {
19163 /* 33222222222211111111110000000000
19164 10987654321098765432109876543210
ff329288
TC
19165 x1101111xxxxxxxx1x0111xxxxxxxxxx
19166 uqrshrn2. */
193614f2 19167 return 411;
a06ea964
NC
19168 }
19169 }
19170 }
ff329288
TC
19171 else
19172 {
19173 if (((word >> 29) & 0x1) == 0)
19174 {
19175 /* 33222222222211111111110000000000
19176 10987654321098765432109876543210
19177 xx001111xxxxxxxx1x1111xxxxxxxxxx
19178 fmov. */
193614f2 19179 return 140;
ff329288
TC
19180 }
19181 else
19182 {
19183 /* 33222222222211111111110000000000
19184 10987654321098765432109876543210
19185 xx101111xxxxxxxx1x1111xxxxxxxxxx
19186 fcvtzu. */
193614f2 19187 return 418;
ff329288
TC
19188 }
19189 }
a06ea964
NC
19190 }
19191 }
19192 }
19193 }
19194 else
19195 {
19196 if (((word >> 29) & 0x1) == 0)
19197 {
19198 if (((word >> 30) & 0x1) == 0)
19199 {
19200 if (((word >> 21) & 0x1) == 0)
19201 {
19202 /* 33222222222211111111110000000000
19203 10987654321098765432109876543210
7684e580 19204 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 19205 fmsub. */
b731bc3b 19206 return 859;
a06ea964
NC
19207 }
19208 else
19209 {
19210 /* 33222222222211111111110000000000
19211 10987654321098765432109876543210
7684e580 19212 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 19213 fnmsub. */
b731bc3b 19214 return 863;
a06ea964
NC
19215 }
19216 }
19217 else
19218 {
19219 if (((word >> 10) & 0x1) == 0)
19220 {
19221 if (((word >> 12) & 0x1) == 0)
19222 {
19223 /* 33222222222211111111110000000000
19224 10987654321098765432109876543210
7684e580 19225 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 19226 sqdmulh. */
193614f2 19227 return 428;
a06ea964
NC
19228 }
19229 else
19230 {
19231 if (((word >> 13) & 0x1) == 0)
19232 {
19233 if (((word >> 14) & 0x1) == 0)
19234 {
5f7728b7
MW
19235 if (((word >> 23) & 0x1) == 0)
19236 {
19237 /* 33222222222211111111110000000000
19238 10987654321098765432109876543210
7684e580 19239 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19240 fmul. */
193614f2 19241 return 435;
5f7728b7
MW
19242 }
19243 else
19244 {
19245 /* 33222222222211111111110000000000
19246 10987654321098765432109876543210
7684e580 19247 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19248 fmul. */
193614f2 19249 return 434;
5f7728b7 19250 }
a06ea964
NC
19251 }
19252 else
19253 {
19254 /* 33222222222211111111110000000000
19255 10987654321098765432109876543210
7684e580 19256 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 19257 sqrdmulh. */
193614f2 19258 return 429;
a06ea964
NC
19259 }
19260 }
19261 else
19262 {
19263 /* 33222222222211111111110000000000
19264 10987654321098765432109876543210
7684e580 19265 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 19266 sqdmull. */
193614f2 19267 return 427;
a06ea964
NC
19268 }
19269 }
19270 }
19271 else
19272 {
19273 if (((word >> 11) & 0x1) == 0)
19274 {
19275 if (((word >> 12) & 0x1) == 0)
19276 {
19277 /* 33222222222211111111110000000000
19278 10987654321098765432109876543210
7684e580 19279 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 19280 scvtf. */
193614f2 19281 return 598;
a06ea964
NC
19282 }
19283 else
19284 {
19285 /* 33222222222211111111110000000000
19286 10987654321098765432109876543210
7684e580 19287 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19288 sqshrn. */
193614f2 19289 return 596;
a06ea964
NC
19290 }
19291 }
19292 else
19293 {
19294 if (((word >> 13) & 0x1) == 0)
19295 {
19296 /* 33222222222211111111110000000000
19297 10987654321098765432109876543210
7684e580 19298 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 19299 sqrshrn. */
193614f2 19300 return 597;
a06ea964
NC
19301 }
19302 else
19303 {
19304 /* 33222222222211111111110000000000
19305 10987654321098765432109876543210
7684e580 19306 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 19307 fcvtzs. */
193614f2 19308 return 600;
a06ea964
NC
19309 }
19310 }
19311 }
19312 }
19313 }
19314 else
19315 {
19316 if (((word >> 10) & 0x1) == 0)
19317 {
9e1f0fa7
MW
19318 if (((word >> 13) & 0x1) == 0)
19319 {
19320 if (((word >> 14) & 0x1) == 0)
19321 {
5f7728b7
MW
19322 if (((word >> 23) & 0x1) == 0)
19323 {
19324 /* 33222222222211111111110000000000
19325 10987654321098765432109876543210
7684e580 19326 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19327 fmulx. */
193614f2 19328 return 437;
5f7728b7
MW
19329 }
19330 else
19331 {
19332 /* 33222222222211111111110000000000
19333 10987654321098765432109876543210
7684e580 19334 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19335 fmulx. */
193614f2 19336 return 436;
5f7728b7 19337 }
9e1f0fa7
MW
19338 }
19339 else
19340 {
19341 /* 33222222222211111111110000000000
19342 10987654321098765432109876543210
7684e580 19343 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 19344 sqrdmlah. */
193614f2 19345 return 438;
9e1f0fa7
MW
19346 }
19347 }
19348 else
19349 {
19350 /* 33222222222211111111110000000000
19351 10987654321098765432109876543210
7684e580 19352 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 19353 sqrdmlsh. */
193614f2 19354 return 439;
9e1f0fa7 19355 }
a06ea964
NC
19356 }
19357 else
19358 {
19359 if (((word >> 11) & 0x1) == 0)
19360 {
19361 if (((word >> 12) & 0x1) == 0)
19362 {
19363 if (((word >> 13) & 0x1) == 0)
19364 {
19365 /* 33222222222211111111110000000000
19366 10987654321098765432109876543210
7684e580 19367 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 19368 sqshrun. */
193614f2 19369 return 610;
a06ea964
NC
19370 }
19371 else
19372 {
19373 /* 33222222222211111111110000000000
19374 10987654321098765432109876543210
7684e580 19375 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 19376 ucvtf. */
193614f2 19377 return 614;
a06ea964
NC
19378 }
19379 }
19380 else
19381 {
19382 /* 33222222222211111111110000000000
19383 10987654321098765432109876543210
7684e580 19384 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19385 uqshrn. */
193614f2 19386 return 612;
a06ea964
NC
19387 }
19388 }
19389 else
19390 {
19391 if (((word >> 12) & 0x1) == 0)
19392 {
19393 /* 33222222222211111111110000000000
19394 10987654321098765432109876543210
7684e580 19395 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 19396 sqrshrun. */
193614f2 19397 return 611;
a06ea964
NC
19398 }
19399 else
19400 {
19401 if (((word >> 13) & 0x1) == 0)
19402 {
19403 /* 33222222222211111111110000000000
19404 10987654321098765432109876543210
7684e580 19405 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 19406 uqrshrn. */
193614f2 19407 return 613;
a06ea964
NC
19408 }
19409 else
19410 {
19411 /* 33222222222211111111110000000000
19412 10987654321098765432109876543210
7684e580 19413 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 19414 fcvtzu. */
193614f2 19415 return 616;
a06ea964
NC
19416 }
19417 }
19418 }
19419 }
19420 }
19421 }
19422 }
19423 }
19424 }
19425 }
19426 }
19427}
19428
19429/* Lookup opcode WORD in the opcode table. N.B. all alias
19430 opcodes are ignored here. */
19431
19432const aarch64_opcode *
19433aarch64_opcode_lookup (uint32_t word)
19434{
19435 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
19436}
19437
19438const aarch64_opcode *
19439aarch64_find_next_opcode (const aarch64_opcode *opcode)
19440{
19441 /* Use the index as the key to locate the next opcode. */
19442 int key = opcode - aarch64_opcode_table;
19443 int value;
19444 switch (key)
19445 {
193614f2
SD
19446 case 12: value = 19; break; /* add --> addg. */
19447 case 19: return NULL; /* addg --> NULL. */
19448 case 16: value = 20; break; /* sub --> subg. */
19449 case 20: return NULL; /* subg --> NULL. */
e54010f1
SD
19450 case 970: value = 974; break; /* stnp --> stp. */
19451 case 974: return NULL; /* stp --> NULL. */
19452 case 968: value = 969; break; /* stllrb --> stllrh. */
19453 case 969: return NULL; /* stllrh --> NULL. */
19454 case 971: value = 975; break; /* ldnp --> ldp. */
19455 case 975: return NULL; /* ldp --> NULL. */
20a4ca55
SD
19456 case 1624: value = 1625; break; /* ldff1b --> ldff1b. */
19457 case 1625: return NULL; /* ldff1b --> NULL. */
b83b4b13
SD
19458 case 1680: value = 1681; break; /* ldff1sw --> ldff1sw. */
19459 case 1681: return NULL; /* ldff1sw --> NULL. */
19460 case 1628: value = 1629; break; /* ldff1b --> ldff1b. */
19461 case 1629: return NULL; /* ldff1b --> NULL. */
19462 case 1647: value = 1648; break; /* ldff1h --> ldff1h. */
19463 case 1648: return NULL; /* ldff1h --> NULL. */
e54010f1
SD
19464 case 1626: value = 1627; break; /* ldff1b --> ldff1b. */
19465 case 1627: return NULL; /* ldff1b --> NULL. */
19466 case 1645: value = 1646; break; /* ldff1h --> ldff1h. */
19467 case 1646: return NULL; /* ldff1h --> NULL. */
b83b4b13
SD
19468 case 1630: value = 1631; break; /* ldff1b --> ldff1b. */
19469 case 1631: return NULL; /* ldff1b --> NULL. */
19470 case 1649: value = 1650; break; /* ldff1h --> ldff1h. */
19471 case 1650: return NULL; /* ldff1h --> NULL. */
19472 case 1670: value = 1671; break; /* ldff1sh --> ldff1sh. */
19473 case 1671: return NULL; /* ldff1sh --> NULL. */
e54010f1
SD
19474 case 1658: value = 1659; break; /* ldff1sb --> ldff1sb. */
19475 case 1659: return NULL; /* ldff1sb --> NULL. */
b83b4b13
SD
19476 case 1689: value = 1690; break; /* ldff1w --> ldff1w. */
19477 case 1690: return NULL; /* ldff1w --> NULL. */
19478 case 1662: value = 1663; break; /* ldff1sb --> ldff1sb. */
19479 case 1663: return NULL; /* ldff1sb --> NULL. */
19480 case 1672: value = 1673; break; /* ldff1sh --> ldff1sh. */
19481 case 1673: return NULL; /* ldff1sh --> NULL. */
19482 case 1660: value = 1661; break; /* ldff1sb --> ldff1sb. */
19483 case 1661: return NULL; /* ldff1sb --> NULL. */
19484 case 1691: value = 1692; break; /* ldff1w --> ldff1w. */
19485 case 1692: return NULL; /* ldff1w --> NULL. */
19486 case 1636: value = 1637; break; /* ldff1d --> ldff1d. */
19487 case 1637: return NULL; /* ldff1d --> NULL. */
b731bc3b 19488 case 810: value = 811; break; /* xaflag --> axflag. */
b83b4b13
SD
19489 case 811: value = 1189; break; /* axflag --> tcommit. */
19490 case 1189: value = 1192; break; /* tcommit --> msr. */
19491 case 1192: value = 1193; break; /* msr --> hint. */
19492 case 1193: value = 1209; break; /* hint --> clrex. */
19493 case 1209: value = 1210; break; /* clrex --> dsb. */
19494 case 1210: value = 1213; break; /* dsb --> dmb. */
19495 case 1213: value = 1214; break; /* dmb --> isb. */
19496 case 1214: value = 1215; break; /* isb --> sb. */
19497 case 1215: value = 1216; break; /* sb --> sys. */
19498 case 1216: value = 1224; break; /* sys --> msr. */
19499 case 1224: value = 2082; break; /* msr --> cfinv. */
19500 case 2082: return NULL; /* cfinv --> NULL. */
19501 case 1188: value = 1190; break; /* tstart --> ttest. */
19502 case 1190: value = 1225; break; /* ttest --> sysl. */
19503 case 1225: value = 1226; break; /* sysl --> mrs. */
19504 case 1226: return NULL; /* mrs --> NULL. */
193614f2
SD
19505 case 440: value = 441; break; /* st4 --> st1. */
19506 case 441: value = 442; break; /* st1 --> st2. */
19507 case 442: value = 443; break; /* st2 --> st3. */
19508 case 443: return NULL; /* st3 --> NULL. */
19509 case 448: value = 449; break; /* st4 --> st1. */
19510 case 449: value = 450; break; /* st1 --> st2. */
19511 case 450: value = 451; break; /* st2 --> st3. */
19512 case 451: return NULL; /* st3 --> NULL. */
19513 case 444: value = 445; break; /* ld4 --> ld1. */
19514 case 445: value = 446; break; /* ld1 --> ld2. */
19515 case 446: value = 447; break; /* ld2 --> ld3. */
19516 case 447: return NULL; /* ld3 --> NULL. */
19517 case 460: value = 462; break; /* ld1 --> ld1r. */
19518 case 462: return NULL; /* ld1r --> NULL. */
19519 case 464: value = 466; break; /* ld2 --> ld2r. */
19520 case 466: return NULL; /* ld2r --> NULL. */
19521 case 461: value = 463; break; /* ld3 --> ld3r. */
19522 case 463: return NULL; /* ld3r --> NULL. */
19523 case 465: value = 467; break; /* ld4 --> ld4r. */
19524 case 467: return NULL; /* ld4r --> NULL. */
19525 case 452: value = 453; break; /* ld4 --> ld1. */
19526 case 453: value = 454; break; /* ld1 --> ld2. */
19527 case 454: value = 455; break; /* ld2 --> ld3. */
19528 case 455: return NULL; /* ld3 --> NULL. */
19529 case 472: value = 474; break; /* ld1 --> ld1r. */
19530 case 474: return NULL; /* ld1r --> NULL. */
19531 case 473: value = 475; break; /* ld3 --> ld3r. */
19532 case 475: return NULL; /* ld3r --> NULL. */
19533 case 476: value = 478; break; /* ld2 --> ld2r. */
19534 case 478: return NULL; /* ld2r --> NULL. */
19535 case 477: value = 479; break; /* ld4 --> ld4r. */
19536 case 479: return NULL; /* ld4r --> NULL. */
b731bc3b
SD
19537 case 763: value = 764; break; /* fcvtzs --> fcvtzs. */
19538 case 764: return NULL; /* fcvtzs --> NULL. */
19539 case 759: value = 760; break; /* scvtf --> scvtf. */
19540 case 760: return NULL; /* scvtf --> NULL. */
19541 case 765: value = 766; break; /* fcvtzu --> fcvtzu. */
19542 case 766: return NULL; /* fcvtzu --> NULL. */
19543 case 761: value = 762; break; /* ucvtf --> ucvtf. */
19544 case 762: return NULL; /* ucvtf --> NULL. */
19545 case 767: value = 768; break; /* fcvtns --> fcvtns. */
19546 case 768: return NULL; /* fcvtns --> NULL. */
19547 case 787: value = 788; break; /* fcvtms --> fcvtms. */
19548 case 788: return NULL; /* fcvtms --> NULL. */
19549 case 783: value = 784; break; /* fcvtps --> fcvtps. */
19550 case 784: return NULL; /* fcvtps --> NULL. */
19551 case 791: value = 792; break; /* fcvtzs --> fcvtzs. */
19552 case 792: return NULL; /* fcvtzs --> NULL. */
19553 case 775: value = 776; break; /* fcvtas --> fcvtas. */
19554 case 776: return NULL; /* fcvtas --> NULL. */
19555 case 771: value = 772; break; /* scvtf --> scvtf. */
19556 case 772: return NULL; /* scvtf --> NULL. */
19557 case 779: value = 780; break; /* fmov --> fmov. */
19558 case 780: return NULL; /* fmov --> NULL. */
19559 case 769: value = 770; break; /* fcvtnu --> fcvtnu. */
19560 case 770: return NULL; /* fcvtnu --> NULL. */
19561 case 789: value = 790; break; /* fcvtmu --> fcvtmu. */
19562 case 790: return NULL; /* fcvtmu --> NULL. */
19563 case 785: value = 786; break; /* fcvtpu --> fcvtpu. */
19564 case 786: return NULL; /* fcvtpu --> NULL. */
19565 case 793: value = 794; break; /* fcvtzu --> fcvtzu. */
19566 case 794: return NULL; /* fcvtzu --> NULL. */
19567 case 777: value = 778; break; /* fcvtau --> fcvtau. */
19568 case 778: return NULL; /* fcvtau --> NULL. */
19569 case 773: value = 774; break; /* ucvtf --> ucvtf. */
19570 case 774: return NULL; /* ucvtf --> NULL. */
19571 case 781: value = 782; break; /* fmov --> fmov. */
19572 case 782: return NULL; /* fmov --> NULL. */
19573 case 816: value = 817; break; /* fmov --> fmov. */
19574 case 817: return NULL; /* fmov --> NULL. */
19575 case 825: value = 826; break; /* frintn --> frintn. */
19576 case 826: return NULL; /* frintn --> NULL. */
19577 case 820: value = 821; break; /* fneg --> fneg. */
19578 case 821: return NULL; /* fneg --> NULL. */
19579 case 829: value = 830; break; /* frintm --> frintm. */
19580 case 830: return NULL; /* frintm --> NULL. */
19581 case 818: value = 819; break; /* fabs --> fabs. */
19582 case 819: return NULL; /* fabs --> NULL. */
19583 case 827: value = 828; break; /* frintp --> frintp. */
19584 case 828: return NULL; /* frintp --> NULL. */
19585 case 822: value = 823; break; /* fsqrt --> fsqrt. */
19586 case 823: return NULL; /* fsqrt --> NULL. */
19587 case 831: value = 832; break; /* frintz --> frintz. */
19588 case 832: return NULL; /* frintz --> NULL. */
19589 case 833: value = 834; break; /* frinta --> frinta. */
19590 case 834: return NULL; /* frinta --> NULL. */
19591 case 835: value = 836; break; /* frintx --> frintx. */
19592 case 836: return NULL; /* frintx --> NULL. */
19593 case 837: value = 838; break; /* frinti --> frinti. */
19594 case 838: return NULL; /* frinti --> NULL. */
19595 case 802: value = 803; break; /* fcmp --> fcmp. */
19596 case 803: return NULL; /* fcmp --> NULL. */
19597 case 804: value = 805; break; /* fcmpe --> fcmpe. */
19598 case 805: return NULL; /* fcmpe --> NULL. */
19599 case 806: value = 807; break; /* fcmp --> fcmp. */
19600 case 807: return NULL; /* fcmp --> NULL. */
19601 case 808: value = 809; break; /* fcmpe --> fcmpe. */
19602 case 809: return NULL; /* fcmpe --> NULL. */
19603 case 865: value = 866; break; /* fmov --> fmov. */
19604 case 866: return NULL; /* fmov --> NULL. */
19605 case 839: value = 840; break; /* fmul --> fmul. */
19606 case 840: return NULL; /* fmul --> NULL. */
19607 case 855: value = 856; break; /* fnmul --> fnmul. */
19608 case 856: return NULL; /* fnmul --> NULL. */
19609 case 847: value = 848; break; /* fmax --> fmax. */
19610 case 848: return NULL; /* fmax --> NULL. */
19611 case 843: value = 844; break; /* fadd --> fadd. */
19612 case 844: return NULL; /* fadd --> NULL. */
19613 case 851: value = 852; break; /* fmaxnm --> fmaxnm. */
19614 case 852: return NULL; /* fmaxnm --> NULL. */
19615 case 841: value = 842; break; /* fdiv --> fdiv. */
19616 case 842: return NULL; /* fdiv --> NULL. */
19617 case 849: value = 850; break; /* fmin --> fmin. */
19618 case 850: return NULL; /* fmin --> NULL. */
19619 case 845: value = 846; break; /* fsub --> fsub. */
19620 case 846: return NULL; /* fsub --> NULL. */
19621 case 853: value = 854; break; /* fminnm --> fminnm. */
19622 case 854: return NULL; /* fminnm --> NULL. */
19623 case 798: value = 799; break; /* fccmp --> fccmp. */
19624 case 799: return NULL; /* fccmp --> NULL. */
19625 case 800: value = 801; break; /* fccmpe --> fccmpe. */
19626 case 801: return NULL; /* fccmpe --> NULL. */
19627 case 867: value = 868; break; /* fcsel --> fcsel. */
19628 case 868: return NULL; /* fcsel --> NULL. */
193614f2
SD
19629 case 133: value = 374; break; /* movi --> sshr. */
19630 case 374: value = 376; break; /* sshr --> srshr. */
19631 case 376: return NULL; /* srshr --> NULL. */
19632 case 141: value = 396; break; /* mvni --> ushr. */
19633 case 396: value = 398; break; /* ushr --> urshr. */
19634 case 398: value = 400; break; /* urshr --> sri. */
19635 case 400: value = 402; break; /* sri --> sqshlu. */
19636 case 402: return NULL; /* sqshlu --> NULL. */
19637 case 134: value = 375; break; /* orr --> ssra. */
19638 case 375: value = 377; break; /* ssra --> srsra. */
19639 case 377: value = 378; break; /* srsra --> shl. */
19640 case 378: value = 379; break; /* shl --> sqshl. */
19641 case 379: return NULL; /* sqshl --> NULL. */
19642 case 142: value = 397; break; /* bic --> usra. */
19643 case 397: value = 399; break; /* usra --> ursra. */
19644 case 399: value = 401; break; /* ursra --> sli. */
19645 case 401: value = 403; break; /* sli --> uqshl. */
19646 case 403: return NULL; /* uqshl --> NULL. */
b731bc3b
SD
19647 case 857: value = 858; break; /* fmadd --> fmadd. */
19648 case 858: return NULL; /* fmadd --> NULL. */
19649 case 861: value = 862; break; /* fnmadd --> fnmadd. */
19650 case 862: return NULL; /* fnmadd --> NULL. */
193614f2
SD
19651 case 135: value = 380; break; /* movi --> shrn. */
19652 case 380: value = 381; break; /* shrn --> shrn2. */
19653 case 381: value = 388; break; /* shrn2 --> sshll. */
19654 case 388: value = 390; break; /* sshll --> sshll2. */
19655 case 390: return NULL; /* sshll2 --> NULL. */
19656 case 143: value = 404; break; /* mvni --> sqshrun. */
19657 case 404: value = 405; break; /* sqshrun --> sqshrun2. */
19658 case 405: value = 412; break; /* sqshrun2 --> ushll. */
19659 case 412: value = 414; break; /* ushll --> ushll2. */
19660 case 414: return NULL; /* ushll2 --> NULL. */
19661 case 136: value = 384; break; /* orr --> sqshrn. */
19662 case 384: value = 385; break; /* sqshrn --> sqshrn2. */
19663 case 385: return NULL; /* sqshrn2 --> NULL. */
19664 case 144: value = 408; break; /* bic --> uqshrn. */
19665 case 408: value = 409; break; /* uqshrn --> uqshrn2. */
19666 case 409: return NULL; /* uqshrn2 --> NULL. */
19667 case 138: value = 392; break; /* movi --> scvtf. */
19668 case 392: value = 393; break; /* scvtf --> scvtf. */
19669 case 393: return NULL; /* scvtf --> NULL. */
19670 case 146: value = 147; break; /* movi --> movi. */
19671 case 147: value = 416; break; /* movi --> ucvtf. */
19672 case 416: value = 417; break; /* ucvtf --> ucvtf. */
19673 case 417: return NULL; /* ucvtf --> NULL. */
19674 case 140: value = 394; break; /* fmov --> fcvtzs. */
19675 case 394: value = 395; break; /* fcvtzs --> fcvtzs. */
19676 case 395: return NULL; /* fcvtzs --> NULL. */
19677 case 418: value = 419; break; /* fcvtzu --> fcvtzu. */
19678 case 419: return NULL; /* fcvtzu --> NULL. */
b731bc3b
SD
19679 case 859: value = 860; break; /* fmsub --> fmsub. */
19680 case 860: return NULL; /* fmsub --> NULL. */
19681 case 863: value = 864; break; /* fnmsub --> fnmsub. */
19682 case 864: return NULL; /* fnmsub --> NULL. */
193614f2
SD
19683 case 598: value = 599; break; /* scvtf --> scvtf. */
19684 case 599: return NULL; /* scvtf --> NULL. */
19685 case 600: value = 601; break; /* fcvtzs --> fcvtzs. */
19686 case 601: return NULL; /* fcvtzs --> NULL. */
19687 case 614: value = 615; break; /* ucvtf --> ucvtf. */
19688 case 615: return NULL; /* ucvtf --> NULL. */
19689 case 616: value = 617; break; /* fcvtzu --> fcvtzu. */
19690 case 617: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
19691 default: return NULL;
19692 }
19693
19694 return aarch64_opcode_table + value;
19695}
19696
19697const aarch64_opcode *
19698aarch64_find_alias_opcode (const aarch64_opcode *opcode)
19699{
19700 /* Use the index as the key to locate the alias opcode. */
19701 int key = opcode - aarch64_opcode_table;
19702 int value;
19703 switch (key)
19704 {
19705 case 2: value = 3; break; /* sbc --> ngc. */
19706 case 4: value = 5; break; /* sbcs --> ngcs. */
19707 case 7: value = 8; break; /* adds --> cmn. */
19708 case 10: value = 11; break; /* subs --> cmp. */
19709 case 12: value = 13; break; /* add --> mov. */
19710 case 14: value = 15; break; /* adds --> cmn. */
19711 case 17: value = 18; break; /* subs --> cmp. */
193614f2
SD
19712 case 22: value = 23; break; /* adds --> cmn. */
19713 case 24: value = 25; break; /* sub --> neg. */
19714 case 26: value = 27; break; /* subs --> cmp. */
19715 case 152: value = 153; break; /* umov --> mov. */
c2c4ff8d 19716 case 154: value = 155; break; /* ins --> mov. */
193614f2
SD
19717 case 156: value = 157; break; /* ins --> mov. */
19718 case 242: value = 243; break; /* not --> mvn. */
19719 case 317: value = 318; break; /* orr --> mov. */
19720 case 388: value = 389; break; /* sshll --> sxtl. */
19721 case 390: value = 391; break; /* sshll2 --> sxtl2. */
19722 case 412: value = 413; break; /* ushll --> uxtl. */
19723 case 414: value = 415; break; /* ushll2 --> uxtl2. */
19724 case 535: value = 536; break; /* dup --> mov. */
19725 case 618: value = 623; break; /* sbfm --> sxtw. */
19726 case 625: value = 627; break; /* bfm --> bfc. */
19727 case 629: value = 633; break; /* ubfm --> uxth. */
19728 case 663: value = 665; break; /* csinc --> cset. */
19729 case 666: value = 668; break; /* csinv --> csetm. */
19730 case 669: value = 670; break; /* csneg --> cneg. */
19731 case 688: value = 688; break; /* rev --> rev. */
19732 case 713: value = 714; break; /* lslv --> lsl. */
19733 case 715: value = 716; break; /* lsrv --> lsr. */
19734 case 717: value = 718; break; /* asrv --> asr. */
19735 case 719: value = 720; break; /* rorv --> ror. */
b731bc3b
SD
19736 case 722: value = 723; break; /* subps --> cmpp. */
19737 case 735: value = 736; break; /* madd --> mul. */
19738 case 737: value = 738; break; /* msub --> mneg. */
19739 case 739: value = 740; break; /* smaddl --> smull. */
19740 case 741: value = 742; break; /* smsubl --> smnegl. */
19741 case 744: value = 745; break; /* umaddl --> umull. */
19742 case 746: value = 747; break; /* umsubl --> umnegl. */
19743 case 757: value = 758; break; /* extr --> ror. */
e54010f1
SD
19744 case 990: value = 991; break; /* and --> bic. */
19745 case 992: value = 993; break; /* orr --> mov. */
19746 case 995: value = 996; break; /* ands --> tst. */
19747 case 999: value = 1001; break; /* orr --> uxtw. */
19748 case 1002: value = 1003; break; /* orn --> mvn. */
19749 case 1006: value = 1007; break; /* ands --> tst. */
19750 case 1037: value = 1133; break; /* ldaddb --> staddb. */
19751 case 1038: value = 1134; break; /* ldaddh --> staddh. */
19752 case 1039: value = 1135; break; /* ldadd --> stadd. */
19753 case 1041: value = 1136; break; /* ldaddlb --> staddlb. */
19754 case 1044: value = 1137; break; /* ldaddlh --> staddlh. */
19755 case 1047: value = 1138; break; /* ldaddl --> staddl. */
19756 case 1049: value = 1139; break; /* ldclrb --> stclrb. */
19757 case 1050: value = 1140; break; /* ldclrh --> stclrh. */
19758 case 1051: value = 1141; break; /* ldclr --> stclr. */
19759 case 1053: value = 1142; break; /* ldclrlb --> stclrlb. */
19760 case 1056: value = 1143; break; /* ldclrlh --> stclrlh. */
19761 case 1059: value = 1144; break; /* ldclrl --> stclrl. */
19762 case 1061: value = 1145; break; /* ldeorb --> steorb. */
19763 case 1062: value = 1146; break; /* ldeorh --> steorh. */
19764 case 1063: value = 1147; break; /* ldeor --> steor. */
19765 case 1065: value = 1148; break; /* ldeorlb --> steorlb. */
19766 case 1068: value = 1149; break; /* ldeorlh --> steorlh. */
19767 case 1071: value = 1150; break; /* ldeorl --> steorl. */
19768 case 1073: value = 1151; break; /* ldsetb --> stsetb. */
19769 case 1074: value = 1152; break; /* ldseth --> stseth. */
19770 case 1075: value = 1153; break; /* ldset --> stset. */
19771 case 1077: value = 1154; break; /* ldsetlb --> stsetlb. */
19772 case 1080: value = 1155; break; /* ldsetlh --> stsetlh. */
19773 case 1083: value = 1156; break; /* ldsetl --> stsetl. */
19774 case 1085: value = 1157; break; /* ldsmaxb --> stsmaxb. */
19775 case 1086: value = 1158; break; /* ldsmaxh --> stsmaxh. */
19776 case 1087: value = 1159; break; /* ldsmax --> stsmax. */
19777 case 1089: value = 1160; break; /* ldsmaxlb --> stsmaxlb. */
19778 case 1092: value = 1161; break; /* ldsmaxlh --> stsmaxlh. */
19779 case 1095: value = 1162; break; /* ldsmaxl --> stsmaxl. */
19780 case 1097: value = 1163; break; /* ldsminb --> stsminb. */
19781 case 1098: value = 1164; break; /* ldsminh --> stsminh. */
19782 case 1099: value = 1165; break; /* ldsmin --> stsmin. */
19783 case 1101: value = 1166; break; /* ldsminlb --> stsminlb. */
19784 case 1104: value = 1167; break; /* ldsminlh --> stsminlh. */
19785 case 1107: value = 1168; break; /* ldsminl --> stsminl. */
19786 case 1109: value = 1169; break; /* ldumaxb --> stumaxb. */
19787 case 1110: value = 1170; break; /* ldumaxh --> stumaxh. */
19788 case 1111: value = 1171; break; /* ldumax --> stumax. */
19789 case 1113: value = 1172; break; /* ldumaxlb --> stumaxlb. */
19790 case 1116: value = 1173; break; /* ldumaxlh --> stumaxlh. */
19791 case 1119: value = 1174; break; /* ldumaxl --> stumaxl. */
19792 case 1121: value = 1175; break; /* lduminb --> stuminb. */
19793 case 1122: value = 1176; break; /* lduminh --> stuminh. */
19794 case 1123: value = 1177; break; /* ldumin --> stumin. */
19795 case 1125: value = 1178; break; /* lduminlb --> stuminlb. */
19796 case 1128: value = 1179; break; /* lduminlh --> stuminlh. */
19797 case 1131: value = 1180; break; /* lduminl --> stuminl. */
19798 case 1181: value = 1182; break; /* movn --> mov. */
19799 case 1183: value = 1184; break; /* movz --> mov. */
b83b4b13
SD
19800 case 1193: value = 1234; break; /* hint --> autibsp. */
19801 case 1210: value = 1212; break; /* dsb --> pssbb. */
19802 case 1216: value = 1223; break; /* sys --> cpp. */
19803 case 1282: value = 2032; break; /* and --> bic. */
19804 case 1284: value = 1265; break; /* and --> mov. */
19805 case 1285: value = 1269; break; /* ands --> movs. */
19806 case 1320: value = 2033; break; /* cmpge --> cmple. */
19807 case 1323: value = 2036; break; /* cmpgt --> cmplt. */
19808 case 1325: value = 2034; break; /* cmphi --> cmplo. */
19809 case 1328: value = 2035; break; /* cmphs --> cmpls. */
19810 case 1350: value = 1262; break; /* cpy --> mov. */
19811 case 1351: value = 1264; break; /* cpy --> mov. */
19812 case 1352: value = 2043; break; /* cpy --> fmov. */
19813 case 1364: value = 1257; break; /* dup --> mov. */
19814 case 1365: value = 1259; break; /* dup --> mov. */
19815 case 1366: value = 2042; break; /* dup --> fmov. */
19816 case 1367: value = 1260; break; /* dupm --> mov. */
19817 case 1369: value = 2037; break; /* eor --> eon. */
19818 case 1371: value = 1270; break; /* eor --> not. */
19819 case 1372: value = 1271; break; /* eors --> nots. */
19820 case 1377: value = 2038; break; /* facge --> facle. */
19821 case 1378: value = 2039; break; /* facgt --> faclt. */
19822 case 1391: value = 2040; break; /* fcmge --> fcmle. */
19823 case 1393: value = 2041; break; /* fcmgt --> fcmlt. */
19824 case 1399: value = 1254; break; /* fcpy --> fmov. */
19825 case 1422: value = 1253; break; /* fdup --> fmov. */
19826 case 1753: value = 1255; break; /* orr --> mov. */
19827 case 1754: value = 2044; break; /* orr --> orn. */
19828 case 1756: value = 1258; break; /* orr --> mov. */
19829 case 1757: value = 1268; break; /* orrs --> movs. */
19830 case 1819: value = 1263; break; /* sel --> mov. */
19831 case 1820: value = 1266; break; /* sel --> mov. */
a06ea964
NC
19832 default: return NULL;
19833 }
19834
19835 return aarch64_opcode_table + value;
19836}
19837
19838const aarch64_opcode *
19839aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
19840{
19841 /* Use the index as the key to locate the next opcode. */
19842 int key = opcode - aarch64_opcode_table;
19843 int value;
19844 switch (key)
19845 {
35822b38
MW
19846 case 3: value = 2; break; /* ngc --> sbc. */
19847 case 5: value = 4; break; /* ngcs --> sbcs. */
19848 case 8: value = 7; break; /* cmn --> adds. */
19849 case 11: value = 10; break; /* cmp --> subs. */
19850 case 13: value = 12; break; /* mov --> add. */
19851 case 15: value = 14; break; /* cmn --> adds. */
19852 case 18: value = 17; break; /* cmp --> subs. */
193614f2
SD
19853 case 23: value = 22; break; /* cmn --> adds. */
19854 case 25: value = 24; break; /* neg --> sub. */
19855 case 27: value = 28; break; /* cmp --> negs. */
19856 case 28: value = 26; break; /* negs --> subs. */
19857 case 153: value = 152; break; /* mov --> umov. */
c2c4ff8d 19858 case 155: value = 154; break; /* mov --> ins. */
193614f2
SD
19859 case 157: value = 156; break; /* mov --> ins. */
19860 case 243: value = 242; break; /* mvn --> not. */
19861 case 318: value = 317; break; /* mov --> orr. */
19862 case 389: value = 388; break; /* sxtl --> sshll. */
19863 case 391: value = 390; break; /* sxtl2 --> sshll2. */
19864 case 413: value = 412; break; /* uxtl --> ushll. */
19865 case 415: value = 414; break; /* uxtl2 --> ushll2. */
19866 case 536: value = 535; break; /* mov --> dup. */
19867 case 623: value = 622; break; /* sxtw --> sxth. */
19868 case 622: value = 621; break; /* sxth --> sxtb. */
19869 case 621: value = 624; break; /* sxtb --> asr. */
19870 case 624: value = 620; break; /* asr --> sbfx. */
19871 case 620: value = 619; break; /* sbfx --> sbfiz. */
19872 case 619: value = 618; break; /* sbfiz --> sbfm. */
19873 case 627: value = 628; break; /* bfc --> bfxil. */
19874 case 628: value = 626; break; /* bfxil --> bfi. */
19875 case 626: value = 625; break; /* bfi --> bfm. */
19876 case 633: value = 632; break; /* uxth --> uxtb. */
19877 case 632: value = 635; break; /* uxtb --> lsr. */
19878 case 635: value = 634; break; /* lsr --> lsl. */
19879 case 634: value = 631; break; /* lsl --> ubfx. */
19880 case 631: value = 630; break; /* ubfx --> ubfiz. */
19881 case 630: value = 629; break; /* ubfiz --> ubfm. */
19882 case 665: value = 664; break; /* cset --> cinc. */
19883 case 664: value = 663; break; /* cinc --> csinc. */
19884 case 668: value = 667; break; /* csetm --> cinv. */
19885 case 667: value = 666; break; /* cinv --> csinv. */
19886 case 670: value = 669; break; /* cneg --> csneg. */
19887 case 688: value = 689; break; /* rev --> rev64. */
19888 case 714: value = 713; break; /* lsl --> lslv. */
19889 case 716: value = 715; break; /* lsr --> lsrv. */
19890 case 718: value = 717; break; /* asr --> asrv. */
19891 case 720: value = 719; break; /* ror --> rorv. */
b731bc3b
SD
19892 case 723: value = 722; break; /* cmpp --> subps. */
19893 case 736: value = 735; break; /* mul --> madd. */
19894 case 738: value = 737; break; /* mneg --> msub. */
19895 case 740: value = 739; break; /* smull --> smaddl. */
19896 case 742: value = 741; break; /* smnegl --> smsubl. */
19897 case 745: value = 744; break; /* umull --> umaddl. */
19898 case 747: value = 746; break; /* umnegl --> umsubl. */
19899 case 758: value = 757; break; /* ror --> extr. */
e54010f1
SD
19900 case 991: value = 990; break; /* bic --> and. */
19901 case 993: value = 992; break; /* mov --> orr. */
19902 case 996: value = 995; break; /* tst --> ands. */
19903 case 1001: value = 1000; break; /* uxtw --> mov. */
19904 case 1000: value = 999; break; /* mov --> orr. */
19905 case 1003: value = 1002; break; /* mvn --> orn. */
19906 case 1007: value = 1006; break; /* tst --> ands. */
19907 case 1133: value = 1037; break; /* staddb --> ldaddb. */
19908 case 1134: value = 1038; break; /* staddh --> ldaddh. */
19909 case 1135: value = 1039; break; /* stadd --> ldadd. */
19910 case 1136: value = 1041; break; /* staddlb --> ldaddlb. */
19911 case 1137: value = 1044; break; /* staddlh --> ldaddlh. */
19912 case 1138: value = 1047; break; /* staddl --> ldaddl. */
19913 case 1139: value = 1049; break; /* stclrb --> ldclrb. */
19914 case 1140: value = 1050; break; /* stclrh --> ldclrh. */
19915 case 1141: value = 1051; break; /* stclr --> ldclr. */
19916 case 1142: value = 1053; break; /* stclrlb --> ldclrlb. */
19917 case 1143: value = 1056; break; /* stclrlh --> ldclrlh. */
19918 case 1144: value = 1059; break; /* stclrl --> ldclrl. */
19919 case 1145: value = 1061; break; /* steorb --> ldeorb. */
19920 case 1146: value = 1062; break; /* steorh --> ldeorh. */
19921 case 1147: value = 1063; break; /* steor --> ldeor. */
19922 case 1148: value = 1065; break; /* steorlb --> ldeorlb. */
19923 case 1149: value = 1068; break; /* steorlh --> ldeorlh. */
19924 case 1150: value = 1071; break; /* steorl --> ldeorl. */
19925 case 1151: value = 1073; break; /* stsetb --> ldsetb. */
19926 case 1152: value = 1074; break; /* stseth --> ldseth. */
19927 case 1153: value = 1075; break; /* stset --> ldset. */
19928 case 1154: value = 1077; break; /* stsetlb --> ldsetlb. */
19929 case 1155: value = 1080; break; /* stsetlh --> ldsetlh. */
19930 case 1156: value = 1083; break; /* stsetl --> ldsetl. */
19931 case 1157: value = 1085; break; /* stsmaxb --> ldsmaxb. */
19932 case 1158: value = 1086; break; /* stsmaxh --> ldsmaxh. */
19933 case 1159: value = 1087; break; /* stsmax --> ldsmax. */
19934 case 1160: value = 1089; break; /* stsmaxlb --> ldsmaxlb. */
19935 case 1161: value = 1092; break; /* stsmaxlh --> ldsmaxlh. */
19936 case 1162: value = 1095; break; /* stsmaxl --> ldsmaxl. */
19937 case 1163: value = 1097; break; /* stsminb --> ldsminb. */
19938 case 1164: value = 1098; break; /* stsminh --> ldsminh. */
19939 case 1165: value = 1099; break; /* stsmin --> ldsmin. */
19940 case 1166: value = 1101; break; /* stsminlb --> ldsminlb. */
19941 case 1167: value = 1104; break; /* stsminlh --> ldsminlh. */
19942 case 1168: value = 1107; break; /* stsminl --> ldsminl. */
19943 case 1169: value = 1109; break; /* stumaxb --> ldumaxb. */
19944 case 1170: value = 1110; break; /* stumaxh --> ldumaxh. */
19945 case 1171: value = 1111; break; /* stumax --> ldumax. */
19946 case 1172: value = 1113; break; /* stumaxlb --> ldumaxlb. */
19947 case 1173: value = 1116; break; /* stumaxlh --> ldumaxlh. */
19948 case 1174: value = 1119; break; /* stumaxl --> ldumaxl. */
19949 case 1175: value = 1121; break; /* stuminb --> lduminb. */
19950 case 1176: value = 1122; break; /* stuminh --> lduminh. */
19951 case 1177: value = 1123; break; /* stumin --> ldumin. */
19952 case 1178: value = 1125; break; /* stuminlb --> lduminlb. */
19953 case 1179: value = 1128; break; /* stuminlh --> lduminlh. */
19954 case 1180: value = 1131; break; /* stuminl --> lduminl. */
19955 case 1182: value = 1181; break; /* mov --> movn. */
19956 case 1184: value = 1183; break; /* mov --> movz. */
b83b4b13
SD
19957 case 1234: value = 1233; break; /* autibsp --> autibz. */
19958 case 1233: value = 1232; break; /* autibz --> autiasp. */
19959 case 1232: value = 1231; break; /* autiasp --> autiaz. */
19960 case 1231: value = 1230; break; /* autiaz --> pacibsp. */
19961 case 1230: value = 1229; break; /* pacibsp --> pacibz. */
19962 case 1229: value = 1228; break; /* pacibz --> paciasp. */
19963 case 1228: value = 1227; break; /* paciasp --> paciaz. */
19964 case 1227: value = 1208; break; /* paciaz --> psb. */
19965 case 1208: value = 1207; break; /* psb --> esb. */
19966 case 1207: value = 1206; break; /* esb --> autib1716. */
19967 case 1206: value = 1205; break; /* autib1716 --> autia1716. */
19968 case 1205: value = 1204; break; /* autia1716 --> pacib1716. */
19969 case 1204: value = 1203; break; /* pacib1716 --> pacia1716. */
19970 case 1203: value = 1202; break; /* pacia1716 --> xpaclri. */
19971 case 1202: value = 1201; break; /* xpaclri --> sevl. */
19972 case 1201: value = 1200; break; /* sevl --> sev. */
19973 case 1200: value = 1199; break; /* sev --> wfi. */
19974 case 1199: value = 1198; break; /* wfi --> wfe. */
19975 case 1198: value = 1197; break; /* wfe --> yield. */
19976 case 1197: value = 1196; break; /* yield --> bti. */
19977 case 1196: value = 1195; break; /* bti --> csdb. */
19978 case 1195: value = 1194; break; /* csdb --> nop. */
19979 case 1194: value = 1193; break; /* nop --> hint. */
19980 case 1212: value = 1211; break; /* pssbb --> ssbb. */
19981 case 1211: value = 1210; break; /* ssbb --> dsb. */
19982 case 1223: value = 1222; break; /* cpp --> dvp. */
19983 case 1222: value = 1221; break; /* dvp --> cfp. */
19984 case 1221: value = 1220; break; /* cfp --> tlbi. */
19985 case 1220: value = 1219; break; /* tlbi --> ic. */
19986 case 1219: value = 1218; break; /* ic --> dc. */
19987 case 1218: value = 1217; break; /* dc --> at. */
19988 case 1217: value = 1216; break; /* at --> sys. */
19989 case 2032: value = 1282; break; /* bic --> and. */
19990 case 1265: value = 1284; break; /* mov --> and. */
19991 case 1269: value = 1285; break; /* movs --> ands. */
19992 case 2033: value = 1320; break; /* cmple --> cmpge. */
19993 case 2036: value = 1323; break; /* cmplt --> cmpgt. */
19994 case 2034: value = 1325; break; /* cmplo --> cmphi. */
19995 case 2035: value = 1328; break; /* cmpls --> cmphs. */
19996 case 1262: value = 1350; break; /* mov --> cpy. */
19997 case 1264: value = 1351; break; /* mov --> cpy. */
19998 case 2043: value = 1267; break; /* fmov --> mov. */
19999 case 1267: value = 1352; break; /* mov --> cpy. */
20000 case 1257: value = 1364; break; /* mov --> dup. */
20001 case 1259: value = 1256; break; /* mov --> mov. */
20002 case 1256: value = 1365; break; /* mov --> dup. */
20003 case 2042: value = 1261; break; /* fmov --> mov. */
20004 case 1261: value = 1366; break; /* mov --> dup. */
20005 case 1260: value = 1367; break; /* mov --> dupm. */
20006 case 2037: value = 1369; break; /* eon --> eor. */
20007 case 1270: value = 1371; break; /* not --> eor. */
20008 case 1271: value = 1372; break; /* nots --> eors. */
20009 case 2038: value = 1377; break; /* facle --> facge. */
20010 case 2039: value = 1378; break; /* faclt --> facgt. */
20011 case 2040: value = 1391; break; /* fcmle --> fcmge. */
20012 case 2041: value = 1393; break; /* fcmlt --> fcmgt. */
20013 case 1254: value = 1399; break; /* fmov --> fcpy. */
20014 case 1253: value = 1422; break; /* fmov --> fdup. */
20015 case 1255: value = 1753; break; /* mov --> orr. */
20016 case 2044: value = 1754; break; /* orn --> orr. */
20017 case 1258: value = 1756; break; /* mov --> orr. */
20018 case 1268: value = 1757; break; /* movs --> orrs. */
20019 case 1263: value = 1819; break; /* mov --> sel. */
20020 case 1266: value = 1820; break; /* mov --> sel. */
a06ea964
NC
20021 default: return NULL;
20022 }
20023
20024 return aarch64_opcode_table + value;
20025}
20026
561a72d4 20027bfd_boolean
a06ea964
NC
20028aarch64_extract_operand (const aarch64_operand *self,
20029 aarch64_opnd_info *info,
561a72d4
TC
20030 aarch64_insn code, const aarch64_inst *inst,
20031 aarch64_operand_error *errors)
a06ea964
NC
20032{
20033 /* Use the index as the key. */
20034 int key = self - aarch64_operands;
20035 switch (key)
20036 {
20037 case 1:
20038 case 2:
20039 case 3:
20040 case 4:
20041 case 5:
20042 case 6:
20043 case 7:
bd7ceb8d 20044 case 8:
a06ea964 20045 case 10:
c84364ec 20046 case 11:
bd7ceb8d 20047 case 12:
a06ea964 20048 case 16:
ee804238 20049 case 17:
c84364ec 20050 case 18:
bd7ceb8d 20051 case 19:
a06ea964
NC
20052 case 21:
20053 case 22:
20054 case 23:
20055 case 24:
20056 case 25:
20057 case 26:
ee804238 20058 case 27:
c84364ec 20059 case 28:
f42f1a1d 20060 case 29:
bd7ceb8d 20061 case 30:
369c9167 20062 case 162:
2ac435d4 20063 case 163:
ff605452 20064 case 164:
193614f2
SD
20065 case 165:
20066 case 166:
fb3265b3
SD
20067 case 167:
20068 case 168:
bd7ceb8d 20069 case 169:
adccc507 20070 case 170:
c469c864 20071 case 171:
ff605452 20072 case 185:
193614f2
SD
20073 case 186:
20074 case 187:
fb3265b3
SD
20075 case 188:
20076 case 189:
bd7ceb8d 20077 case 190:
adccc507 20078 case 191:
c469c864 20079 case 192:
3c17238b
MM
20080 case 193:
20081 case 198:
20082 case 201:
561a72d4 20083 return aarch64_ext_regno (self, info, code, inst, errors);
bd7ceb8d 20084 case 9:
561a72d4 20085 return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
ee804238 20086 case 13:
bd7ceb8d 20087 return aarch64_ext_regno_pair (self, info, code, inst, errors);
c84364ec 20088 case 14:
bd7ceb8d
SD
20089 return aarch64_ext_reg_extended (self, info, code, inst, errors);
20090 case 15:
561a72d4 20091 return aarch64_ext_reg_shifted (self, info, code, inst, errors);
bd7ceb8d 20092 case 20:
561a72d4 20093 return aarch64_ext_ft (self, info, code, inst, errors);
a06ea964 20094 case 31:
a06ea964 20095 case 32:
a06ea964 20096 case 33:
ee804238 20097 case 34:
3c17238b 20098 case 204:
bd7ceb8d 20099 return aarch64_ext_reglane (self, info, code, inst, errors);
c84364ec 20100 case 35:
bd7ceb8d 20101 return aarch64_ext_reglist (self, info, code, inst, errors);
a6a51754 20102 case 36:
bd7ceb8d 20103 return aarch64_ext_ldst_reglist (self, info, code, inst, errors);
a6a51754 20104 case 37:
bd7ceb8d 20105 return aarch64_ext_ldst_reglist_r (self, info, code, inst, errors);
c84364ec 20106 case 38:
bd7ceb8d 20107 return aarch64_ext_ldst_elemlist (self, info, code, inst, errors);
f42f1a1d
TC
20108 case 39:
20109 case 40:
369c9167 20110 case 41:
bd7ceb8d 20111 case 42:
a06ea964
NC
20112 case 52:
20113 case 53:
20114 case 54:
20115 case 55:
20116 case 56:
20117 case 57:
ee804238 20118 case 58:
e950b345 20119 case 59:
c84364ec 20120 case 60:
f42f1a1d
TC
20121 case 61:
20122 case 62:
20123 case 63:
369c9167 20124 case 64:
193614f2
SD
20125 case 65:
20126 case 66:
bd7ceb8d 20127 case 67:
f42f1a1d 20128 case 78:
369c9167 20129 case 79:
193614f2
SD
20130 case 80:
20131 case 81:
bd7ceb8d 20132 case 82:
c469c864
MM
20133 case 159:
20134 case 161:
193614f2
SD
20135 case 177:
20136 case 178:
fb3265b3
SD
20137 case 179:
20138 case 180:
bd7ceb8d 20139 case 181:
adccc507 20140 case 182:
c469c864 20141 case 183:
3c17238b
MM
20142 case 184:
20143 case 203:
561a72d4 20144 return aarch64_ext_imm (self, info, code, inst, errors);
ee804238 20145 case 43:
c84364ec 20146 case 44:
bd7ceb8d 20147 return aarch64_ext_advsimd_imm_shift (self, info, code, inst, errors);
f42f1a1d 20148 case 45:
f42f1a1d 20149 case 46:
369c9167 20150 case 47:
bd7ceb8d
SD
20151 return aarch64_ext_advsimd_imm_modified (self, info, code, inst, errors);
20152 case 48:
561a72d4 20153 return aarch64_ext_shll_imm (self, info, code, inst, errors);
bd7ceb8d 20154 case 51:
c469c864 20155 case 149:
561a72d4 20156 return aarch64_ext_fpimm (self, info, code, inst, errors);
193614f2 20157 case 68:
c469c864 20158 case 157:
bd7ceb8d 20159 return aarch64_ext_limm (self, info, code, inst, errors);
193614f2 20160 case 69:
bd7ceb8d 20161 return aarch64_ext_aimm (self, info, code, inst, errors);
c2c4ff8d 20162 case 70:
bd7ceb8d
SD
20163 return aarch64_ext_imm_half (self, info, code, inst, errors);
20164 case 71:
193614f2 20165 return aarch64_ext_fbits (self, info, code, inst, errors);
f42f1a1d 20166 case 73:
369c9167 20167 case 74:
c469c864 20168 case 154:
bd7ceb8d 20169 return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
193614f2 20170 case 75:
c469c864
MM
20171 case 153:
20172 case 155:
bd7ceb8d 20173 return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
193614f2 20174 case 76:
bd7ceb8d 20175 case 77:
561a72d4 20176 return aarch64_ext_cond (self, info, code, inst, errors);
f42f1a1d 20177 case 83:
bd7ceb8d
SD
20178 case 92:
20179 return aarch64_ext_addr_simple (self, info, code, inst, errors);
550fd7bf 20180 case 84:
bd7ceb8d 20181 return aarch64_ext_addr_regoff (self, info, code, inst, errors);
a06ea964 20182 case 85:
369c9167 20183 case 86:
550fd7bf 20184 case 87:
550fd7bf 20185 case 89:
bd7ceb8d
SD
20186 case 91:
20187 return aarch64_ext_addr_simm (self, info, code, inst, errors);
20188 case 88:
20189 return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
20190 case 90:
193614f2 20191 return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
550fd7bf 20192 case 93:
bd7ceb8d 20193 return aarch64_ext_addr_offset (self, info, code, inst, errors);
550fd7bf 20194 case 94:
bd7ceb8d 20195 return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
550fd7bf 20196 case 95:
bd7ceb8d 20197 return aarch64_ext_sysreg (self, info, code, inst, errors);
550fd7bf 20198 case 96:
bd7ceb8d 20199 return aarch64_ext_pstatefield (self, info, code, inst, errors);
4df068de
RS
20200 case 97:
20201 case 98:
20202 case 99:
20203 case 100:
550fd7bf 20204 case 101:
bd7ceb8d 20205 return aarch64_ext_sysins_op (self, info, code, inst, errors);
4df068de 20206 case 102:
550fd7bf 20207 case 103:
bd7ceb8d 20208 return aarch64_ext_barrier (self, info, code, inst, errors);
550fd7bf 20209 case 104:
bd7ceb8d 20210 return aarch64_ext_prfop (self, info, code, inst, errors);
4df068de 20211 case 105:
550fd7bf 20212 case 106:
bd7ceb8d 20213 return aarch64_ext_hint (self, info, code, inst, errors);
550fd7bf 20214 case 107:
bd7ceb8d 20215 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
4df068de 20216 case 108:
2442d846 20217 case 109:
4df068de 20218 case 110:
550fd7bf 20219 case 111:
bd7ceb8d 20220 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
550fd7bf 20221 case 112:
bd7ceb8d 20222 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
550fd7bf 20223 case 113:
bd7ceb8d 20224 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
4df068de
RS
20225 case 114:
20226 case 115:
20227 case 116:
550fd7bf 20228 case 117:
bd7ceb8d 20229 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
4df068de 20230 case 118:
4df068de 20231 case 119:
98907a70 20232 case 120:
4df068de 20233 case 121:
98907a70 20234 case 122:
98907a70 20235 case 123:
98907a70 20236 case 124:
98907a70 20237 case 125:
e950b345 20238 case 126:
98907a70 20239 case 127:
e950b345 20240 case 128:
c84364ec 20241 case 129:
550fd7bf 20242 case 130:
c2c4ff8d 20243 case 131:
c469c864 20244 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
165d4950 20245 case 132:
e950b345 20246 case 133:
582e12bf 20247 case 134:
f42f1a1d 20248 case 135:
c2c4ff8d 20249 case 136:
c2c4ff8d 20250 case 137:
550fd7bf 20251 case 138:
c8d59609 20252 case 139:
c469c864 20253 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
369c9167 20254 case 140:
2ac435d4 20255 case 141:
550fd7bf 20256 case 142:
550fd7bf 20257 case 143:
c469c864 20258 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
550fd7bf 20259 case 144:
c469c864 20260 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
550fd7bf 20261 case 145:
c469c864 20262 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
550fd7bf 20263 case 146:
c469c864 20264 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
bd7ceb8d 20265 case 147:
c469c864
MM
20266 return aarch64_ext_sve_aimm (self, info, code, inst, errors);
20267 case 148:
fb3265b3 20268 return aarch64_ext_sve_asimm (self, info, code, inst, errors);
550fd7bf 20269 case 150:
c469c864 20270 return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
bd7ceb8d 20271 case 151:
c469c864
MM
20272 return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
20273 case 152:
561a72d4 20274 return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
c469c864 20275 case 156:
fb3265b3 20276 return aarch64_ext_inv_limm (self, info, code, inst, errors);
c469c864 20277 case 158:
fb3265b3 20278 return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
c469c864 20279 case 160:
561a72d4 20280 return aarch64_ext_sve_scale (self, info, code, inst, errors);
fb3265b3 20281 case 172:
bd7ceb8d 20282 case 173:
c469c864 20283 return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
adccc507 20284 case 174:
c469c864 20285 case 175:
3c17238b 20286 case 176:
561a72d4 20287 return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
adccc507 20288 case 194:
116adc27 20289 case 195:
c469c864 20290 case 196:
3c17238b 20291 case 197:
fb3265b3 20292 return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
c469c864 20293 case 199:
3c17238b
MM
20294 return aarch64_ext_sve_index (self, info, code, inst, errors);
20295 case 200:
20296 case 202:
561a72d4 20297 return aarch64_ext_sve_reglist (self, info, code, inst, errors);
a06ea964
NC
20298 default: assert (0); abort ();
20299 }
20300}
This page took 1.679361 seconds and 4 git commands to generate.