[BINUTILS, AARCH64, 3/8] Add Pointer Arithmetic instructions in Memory Tagging Extension
[deliverable/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
219d1afa 2/* Copyright (C) 2012-2018 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
7684e580 41 0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 42 adr. */
b731bc3b 43 return 1172;
a06ea964
NC
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
7684e580 49 1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 50 adrp. */
b731bc3b 51 return 1173;
a06ea964
NC
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
7684e580 62 x00x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
7684e580 70 x10x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7684e580 81 x01x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7684e580 89 x11x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
7684e580 116 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 117 stxrb. */
b731bc3b 118 return 927;
a06ea964
NC
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
7684e580 124 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 125 stxrh. */
b731bc3b 126 return 933;
a06ea964
NC
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
7684e580 133 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 134 stxr. */
b731bc3b 135 return 939;
a06ea964
NC
136 }
137 }
138 else
139 {
ee804238
JW
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
7684e580 144 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 145 casp. */
b731bc3b 146 return 1007;
ee804238
JW
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
7684e580 152 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 153 stxp. */
b731bc3b 154 return 941;
ee804238 155 }
a06ea964
NC
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
7684e580 168 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 169 stlxrb. */
b731bc3b 170 return 928;
a06ea964
NC
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
7684e580 176 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 177 stlxrh. */
b731bc3b 178 return 934;
a06ea964
NC
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
7684e580 185 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 186 stlxr. */
b731bc3b 187 return 940;
a06ea964
NC
188 }
189 }
190 else
191 {
ee804238
JW
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
7684e580 196 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 197 caspl. */
b731bc3b 198 return 1009;
ee804238
JW
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
7684e580 204 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 205 stlxp. */
b731bc3b 206 return 942;
ee804238 207 }
a06ea964
NC
208 }
209 }
210 }
211 else
212 {
213 /* 33222222222211111111110000000000
214 10987654321098765432109876543210
7684e580 215 xx10100x00xxxxxxxxxxxxxxxxxxxxxx
a06ea964 216 stnp. */
b731bc3b 217 return 958;
a06ea964
NC
218 }
219 }
220 else
221 {
222 if (((word >> 29) & 0x1) == 0)
223 {
ee804238 224 if (((word >> 15) & 0x1) == 0)
a06ea964 225 {
290806fd 226 if (((word >> 21) & 0x1) == 0)
a06ea964 227 {
290806fd 228 if (((word >> 31) & 0x1) == 0)
ee804238
JW
229 {
230 /* 33222222222211111111110000000000
231 10987654321098765432109876543210
7684e580 232 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 233 stllrb. */
b731bc3b 234 return 956;
ee804238
JW
235 }
236 else
237 {
238 /* 33222222222211111111110000000000
239 10987654321098765432109876543210
7684e580 240 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 241 stllr. */
b731bc3b 242 return 955;
ee804238 243 }
a06ea964
NC
244 }
245 else
246 {
290806fd
MW
247 if (((word >> 31) & 0x1) == 0)
248 {
249 if (((word >> 30) & 0x1) == 0)
250 {
251 /* 33222222222211111111110000000000
252 10987654321098765432109876543210
7684e580 253 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 254 casb. */
b731bc3b 255 return 995;
290806fd
MW
256 }
257 else
258 {
259 /* 33222222222211111111110000000000
260 10987654321098765432109876543210
7684e580 261 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 262 cash. */
b731bc3b 263 return 996;
290806fd
MW
264 }
265 }
266 else
267 {
268 /* 33222222222211111111110000000000
269 10987654321098765432109876543210
7684e580 270 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 271 cas. */
b731bc3b 272 return 997;
290806fd 273 }
a06ea964
NC
274 }
275 }
276 else
277 {
ee804238
JW
278 if (((word >> 21) & 0x1) == 0)
279 {
280 if (((word >> 31) & 0x1) == 0)
281 {
282 if (((word >> 30) & 0x1) == 0)
283 {
284 /* 33222222222211111111110000000000
285 10987654321098765432109876543210
7684e580 286 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 287 stlrb. */
b731bc3b 288 return 931;
ee804238
JW
289 }
290 else
291 {
292 /* 33222222222211111111110000000000
293 10987654321098765432109876543210
7684e580 294 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 295 stlrh. */
b731bc3b 296 return 937;
ee804238
JW
297 }
298 }
299 else
300 {
301 /* 33222222222211111111110000000000
302 10987654321098765432109876543210
7684e580 303 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 304 stlr. */
b731bc3b 305 return 947;
ee804238
JW
306 }
307 }
308 else
309 {
310 if (((word >> 31) & 0x1) == 0)
311 {
312 if (((word >> 30) & 0x1) == 0)
313 {
314 /* 33222222222211111111110000000000
315 10987654321098765432109876543210
7684e580 316 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 317 caslb. */
b731bc3b 318 return 999;
ee804238
JW
319 }
320 else
321 {
322 /* 33222222222211111111110000000000
323 10987654321098765432109876543210
7684e580 324 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 325 caslh. */
b731bc3b 326 return 1002;
ee804238
JW
327 }
328 }
329 else
330 {
331 /* 33222222222211111111110000000000
332 10987654321098765432109876543210
7684e580 333 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 334 casl. */
b731bc3b 335 return 1005;
ee804238
JW
336 }
337 }
a06ea964
NC
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
7684e580 344 xx10100x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 345 stp. */
b731bc3b 346 return 967;
a06ea964
NC
347 }
348 }
349 }
350 else
351 {
352 if (((word >> 23) & 0x1) == 0)
353 {
354 if (((word >> 29) & 0x1) == 0)
355 {
356 if (((word >> 15) & 0x1) == 0)
357 {
358 if (((word >> 21) & 0x1) == 0)
359 {
360 if (((word >> 31) & 0x1) == 0)
361 {
362 if (((word >> 30) & 0x1) == 0)
363 {
364 /* 33222222222211111111110000000000
365 10987654321098765432109876543210
7684e580 366 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 367 ldxrb. */
b731bc3b 368 return 929;
a06ea964
NC
369 }
370 else
371 {
372 /* 33222222222211111111110000000000
373 10987654321098765432109876543210
7684e580 374 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 375 ldxrh. */
b731bc3b 376 return 935;
a06ea964
NC
377 }
378 }
379 else
380 {
381 /* 33222222222211111111110000000000
382 10987654321098765432109876543210
7684e580 383 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 384 ldxr. */
b731bc3b 385 return 943;
a06ea964
NC
386 }
387 }
388 else
389 {
ee804238
JW
390 if (((word >> 31) & 0x1) == 0)
391 {
392 /* 33222222222211111111110000000000
393 10987654321098765432109876543210
7684e580 394 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 395 caspa. */
b731bc3b 396 return 1008;
ee804238
JW
397 }
398 else
399 {
400 /* 33222222222211111111110000000000
401 10987654321098765432109876543210
7684e580 402 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 403 ldxp. */
b731bc3b 404 return 945;
ee804238 405 }
a06ea964
NC
406 }
407 }
408 else
409 {
410 if (((word >> 21) & 0x1) == 0)
411 {
412 if (((word >> 31) & 0x1) == 0)
413 {
414 if (((word >> 30) & 0x1) == 0)
415 {
416 /* 33222222222211111111110000000000
417 10987654321098765432109876543210
7684e580 418 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 419 ldaxrb. */
b731bc3b 420 return 930;
a06ea964
NC
421 }
422 else
423 {
424 /* 33222222222211111111110000000000
425 10987654321098765432109876543210
7684e580 426 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 427 ldaxrh. */
b731bc3b 428 return 936;
a06ea964
NC
429 }
430 }
431 else
432 {
433 /* 33222222222211111111110000000000
434 10987654321098765432109876543210
7684e580 435 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 436 ldaxr. */
b731bc3b 437 return 944;
a06ea964
NC
438 }
439 }
440 else
441 {
ee804238
JW
442 if (((word >> 31) & 0x1) == 0)
443 {
444 /* 33222222222211111111110000000000
445 10987654321098765432109876543210
7684e580 446 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 447 caspal. */
b731bc3b 448 return 1010;
ee804238
JW
449 }
450 else
451 {
452 /* 33222222222211111111110000000000
453 10987654321098765432109876543210
7684e580 454 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 455 ldaxp. */
b731bc3b 456 return 946;
ee804238 457 }
a06ea964
NC
458 }
459 }
460 }
461 else
462 {
463 if (((word >> 30) & 0x1) == 0)
464 {
465 /* 33222222222211111111110000000000
466 10987654321098765432109876543210
7684e580 467 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 468 ldnp. */
b731bc3b 469 return 959;
a06ea964
NC
470 }
471 else
472 {
473 /* 33222222222211111111110000000000
474 10987654321098765432109876543210
7684e580 475 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 476 ldpsw. */
b731bc3b 477 return 966;
a06ea964
NC
478 }
479 }
480 }
481 else
482 {
483 if (((word >> 29) & 0x1) == 0)
484 {
ee804238 485 if (((word >> 15) & 0x1) == 0)
a06ea964 486 {
290806fd 487 if (((word >> 21) & 0x1) == 0)
a06ea964 488 {
290806fd 489 if (((word >> 31) & 0x1) == 0)
ee804238 490 {
290806fd
MW
491 if (((word >> 30) & 0x1) == 0)
492 {
493 /* 33222222222211111111110000000000
494 10987654321098765432109876543210
7684e580 495 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 496 ldlarb. */
b731bc3b 497 return 953;
290806fd
MW
498 }
499 else
500 {
501 /* 33222222222211111111110000000000
502 10987654321098765432109876543210
7684e580 503 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 504 ldlarh. */
b731bc3b 505 return 954;
290806fd 506 }
ee804238
JW
507 }
508 else
509 {
510 /* 33222222222211111111110000000000
511 10987654321098765432109876543210
7684e580 512 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 513 ldlar. */
b731bc3b 514 return 952;
ee804238 515 }
a06ea964
NC
516 }
517 else
518 {
290806fd
MW
519 if (((word >> 31) & 0x1) == 0)
520 {
521 if (((word >> 30) & 0x1) == 0)
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
7684e580 525 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 526 casab. */
b731bc3b 527 return 998;
290806fd
MW
528 }
529 else
530 {
531 /* 33222222222211111111110000000000
532 10987654321098765432109876543210
7684e580 533 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 534 casah. */
b731bc3b 535 return 1001;
290806fd
MW
536 }
537 }
538 else
539 {
540 /* 33222222222211111111110000000000
541 10987654321098765432109876543210
7684e580 542 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 543 casa. */
b731bc3b 544 return 1004;
290806fd 545 }
a06ea964
NC
546 }
547 }
548 else
549 {
ee804238
JW
550 if (((word >> 21) & 0x1) == 0)
551 {
552 if (((word >> 31) & 0x1) == 0)
553 {
554 if (((word >> 30) & 0x1) == 0)
555 {
556 /* 33222222222211111111110000000000
557 10987654321098765432109876543210
7684e580 558 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 559 ldarb. */
b731bc3b 560 return 932;
ee804238
JW
561 }
562 else
563 {
564 /* 33222222222211111111110000000000
565 10987654321098765432109876543210
7684e580 566 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 567 ldarh. */
b731bc3b 568 return 938;
ee804238
JW
569 }
570 }
571 else
572 {
573 /* 33222222222211111111110000000000
574 10987654321098765432109876543210
7684e580 575 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 576 ldar. */
b731bc3b 577 return 948;
ee804238
JW
578 }
579 }
580 else
581 {
582 if (((word >> 31) & 0x1) == 0)
583 {
584 if (((word >> 30) & 0x1) == 0)
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
7684e580 588 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 589 casalb. */
b731bc3b 590 return 1000;
ee804238
JW
591 }
592 else
593 {
594 /* 33222222222211111111110000000000
595 10987654321098765432109876543210
7684e580 596 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 597 casalh. */
b731bc3b 598 return 1003;
ee804238
JW
599 }
600 }
601 else
602 {
603 /* 33222222222211111111110000000000
604 10987654321098765432109876543210
7684e580 605 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 606 casal. */
b731bc3b 607 return 1006;
ee804238
JW
608 }
609 }
a06ea964
NC
610 }
611 }
612 else
613 {
614 if (((word >> 30) & 0x1) == 0)
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
7684e580 618 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 619 ldp. */
b731bc3b 620 return 968;
a06ea964
NC
621 }
622 else
623 {
624 /* 33222222222211111111110000000000
625 10987654321098765432109876543210
7684e580 626 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 627 ldpsw. */
b731bc3b 628 return 971;
a06ea964
NC
629 }
630 }
631 }
632 }
633 }
634 else
635 {
636 if (((word >> 24) & 0x1) == 0)
637 {
638 if (((word >> 29) & 0x1) == 0)
639 {
640 if (((word >> 31) & 0x1) == 0)
641 {
642 /* 33222222222211111111110000000000
643 10987654321098765432109876543210
7684e580 644 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 645 ldr. */
b731bc3b 646 return 972;
a06ea964
NC
647 }
648 else
649 {
650 if (((word >> 30) & 0x1) == 0)
651 {
652 /* 33222222222211111111110000000000
653 10987654321098765432109876543210
7684e580 654 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 655 ldrsw. */
b731bc3b 656 return 974;
a06ea964
NC
657 }
658 else
659 {
660 /* 33222222222211111111110000000000
661 10987654321098765432109876543210
7684e580 662 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 663 prfm. */
b731bc3b 664 return 975;
a06ea964
NC
665 }
666 }
667 }
668 else
669 {
670 if (((word >> 10) & 0x1) == 0)
671 {
672 if (((word >> 11) & 0x1) == 0)
673 {
ee804238 674 if (((word >> 21) & 0x1) == 0)
a06ea964 675 {
ee804238 676 if (((word >> 23) & 0x1) == 0)
a06ea964 677 {
ee804238 678 if (((word >> 22) & 0x1) == 0)
a06ea964 679 {
ee804238 680 if (((word >> 31) & 0x1) == 0)
a06ea964 681 {
ee804238
JW
682 if (((word >> 30) & 0x1) == 0)
683 {
684 /* 33222222222211111111110000000000
685 10987654321098765432109876543210
7684e580 686 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 687 sturb. */
b731bc3b 688 return 913;
ee804238
JW
689 }
690 else
691 {
692 /* 33222222222211111111110000000000
693 10987654321098765432109876543210
7684e580 694 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 695 sturh. */
b731bc3b 696 return 918;
ee804238 697 }
a06ea964
NC
698 }
699 else
700 {
701 /* 33222222222211111111110000000000
702 10987654321098765432109876543210
7684e580 703 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 704 stur. */
b731bc3b 705 return 921;
a06ea964
NC
706 }
707 }
708 else
709 {
ee804238
JW
710 if (((word >> 31) & 0x1) == 0)
711 {
712 if (((word >> 30) & 0x1) == 0)
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
7684e580 716 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 717 ldurb. */
b731bc3b 718 return 914;
ee804238
JW
719 }
720 else
721 {
722 /* 33222222222211111111110000000000
723 10987654321098765432109876543210
7684e580 724 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 725 ldurh. */
b731bc3b 726 return 919;
ee804238
JW
727 }
728 }
729 else
730 {
731 /* 33222222222211111111110000000000
732 10987654321098765432109876543210
7684e580 733 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 734 ldur. */
b731bc3b 735 return 922;
ee804238 736 }
a06ea964
NC
737 }
738 }
739 else
740 {
ee804238 741 if (((word >> 30) & 0x1) == 0)
a06ea964 742 {
ee804238
JW
743 if (((word >> 31) & 0x1) == 0)
744 {
745 /* 33222222222211111111110000000000
746 10987654321098765432109876543210
7684e580 747 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 748 ldursb. */
b731bc3b 749 return 915;
ee804238
JW
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
7684e580 755 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 756 ldursw. */
b731bc3b 757 return 923;
ee804238
JW
758 }
759 }
760 else
761 {
762 if (((word >> 31) & 0x1) == 0)
763 {
764 /* 33222222222211111111110000000000
765 10987654321098765432109876543210
7684e580 766 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 767 ldursh. */
b731bc3b 768 return 920;
ee804238
JW
769 }
770 else
a06ea964
NC
771 {
772 /* 33222222222211111111110000000000
773 10987654321098765432109876543210
7684e580 774 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 775 prfum. */
b731bc3b 776 return 924;
ee804238
JW
777 }
778 }
779 }
780 }
781 else
782 {
783 if (((word >> 12) & 0x1) == 0)
784 {
785 if (((word >> 13) & 0x1) == 0)
786 {
787 if (((word >> 14) & 0x1) == 0)
788 {
789 if (((word >> 15) & 0x1) == 0)
790 {
791 if (((word >> 22) & 0x1) == 0)
792 {
793 if (((word >> 23) & 0x1) == 0)
794 {
795 if (((word >> 31) & 0x1) == 0)
796 {
797 if (((word >> 30) & 0x1) == 0)
798 {
799 /* 33222222222211111111110000000000
800 10987654321098765432109876543210
7684e580 801 00111000001xxxxx000000xxxxxxxxxx
ee804238 802 ldaddb. */
b731bc3b 803 return 1023;
ee804238
JW
804 }
805 else
806 {
807 /* 33222222222211111111110000000000
808 10987654321098765432109876543210
7684e580 809 01111000001xxxxx000000xxxxxxxxxx
ee804238 810 ldaddh. */
b731bc3b 811 return 1024;
ee804238
JW
812 }
813 }
814 else
815 {
816 /* 33222222222211111111110000000000
817 10987654321098765432109876543210
7684e580 818 1x111000001xxxxx000000xxxxxxxxxx
ee804238 819 ldadd. */
b731bc3b 820 return 1025;
ee804238
JW
821 }
822 }
823 else
824 {
825 if (((word >> 31) & 0x1) == 0)
826 {
827 if (((word >> 30) & 0x1) == 0)
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
7684e580 831 00111000101xxxxx000000xxxxxxxxxx
ee804238 832 ldaddab. */
b731bc3b 833 return 1026;
ee804238
JW
834 }
835 else
836 {
837 /* 33222222222211111111110000000000
838 10987654321098765432109876543210
7684e580 839 01111000101xxxxx000000xxxxxxxxxx
ee804238 840 ldaddah. */
b731bc3b 841 return 1029;
ee804238
JW
842 }
843 }
844 else
845 {
846 /* 33222222222211111111110000000000
847 10987654321098765432109876543210
7684e580 848 1x111000101xxxxx000000xxxxxxxxxx
ee804238 849 ldadda. */
b731bc3b 850 return 1032;
ee804238
JW
851 }
852 }
853 }
854 else
855 {
856 if (((word >> 23) & 0x1) == 0)
857 {
858 if (((word >> 31) & 0x1) == 0)
859 {
860 if (((word >> 30) & 0x1) == 0)
861 {
862 /* 33222222222211111111110000000000
863 10987654321098765432109876543210
7684e580 864 00111000011xxxxx000000xxxxxxxxxx
ee804238 865 ldaddlb. */
b731bc3b 866 return 1027;
ee804238
JW
867 }
868 else
869 {
870 /* 33222222222211111111110000000000
871 10987654321098765432109876543210
7684e580 872 01111000011xxxxx000000xxxxxxxxxx
ee804238 873 ldaddlh. */
b731bc3b 874 return 1030;
ee804238
JW
875 }
876 }
877 else
878 {
879 /* 33222222222211111111110000000000
880 10987654321098765432109876543210
7684e580 881 1x111000011xxxxx000000xxxxxxxxxx
ee804238 882 ldaddl. */
b731bc3b 883 return 1033;
ee804238
JW
884 }
885 }
886 else
887 {
888 if (((word >> 31) & 0x1) == 0)
889 {
890 if (((word >> 30) & 0x1) == 0)
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
7684e580 894 00111000111xxxxx000000xxxxxxxxxx
ee804238 895 ldaddalb. */
b731bc3b 896 return 1028;
ee804238
JW
897 }
898 else
899 {
900 /* 33222222222211111111110000000000
901 10987654321098765432109876543210
7684e580 902 01111000111xxxxx000000xxxxxxxxxx
ee804238 903 ldaddalh. */
b731bc3b 904 return 1031;
ee804238
JW
905 }
906 }
907 else
908 {
909 /* 33222222222211111111110000000000
910 10987654321098765432109876543210
7684e580 911 1x111000111xxxxx000000xxxxxxxxxx
ee804238 912 ldaddal. */
b731bc3b 913 return 1034;
ee804238
JW
914 }
915 }
916 }
917 }
918 else
919 {
920 if (((word >> 22) & 0x1) == 0)
921 {
922 if (((word >> 23) & 0x1) == 0)
923 {
924 if (((word >> 31) & 0x1) == 0)
925 {
926 if (((word >> 30) & 0x1) == 0)
927 {
928 /* 33222222222211111111110000000000
929 10987654321098765432109876543210
7684e580 930 00111000001xxxxx100000xxxxxxxxxx
ee804238 931 swpb. */
b731bc3b 932 return 1011;
ee804238
JW
933 }
934 else
935 {
936 /* 33222222222211111111110000000000
937 10987654321098765432109876543210
7684e580 938 01111000001xxxxx100000xxxxxxxxxx
ee804238 939 swph. */
b731bc3b 940 return 1012;
ee804238
JW
941 }
942 }
943 else
944 {
945 /* 33222222222211111111110000000000
946 10987654321098765432109876543210
7684e580 947 1x111000001xxxxx100000xxxxxxxxxx
ee804238 948 swp. */
b731bc3b 949 return 1013;
ee804238
JW
950 }
951 }
952 else
953 {
954 if (((word >> 31) & 0x1) == 0)
955 {
956 if (((word >> 30) & 0x1) == 0)
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 00111000101xxxxx100000xxxxxxxxxx
ee804238 961 swpab. */
b731bc3b 962 return 1014;
ee804238
JW
963 }
964 else
965 {
966 /* 33222222222211111111110000000000
967 10987654321098765432109876543210
7684e580 968 01111000101xxxxx100000xxxxxxxxxx
ee804238 969 swpah. */
b731bc3b 970 return 1017;
ee804238
JW
971 }
972 }
973 else
974 {
975 /* 33222222222211111111110000000000
976 10987654321098765432109876543210
7684e580 977 1x111000101xxxxx100000xxxxxxxxxx
ee804238 978 swpa. */
b731bc3b 979 return 1020;
ee804238
JW
980 }
981 }
982 }
983 else
984 {
985 if (((word >> 23) & 0x1) == 0)
986 {
987 if (((word >> 31) & 0x1) == 0)
988 {
989 if (((word >> 30) & 0x1) == 0)
990 {
991 /* 33222222222211111111110000000000
992 10987654321098765432109876543210
7684e580 993 00111000011xxxxx100000xxxxxxxxxx
ee804238 994 swplb. */
b731bc3b 995 return 1015;
ee804238
JW
996 }
997 else
998 {
999 /* 33222222222211111111110000000000
1000 10987654321098765432109876543210
7684e580 1001 01111000011xxxxx100000xxxxxxxxxx
ee804238 1002 swplh. */
b731bc3b 1003 return 1018;
ee804238
JW
1004 }
1005 }
1006 else
1007 {
1008 /* 33222222222211111111110000000000
1009 10987654321098765432109876543210
7684e580 1010 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1011 swpl. */
b731bc3b 1012 return 1021;
ee804238
JW
1013 }
1014 }
1015 else
1016 {
1017 if (((word >> 31) & 0x1) == 0)
1018 {
1019 if (((word >> 30) & 0x1) == 0)
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
7684e580 1023 00111000111xxxxx100000xxxxxxxxxx
ee804238 1024 swpalb. */
b731bc3b 1025 return 1016;
ee804238
JW
1026 }
1027 else
1028 {
1029 /* 33222222222211111111110000000000
1030 10987654321098765432109876543210
7684e580 1031 01111000111xxxxx100000xxxxxxxxxx
ee804238 1032 swpalh. */
b731bc3b 1033 return 1019;
ee804238
JW
1034 }
1035 }
1036 else
1037 {
1038 /* 33222222222211111111110000000000
1039 10987654321098765432109876543210
7684e580 1040 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1041 swpal. */
b731bc3b 1042 return 1022;
ee804238
JW
1043 }
1044 }
1045 }
1046 }
1047 }
1048 else
1049 {
28617675 1050 if (((word >> 15) & 0x1) == 0)
ee804238 1051 {
28617675 1052 if (((word >> 22) & 0x1) == 0)
ee804238 1053 {
28617675 1054 if (((word >> 23) & 0x1) == 0)
ee804238 1055 {
28617675 1056 if (((word >> 31) & 0x1) == 0)
ee804238 1057 {
28617675
SN
1058 if (((word >> 30) & 0x1) == 0)
1059 {
1060 /* 33222222222211111111110000000000
1061 10987654321098765432109876543210
7684e580 1062 00111000001xxxxx010000xxxxxxxxxx
28617675 1063 ldsmaxb. */
b731bc3b 1064 return 1071;
28617675
SN
1065 }
1066 else
1067 {
1068 /* 33222222222211111111110000000000
1069 10987654321098765432109876543210
7684e580 1070 01111000001xxxxx010000xxxxxxxxxx
28617675 1071 ldsmaxh. */
b731bc3b 1072 return 1072;
28617675 1073 }
ee804238
JW
1074 }
1075 else
1076 {
1077 /* 33222222222211111111110000000000
1078 10987654321098765432109876543210
7684e580 1079 1x111000001xxxxx010000xxxxxxxxxx
28617675 1080 ldsmax. */
b731bc3b 1081 return 1073;
ee804238
JW
1082 }
1083 }
1084 else
1085 {
28617675 1086 if (((word >> 31) & 0x1) == 0)
ee804238 1087 {
28617675
SN
1088 if (((word >> 30) & 0x1) == 0)
1089 {
1090 /* 33222222222211111111110000000000
1091 10987654321098765432109876543210
7684e580 1092 00111000101xxxxx010000xxxxxxxxxx
28617675 1093 ldsmaxab. */
b731bc3b 1094 return 1074;
28617675
SN
1095 }
1096 else
1097 {
1098 /* 33222222222211111111110000000000
1099 10987654321098765432109876543210
7684e580 1100 01111000101xxxxx010000xxxxxxxxxx
28617675 1101 ldsmaxah. */
b731bc3b 1102 return 1077;
28617675 1103 }
ee804238
JW
1104 }
1105 else
1106 {
1107 /* 33222222222211111111110000000000
1108 10987654321098765432109876543210
7684e580 1109 1x111000101xxxxx010000xxxxxxxxxx
28617675 1110 ldsmaxa. */
b731bc3b 1111 return 1080;
ee804238
JW
1112 }
1113 }
ee804238 1114 }
28617675 1115 else
ee804238 1116 {
28617675 1117 if (((word >> 23) & 0x1) == 0)
ee804238 1118 {
28617675 1119 if (((word >> 31) & 0x1) == 0)
ee804238 1120 {
28617675
SN
1121 if (((word >> 30) & 0x1) == 0)
1122 {
1123 /* 33222222222211111111110000000000
1124 10987654321098765432109876543210
7684e580 1125 00111000011xxxxx010000xxxxxxxxxx
28617675 1126 ldsmaxlb. */
b731bc3b 1127 return 1075;
28617675
SN
1128 }
1129 else
1130 {
1131 /* 33222222222211111111110000000000
1132 10987654321098765432109876543210
7684e580 1133 01111000011xxxxx010000xxxxxxxxxx
28617675 1134 ldsmaxlh. */
b731bc3b 1135 return 1078;
28617675 1136 }
ee804238
JW
1137 }
1138 else
1139 {
1140 /* 33222222222211111111110000000000
1141 10987654321098765432109876543210
7684e580 1142 1x111000011xxxxx010000xxxxxxxxxx
28617675 1143 ldsmaxl. */
b731bc3b 1144 return 1081;
ee804238
JW
1145 }
1146 }
1147 else
1148 {
28617675 1149 if (((word >> 31) & 0x1) == 0)
ee804238 1150 {
28617675
SN
1151 if (((word >> 30) & 0x1) == 0)
1152 {
1153 /* 33222222222211111111110000000000
1154 10987654321098765432109876543210
7684e580 1155 00111000111xxxxx010000xxxxxxxxxx
28617675 1156 ldsmaxalb. */
b731bc3b 1157 return 1076;
28617675
SN
1158 }
1159 else
1160 {
1161 /* 33222222222211111111110000000000
1162 10987654321098765432109876543210
7684e580 1163 01111000111xxxxx010000xxxxxxxxxx
28617675 1164 ldsmaxalh. */
b731bc3b 1165 return 1079;
28617675 1166 }
ee804238
JW
1167 }
1168 else
1169 {
1170 /* 33222222222211111111110000000000
1171 10987654321098765432109876543210
7684e580 1172 1x111000111xxxxx010000xxxxxxxxxx
28617675 1173 ldsmaxal. */
b731bc3b 1174 return 1082;
ee804238
JW
1175 }
1176 }
28617675
SN
1177 }
1178 }
1179 else
1180 {
1181 if (((word >> 31) & 0x1) == 0)
1182 {
1183 if (((word >> 30) & 0x1) == 0)
1184 {
1185 /* 33222222222211111111110000000000
1186 10987654321098765432109876543210
7684e580 1187 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1188 ldaprb. */
b731bc3b 1189 return 949;
28617675 1190 }
ee804238
JW
1191 else
1192 {
1193 /* 33222222222211111111110000000000
1194 10987654321098765432109876543210
7684e580 1195 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1196 ldaprh. */
b731bc3b 1197 return 950;
ee804238
JW
1198 }
1199 }
28617675
SN
1200 else
1201 {
1202 /* 33222222222211111111110000000000
1203 10987654321098765432109876543210
7684e580 1204 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1205 ldapr. */
b731bc3b 1206 return 951;
28617675 1207 }
ee804238
JW
1208 }
1209 }
1210 }
1211 else
1212 {
1213 if (((word >> 14) & 0x1) == 0)
1214 {
1215 if (((word >> 22) & 0x1) == 0)
1216 {
1217 if (((word >> 23) & 0x1) == 0)
1218 {
1219 if (((word >> 31) & 0x1) == 0)
1220 {
1221 if (((word >> 30) & 0x1) == 0)
1222 {
1223 /* 33222222222211111111110000000000
1224 10987654321098765432109876543210
7684e580 1225 00111000001xxxxxx01000xxxxxxxxxx
ee804238 1226 ldeorb. */
b731bc3b 1227 return 1047;
ee804238
JW
1228 }
1229 else
1230 {
1231 /* 33222222222211111111110000000000
1232 10987654321098765432109876543210
7684e580 1233 01111000001xxxxxx01000xxxxxxxxxx
ee804238 1234 ldeorh. */
b731bc3b 1235 return 1048;
ee804238
JW
1236 }
1237 }
1238 else
1239 {
1240 /* 33222222222211111111110000000000
1241 10987654321098765432109876543210
7684e580 1242 1x111000001xxxxxx01000xxxxxxxxxx
ee804238 1243 ldeor. */
b731bc3b 1244 return 1049;
ee804238
JW
1245 }
1246 }
1247 else
1248 {
1249 if (((word >> 31) & 0x1) == 0)
1250 {
1251 if (((word >> 30) & 0x1) == 0)
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
7684e580 1255 00111000101xxxxxx01000xxxxxxxxxx
ee804238 1256 ldeorab. */
b731bc3b 1257 return 1050;
ee804238
JW
1258 }
1259 else
1260 {
1261 /* 33222222222211111111110000000000
1262 10987654321098765432109876543210
7684e580 1263 01111000101xxxxxx01000xxxxxxxxxx
ee804238 1264 ldeorah. */
b731bc3b 1265 return 1053;
ee804238
JW
1266 }
1267 }
1268 else
1269 {
1270 /* 33222222222211111111110000000000
1271 10987654321098765432109876543210
7684e580 1272 1x111000101xxxxxx01000xxxxxxxxxx
ee804238 1273 ldeora. */
b731bc3b 1274 return 1056;
ee804238
JW
1275 }
1276 }
1277 }
1278 else
1279 {
1280 if (((word >> 23) & 0x1) == 0)
1281 {
1282 if (((word >> 31) & 0x1) == 0)
1283 {
1284 if (((word >> 30) & 0x1) == 0)
1285 {
1286 /* 33222222222211111111110000000000
1287 10987654321098765432109876543210
7684e580 1288 00111000011xxxxxx01000xxxxxxxxxx
ee804238 1289 ldeorlb. */
b731bc3b 1290 return 1051;
ee804238
JW
1291 }
1292 else
1293 {
1294 /* 33222222222211111111110000000000
1295 10987654321098765432109876543210
7684e580 1296 01111000011xxxxxx01000xxxxxxxxxx
ee804238 1297 ldeorlh. */
b731bc3b 1298 return 1054;
ee804238
JW
1299 }
1300 }
1301 else
1302 {
1303 /* 33222222222211111111110000000000
1304 10987654321098765432109876543210
7684e580 1305 1x111000011xxxxxx01000xxxxxxxxxx
ee804238 1306 ldeorl. */
b731bc3b 1307 return 1057;
ee804238
JW
1308 }
1309 }
1310 else
1311 {
1312 if (((word >> 31) & 0x1) == 0)
1313 {
1314 if (((word >> 30) & 0x1) == 0)
1315 {
1316 /* 33222222222211111111110000000000
1317 10987654321098765432109876543210
7684e580 1318 00111000111xxxxxx01000xxxxxxxxxx
ee804238 1319 ldeoralb. */
b731bc3b 1320 return 1052;
ee804238
JW
1321 }
1322 else
1323 {
1324 /* 33222222222211111111110000000000
1325 10987654321098765432109876543210
7684e580 1326 01111000111xxxxxx01000xxxxxxxxxx
ee804238 1327 ldeoralh. */
b731bc3b 1328 return 1055;
ee804238
JW
1329 }
1330 }
1331 else
1332 {
1333 /* 33222222222211111111110000000000
1334 10987654321098765432109876543210
7684e580 1335 1x111000111xxxxxx01000xxxxxxxxxx
ee804238 1336 ldeoral. */
b731bc3b 1337 return 1058;
ee804238
JW
1338 }
1339 }
1340 }
1341 }
1342 else
1343 {
1344 if (((word >> 22) & 0x1) == 0)
1345 {
1346 if (((word >> 23) & 0x1) == 0)
1347 {
1348 if (((word >> 31) & 0x1) == 0)
1349 {
1350 if (((word >> 30) & 0x1) == 0)
1351 {
1352 /* 33222222222211111111110000000000
1353 10987654321098765432109876543210
7684e580 1354 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1355 ldumaxb. */
b731bc3b 1356 return 1095;
ee804238
JW
1357 }
1358 else
1359 {
1360 /* 33222222222211111111110000000000
1361 10987654321098765432109876543210
7684e580 1362 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1363 ldumaxh. */
b731bc3b 1364 return 1096;
ee804238
JW
1365 }
1366 }
1367 else
1368 {
1369 /* 33222222222211111111110000000000
1370 10987654321098765432109876543210
7684e580 1371 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1372 ldumax. */
b731bc3b 1373 return 1097;
ee804238
JW
1374 }
1375 }
1376 else
1377 {
1378 if (((word >> 31) & 0x1) == 0)
1379 {
1380 if (((word >> 30) & 0x1) == 0)
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
7684e580 1384 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1385 ldumaxab. */
b731bc3b 1386 return 1098;
ee804238
JW
1387 }
1388 else
1389 {
1390 /* 33222222222211111111110000000000
1391 10987654321098765432109876543210
7684e580 1392 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1393 ldumaxah. */
b731bc3b 1394 return 1101;
ee804238
JW
1395 }
1396 }
1397 else
1398 {
1399 /* 33222222222211111111110000000000
1400 10987654321098765432109876543210
7684e580 1401 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1402 ldumaxa. */
b731bc3b 1403 return 1104;
ee804238
JW
1404 }
1405 }
1406 }
1407 else
1408 {
1409 if (((word >> 23) & 0x1) == 0)
1410 {
1411 if (((word >> 31) & 0x1) == 0)
1412 {
1413 if (((word >> 30) & 0x1) == 0)
1414 {
1415 /* 33222222222211111111110000000000
1416 10987654321098765432109876543210
7684e580 1417 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1418 ldumaxlb. */
b731bc3b 1419 return 1099;
ee804238
JW
1420 }
1421 else
1422 {
1423 /* 33222222222211111111110000000000
1424 10987654321098765432109876543210
7684e580 1425 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1426 ldumaxlh. */
b731bc3b 1427 return 1102;
ee804238
JW
1428 }
1429 }
1430 else
1431 {
1432 /* 33222222222211111111110000000000
1433 10987654321098765432109876543210
7684e580 1434 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1435 ldumaxl. */
b731bc3b 1436 return 1105;
ee804238
JW
1437 }
1438 }
1439 else
1440 {
1441 if (((word >> 31) & 0x1) == 0)
1442 {
1443 if (((word >> 30) & 0x1) == 0)
1444 {
1445 /* 33222222222211111111110000000000
1446 10987654321098765432109876543210
7684e580 1447 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1448 ldumaxalb. */
b731bc3b 1449 return 1100;
ee804238
JW
1450 }
1451 else
1452 {
1453 /* 33222222222211111111110000000000
1454 10987654321098765432109876543210
7684e580 1455 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1456 ldumaxalh. */
b731bc3b 1457 return 1103;
ee804238
JW
1458 }
1459 }
1460 else
1461 {
1462 /* 33222222222211111111110000000000
1463 10987654321098765432109876543210
7684e580 1464 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1465 ldumaxal. */
b731bc3b 1466 return 1106;
ee804238
JW
1467 }
1468 }
1469 }
1470 }
1471 }
1472 }
1473 else
1474 {
1475 if (((word >> 13) & 0x1) == 0)
1476 {
1477 if (((word >> 14) & 0x1) == 0)
1478 {
1479 if (((word >> 22) & 0x1) == 0)
1480 {
1481 if (((word >> 23) & 0x1) == 0)
1482 {
1483 if (((word >> 31) & 0x1) == 0)
1484 {
1485 if (((word >> 30) & 0x1) == 0)
1486 {
1487 /* 33222222222211111111110000000000
1488 10987654321098765432109876543210
7684e580 1489 00111000001xxxxxx00100xxxxxxxxxx
ee804238 1490 ldclrb. */
b731bc3b 1491 return 1035;
ee804238
JW
1492 }
1493 else
1494 {
1495 /* 33222222222211111111110000000000
1496 10987654321098765432109876543210
7684e580 1497 01111000001xxxxxx00100xxxxxxxxxx
ee804238 1498 ldclrh. */
b731bc3b 1499 return 1036;
ee804238
JW
1500 }
1501 }
1502 else
1503 {
1504 /* 33222222222211111111110000000000
1505 10987654321098765432109876543210
7684e580 1506 1x111000001xxxxxx00100xxxxxxxxxx
ee804238 1507 ldclr. */
b731bc3b 1508 return 1037;
ee804238
JW
1509 }
1510 }
1511 else
1512 {
1513 if (((word >> 31) & 0x1) == 0)
1514 {
1515 if (((word >> 30) & 0x1) == 0)
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
7684e580 1519 00111000101xxxxxx00100xxxxxxxxxx
ee804238 1520 ldclrab. */
b731bc3b 1521 return 1038;
ee804238
JW
1522 }
1523 else
1524 {
1525 /* 33222222222211111111110000000000
1526 10987654321098765432109876543210
7684e580 1527 01111000101xxxxxx00100xxxxxxxxxx
ee804238 1528 ldclrah. */
b731bc3b 1529 return 1041;
ee804238
JW
1530 }
1531 }
1532 else
1533 {
1534 /* 33222222222211111111110000000000
1535 10987654321098765432109876543210
7684e580 1536 1x111000101xxxxxx00100xxxxxxxxxx
ee804238 1537 ldclra. */
b731bc3b 1538 return 1044;
ee804238
JW
1539 }
1540 }
1541 }
1542 else
1543 {
1544 if (((word >> 23) & 0x1) == 0)
1545 {
1546 if (((word >> 31) & 0x1) == 0)
1547 {
1548 if (((word >> 30) & 0x1) == 0)
1549 {
1550 /* 33222222222211111111110000000000
1551 10987654321098765432109876543210
7684e580 1552 00111000011xxxxxx00100xxxxxxxxxx
ee804238 1553 ldclrlb. */
b731bc3b 1554 return 1039;
ee804238
JW
1555 }
1556 else
1557 {
1558 /* 33222222222211111111110000000000
1559 10987654321098765432109876543210
7684e580 1560 01111000011xxxxxx00100xxxxxxxxxx
ee804238 1561 ldclrlh. */
b731bc3b 1562 return 1042;
ee804238
JW
1563 }
1564 }
1565 else
1566 {
1567 /* 33222222222211111111110000000000
1568 10987654321098765432109876543210
7684e580 1569 1x111000011xxxxxx00100xxxxxxxxxx
ee804238 1570 ldclrl. */
b731bc3b 1571 return 1045;
ee804238
JW
1572 }
1573 }
1574 else
1575 {
1576 if (((word >> 31) & 0x1) == 0)
1577 {
1578 if (((word >> 30) & 0x1) == 0)
1579 {
1580 /* 33222222222211111111110000000000
1581 10987654321098765432109876543210
7684e580 1582 00111000111xxxxxx00100xxxxxxxxxx
ee804238 1583 ldclralb. */
b731bc3b 1584 return 1040;
ee804238
JW
1585 }
1586 else
1587 {
1588 /* 33222222222211111111110000000000
1589 10987654321098765432109876543210
7684e580 1590 01111000111xxxxxx00100xxxxxxxxxx
ee804238 1591 ldclralh. */
b731bc3b 1592 return 1043;
ee804238
JW
1593 }
1594 }
1595 else
1596 {
1597 /* 33222222222211111111110000000000
1598 10987654321098765432109876543210
7684e580 1599 1x111000111xxxxxx00100xxxxxxxxxx
ee804238 1600 ldclral. */
b731bc3b 1601 return 1046;
ee804238
JW
1602 }
1603 }
1604 }
1605 }
1606 else
1607 {
1608 if (((word >> 22) & 0x1) == 0)
1609 {
1610 if (((word >> 23) & 0x1) == 0)
1611 {
1612 if (((word >> 31) & 0x1) == 0)
1613 {
1614 if (((word >> 30) & 0x1) == 0)
1615 {
1616 /* 33222222222211111111110000000000
1617 10987654321098765432109876543210
7684e580 1618 00111000001xxxxxx10100xxxxxxxxxx
ee804238 1619 ldsminb. */
b731bc3b 1620 return 1083;
ee804238
JW
1621 }
1622 else
1623 {
1624 /* 33222222222211111111110000000000
1625 10987654321098765432109876543210
7684e580 1626 01111000001xxxxxx10100xxxxxxxxxx
ee804238 1627 ldsminh. */
b731bc3b 1628 return 1084;
ee804238
JW
1629 }
1630 }
1631 else
1632 {
1633 /* 33222222222211111111110000000000
1634 10987654321098765432109876543210
7684e580 1635 1x111000001xxxxxx10100xxxxxxxxxx
ee804238 1636 ldsmin. */
b731bc3b 1637 return 1085;
ee804238
JW
1638 }
1639 }
1640 else
1641 {
1642 if (((word >> 31) & 0x1) == 0)
1643 {
1644 if (((word >> 30) & 0x1) == 0)
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 00111000101xxxxxx10100xxxxxxxxxx
ee804238 1649 ldsminab. */
b731bc3b 1650 return 1086;
ee804238
JW
1651 }
1652 else
1653 {
1654 /* 33222222222211111111110000000000
1655 10987654321098765432109876543210
7684e580 1656 01111000101xxxxxx10100xxxxxxxxxx
ee804238 1657 ldsminah. */
b731bc3b 1658 return 1089;
ee804238
JW
1659 }
1660 }
1661 else
1662 {
1663 /* 33222222222211111111110000000000
1664 10987654321098765432109876543210
7684e580 1665 1x111000101xxxxxx10100xxxxxxxxxx
ee804238 1666 ldsmina. */
b731bc3b 1667 return 1092;
ee804238
JW
1668 }
1669 }
1670 }
1671 else
1672 {
1673 if (((word >> 23) & 0x1) == 0)
1674 {
1675 if (((word >> 31) & 0x1) == 0)
1676 {
1677 if (((word >> 30) & 0x1) == 0)
1678 {
1679 /* 33222222222211111111110000000000
1680 10987654321098765432109876543210
7684e580 1681 00111000011xxxxxx10100xxxxxxxxxx
ee804238 1682 ldsminlb. */
b731bc3b 1683 return 1087;
ee804238
JW
1684 }
1685 else
1686 {
1687 /* 33222222222211111111110000000000
1688 10987654321098765432109876543210
7684e580 1689 01111000011xxxxxx10100xxxxxxxxxx
ee804238 1690 ldsminlh. */
b731bc3b 1691 return 1090;
ee804238
JW
1692 }
1693 }
1694 else
1695 {
1696 /* 33222222222211111111110000000000
1697 10987654321098765432109876543210
7684e580 1698 1x111000011xxxxxx10100xxxxxxxxxx
ee804238 1699 ldsminl. */
b731bc3b 1700 return 1093;
ee804238
JW
1701 }
1702 }
1703 else
1704 {
1705 if (((word >> 31) & 0x1) == 0)
1706 {
1707 if (((word >> 30) & 0x1) == 0)
1708 {
1709 /* 33222222222211111111110000000000
1710 10987654321098765432109876543210
7684e580 1711 00111000111xxxxxx10100xxxxxxxxxx
ee804238 1712 ldsminalb. */
b731bc3b 1713 return 1088;
ee804238
JW
1714 }
1715 else
1716 {
1717 /* 33222222222211111111110000000000
1718 10987654321098765432109876543210
7684e580 1719 01111000111xxxxxx10100xxxxxxxxxx
ee804238 1720 ldsminalh. */
b731bc3b 1721 return 1091;
ee804238
JW
1722 }
1723 }
1724 else
1725 {
1726 /* 33222222222211111111110000000000
1727 10987654321098765432109876543210
7684e580 1728 1x111000111xxxxxx10100xxxxxxxxxx
ee804238 1729 ldsminal. */
b731bc3b 1730 return 1094;
ee804238
JW
1731 }
1732 }
1733 }
1734 }
1735 }
1736 else
1737 {
1738 if (((word >> 14) & 0x1) == 0)
1739 {
1740 if (((word >> 22) & 0x1) == 0)
1741 {
1742 if (((word >> 23) & 0x1) == 0)
1743 {
1744 if (((word >> 31) & 0x1) == 0)
1745 {
1746 if (((word >> 30) & 0x1) == 0)
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
7684e580 1750 00111000001xxxxxx01100xxxxxxxxxx
ee804238 1751 ldsetb. */
b731bc3b 1752 return 1059;
ee804238
JW
1753 }
1754 else
1755 {
1756 /* 33222222222211111111110000000000
1757 10987654321098765432109876543210
7684e580 1758 01111000001xxxxxx01100xxxxxxxxxx
ee804238 1759 ldseth. */
b731bc3b 1760 return 1060;
ee804238
JW
1761 }
1762 }
1763 else
1764 {
1765 /* 33222222222211111111110000000000
1766 10987654321098765432109876543210
7684e580 1767 1x111000001xxxxxx01100xxxxxxxxxx
ee804238 1768 ldset. */
b731bc3b 1769 return 1061;
ee804238
JW
1770 }
1771 }
1772 else
1773 {
1774 if (((word >> 31) & 0x1) == 0)
1775 {
1776 if (((word >> 30) & 0x1) == 0)
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
7684e580 1780 00111000101xxxxxx01100xxxxxxxxxx
ee804238 1781 ldsetab. */
b731bc3b 1782 return 1062;
ee804238
JW
1783 }
1784 else
1785 {
1786 /* 33222222222211111111110000000000
1787 10987654321098765432109876543210
7684e580 1788 01111000101xxxxxx01100xxxxxxxxxx
ee804238 1789 ldsetah. */
b731bc3b 1790 return 1065;
ee804238
JW
1791 }
1792 }
1793 else
1794 {
1795 /* 33222222222211111111110000000000
1796 10987654321098765432109876543210
7684e580 1797 1x111000101xxxxxx01100xxxxxxxxxx
ee804238 1798 ldseta. */
b731bc3b 1799 return 1068;
ee804238
JW
1800 }
1801 }
1802 }
1803 else
1804 {
1805 if (((word >> 23) & 0x1) == 0)
1806 {
1807 if (((word >> 31) & 0x1) == 0)
1808 {
1809 if (((word >> 30) & 0x1) == 0)
1810 {
1811 /* 33222222222211111111110000000000
1812 10987654321098765432109876543210
7684e580 1813 00111000011xxxxxx01100xxxxxxxxxx
ee804238 1814 ldsetlb. */
b731bc3b 1815 return 1063;
ee804238
JW
1816 }
1817 else
1818 {
1819 /* 33222222222211111111110000000000
1820 10987654321098765432109876543210
7684e580 1821 01111000011xxxxxx01100xxxxxxxxxx
ee804238 1822 ldsetlh. */
b731bc3b 1823 return 1066;
ee804238
JW
1824 }
1825 }
1826 else
1827 {
1828 /* 33222222222211111111110000000000
1829 10987654321098765432109876543210
7684e580 1830 1x111000011xxxxxx01100xxxxxxxxxx
ee804238 1831 ldsetl. */
b731bc3b 1832 return 1069;
ee804238
JW
1833 }
1834 }
1835 else
1836 {
1837 if (((word >> 31) & 0x1) == 0)
1838 {
1839 if (((word >> 30) & 0x1) == 0)
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
7684e580 1843 00111000111xxxxxx01100xxxxxxxxxx
ee804238 1844 ldsetalb. */
b731bc3b 1845 return 1064;
ee804238
JW
1846 }
1847 else
1848 {
1849 /* 33222222222211111111110000000000
1850 10987654321098765432109876543210
7684e580 1851 01111000111xxxxxx01100xxxxxxxxxx
ee804238 1852 ldsetalh. */
b731bc3b 1853 return 1067;
ee804238
JW
1854 }
1855 }
1856 else
1857 {
1858 /* 33222222222211111111110000000000
1859 10987654321098765432109876543210
7684e580 1860 1x111000111xxxxxx01100xxxxxxxxxx
ee804238 1861 ldsetal. */
b731bc3b 1862 return 1070;
ee804238
JW
1863 }
1864 }
1865 }
a06ea964
NC
1866 }
1867 else
1868 {
ee804238
JW
1869 if (((word >> 22) & 0x1) == 0)
1870 {
1871 if (((word >> 23) & 0x1) == 0)
1872 {
1873 if (((word >> 31) & 0x1) == 0)
1874 {
1875 if (((word >> 30) & 0x1) == 0)
1876 {
1877 /* 33222222222211111111110000000000
1878 10987654321098765432109876543210
7684e580 1879 00111000001xxxxxx11100xxxxxxxxxx
ee804238 1880 lduminb. */
b731bc3b 1881 return 1107;
ee804238
JW
1882 }
1883 else
1884 {
1885 /* 33222222222211111111110000000000
1886 10987654321098765432109876543210
7684e580 1887 01111000001xxxxxx11100xxxxxxxxxx
ee804238 1888 lduminh. */
b731bc3b 1889 return 1108;
ee804238
JW
1890 }
1891 }
1892 else
1893 {
1894 /* 33222222222211111111110000000000
1895 10987654321098765432109876543210
7684e580 1896 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 1897 ldumin. */
b731bc3b 1898 return 1109;
ee804238
JW
1899 }
1900 }
1901 else
1902 {
1903 if (((word >> 31) & 0x1) == 0)
1904 {
1905 if (((word >> 30) & 0x1) == 0)
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 00111000101xxxxxx11100xxxxxxxxxx
ee804238 1910 lduminab. */
b731bc3b 1911 return 1110;
ee804238
JW
1912 }
1913 else
1914 {
1915 /* 33222222222211111111110000000000
1916 10987654321098765432109876543210
7684e580 1917 01111000101xxxxxx11100xxxxxxxxxx
ee804238 1918 lduminah. */
b731bc3b 1919 return 1113;
ee804238
JW
1920 }
1921 }
1922 else
1923 {
1924 /* 33222222222211111111110000000000
1925 10987654321098765432109876543210
7684e580 1926 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 1927 ldumina. */
b731bc3b 1928 return 1116;
ee804238
JW
1929 }
1930 }
1931 }
1932 else
1933 {
1934 if (((word >> 23) & 0x1) == 0)
1935 {
1936 if (((word >> 31) & 0x1) == 0)
1937 {
1938 if (((word >> 30) & 0x1) == 0)
1939 {
1940 /* 33222222222211111111110000000000
1941 10987654321098765432109876543210
7684e580 1942 00111000011xxxxxx11100xxxxxxxxxx
ee804238 1943 lduminlb. */
b731bc3b 1944 return 1111;
ee804238
JW
1945 }
1946 else
1947 {
1948 /* 33222222222211111111110000000000
1949 10987654321098765432109876543210
7684e580 1950 01111000011xxxxxx11100xxxxxxxxxx
ee804238 1951 lduminlh. */
b731bc3b 1952 return 1114;
ee804238
JW
1953 }
1954 }
1955 else
1956 {
1957 /* 33222222222211111111110000000000
1958 10987654321098765432109876543210
7684e580 1959 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 1960 lduminl. */
b731bc3b 1961 return 1117;
ee804238
JW
1962 }
1963 }
1964 else
1965 {
1966 if (((word >> 31) & 0x1) == 0)
1967 {
1968 if (((word >> 30) & 0x1) == 0)
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 00111000111xxxxxx11100xxxxxxxxxx
ee804238 1973 lduminalb. */
b731bc3b 1974 return 1112;
ee804238
JW
1975 }
1976 else
1977 {
1978 /* 33222222222211111111110000000000
1979 10987654321098765432109876543210
7684e580 1980 01111000111xxxxxx11100xxxxxxxxxx
ee804238 1981 lduminalh. */
b731bc3b 1982 return 1115;
ee804238
JW
1983 }
1984 }
1985 else
1986 {
1987 /* 33222222222211111111110000000000
1988 10987654321098765432109876543210
7684e580 1989 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 1990 lduminal. */
b731bc3b 1991 return 1118;
ee804238
JW
1992 }
1993 }
1994 }
a06ea964
NC
1995 }
1996 }
a06ea964
NC
1997 }
1998 }
1999 }
2000 else
2001 {
2002 if (((word >> 21) & 0x1) == 0)
2003 {
2004 if (((word >> 23) & 0x1) == 0)
2005 {
2006 if (((word >> 22) & 0x1) == 0)
2007 {
2008 if (((word >> 31) & 0x1) == 0)
2009 {
2010 if (((word >> 30) & 0x1) == 0)
2011 {
2012 /* 33222222222211111111110000000000
2013 10987654321098765432109876543210
7684e580 2014 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2015 sttrb. */
b731bc3b 2016 return 904;
a06ea964
NC
2017 }
2018 else
2019 {
2020 /* 33222222222211111111110000000000
2021 10987654321098765432109876543210
7684e580 2022 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2023 sttrh. */
b731bc3b 2024 return 907;
a06ea964
NC
2025 }
2026 }
2027 else
2028 {
2029 /* 33222222222211111111110000000000
2030 10987654321098765432109876543210
7684e580 2031 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2032 sttr. */
b731bc3b 2033 return 910;
a06ea964
NC
2034 }
2035 }
2036 else
2037 {
2038 if (((word >> 31) & 0x1) == 0)
2039 {
2040 if (((word >> 30) & 0x1) == 0)
2041 {
2042 /* 33222222222211111111110000000000
2043 10987654321098765432109876543210
7684e580 2044 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2045 ldtrb. */
b731bc3b 2046 return 905;
a06ea964
NC
2047 }
2048 else
2049 {
2050 /* 33222222222211111111110000000000
2051 10987654321098765432109876543210
7684e580 2052 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2053 ldtrh. */
b731bc3b 2054 return 908;
a06ea964
NC
2055 }
2056 }
2057 else
2058 {
2059 /* 33222222222211111111110000000000
2060 10987654321098765432109876543210
7684e580 2061 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2062 ldtr. */
b731bc3b 2063 return 911;
a06ea964
NC
2064 }
2065 }
2066 }
2067 else
2068 {
2069 if (((word >> 30) & 0x1) == 0)
2070 {
2071 if (((word >> 31) & 0x1) == 0)
2072 {
2073 /* 33222222222211111111110000000000
2074 10987654321098765432109876543210
7684e580 2075 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2076 ldtrsb. */
b731bc3b 2077 return 906;
a06ea964
NC
2078 }
2079 else
2080 {
2081 /* 33222222222211111111110000000000
2082 10987654321098765432109876543210
7684e580 2083 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2084 ldtrsw. */
b731bc3b 2085 return 912;
a06ea964
NC
2086 }
2087 }
2088 else
2089 {
2090 /* 33222222222211111111110000000000
2091 10987654321098765432109876543210
7684e580 2092 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2093 ldtrsh. */
b731bc3b 2094 return 909;
a06ea964
NC
2095 }
2096 }
2097 }
2098 else
2099 {
2100 if (((word >> 23) & 0x1) == 0)
2101 {
2102 if (((word >> 22) & 0x1) == 0)
2103 {
2104 if (((word >> 31) & 0x1) == 0)
2105 {
2106 if (((word >> 30) & 0x1) == 0)
2107 {
2108 /* 33222222222211111111110000000000
2109 10987654321098765432109876543210
7684e580 2110 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2111 strb. */
b731bc3b 2112 return 892;
a06ea964
NC
2113 }
2114 else
2115 {
2116 /* 33222222222211111111110000000000
2117 10987654321098765432109876543210
7684e580 2118 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2119 strh. */
b731bc3b 2120 return 897;
a06ea964
NC
2121 }
2122 }
2123 else
2124 {
2125 /* 33222222222211111111110000000000
2126 10987654321098765432109876543210
7684e580 2127 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2128 str. */
b731bc3b 2129 return 900;
a06ea964
NC
2130 }
2131 }
2132 else
2133 {
2134 if (((word >> 31) & 0x1) == 0)
2135 {
2136 if (((word >> 30) & 0x1) == 0)
2137 {
2138 /* 33222222222211111111110000000000
2139 10987654321098765432109876543210
7684e580 2140 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2141 ldrb. */
b731bc3b 2142 return 893;
a06ea964
NC
2143 }
2144 else
2145 {
2146 /* 33222222222211111111110000000000
2147 10987654321098765432109876543210
7684e580 2148 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2149 ldrh. */
b731bc3b 2150 return 898;
a06ea964
NC
2151 }
2152 }
2153 else
2154 {
2155 /* 33222222222211111111110000000000
2156 10987654321098765432109876543210
7684e580 2157 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2158 ldr. */
b731bc3b 2159 return 901;
a06ea964
NC
2160 }
2161 }
2162 }
2163 else
2164 {
2165 if (((word >> 30) & 0x1) == 0)
2166 {
2167 if (((word >> 31) & 0x1) == 0)
2168 {
2169 /* 33222222222211111111110000000000
2170 10987654321098765432109876543210
7684e580 2171 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2172 ldrsb. */
b731bc3b 2173 return 894;
a06ea964
NC
2174 }
2175 else
2176 {
2177 /* 33222222222211111111110000000000
2178 10987654321098765432109876543210
7684e580 2179 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2180 ldrsw. */
b731bc3b 2181 return 902;
a06ea964
NC
2182 }
2183 }
2184 else
2185 {
2186 if (((word >> 31) & 0x1) == 0)
2187 {
2188 /* 33222222222211111111110000000000
2189 10987654321098765432109876543210
7684e580 2190 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2191 ldrsh. */
b731bc3b 2192 return 899;
a06ea964
NC
2193 }
2194 else
2195 {
2196 /* 33222222222211111111110000000000
2197 10987654321098765432109876543210
7684e580 2198 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2199 prfm. */
b731bc3b 2200 return 903;
a06ea964
NC
2201 }
2202 }
2203 }
2204 }
2205 }
2206 }
2207 else
2208 {
3f06e550 2209 if (((word >> 21) & 0x1) == 0)
a06ea964 2210 {
3f06e550 2211 if (((word >> 23) & 0x1) == 0)
a06ea964 2212 {
3f06e550 2213 if (((word >> 22) & 0x1) == 0)
a06ea964 2214 {
3f06e550 2215 if (((word >> 31) & 0x1) == 0)
a06ea964 2216 {
3f06e550
SN
2217 if (((word >> 30) & 0x1) == 0)
2218 {
2219 /* 33222222222211111111110000000000
2220 10987654321098765432109876543210
7684e580 2221 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2222 strb. */
b731bc3b 2223 return 869;
3f06e550
SN
2224 }
2225 else
2226 {
2227 /* 33222222222211111111110000000000
2228 10987654321098765432109876543210
7684e580 2229 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2230 strh. */
b731bc3b 2231 return 874;
3f06e550 2232 }
a06ea964
NC
2233 }
2234 else
2235 {
2236 /* 33222222222211111111110000000000
2237 10987654321098765432109876543210
7684e580 2238 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2239 str. */
b731bc3b 2240 return 877;
a06ea964
NC
2241 }
2242 }
2243 else
2244 {
3f06e550
SN
2245 if (((word >> 31) & 0x1) == 0)
2246 {
2247 if (((word >> 30) & 0x1) == 0)
2248 {
2249 /* 33222222222211111111110000000000
2250 10987654321098765432109876543210
7684e580 2251 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2252 ldrb. */
b731bc3b 2253 return 870;
3f06e550
SN
2254 }
2255 else
2256 {
2257 /* 33222222222211111111110000000000
2258 10987654321098765432109876543210
7684e580 2259 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2260 ldrh. */
b731bc3b 2261 return 875;
3f06e550
SN
2262 }
2263 }
2264 else
2265 {
2266 /* 33222222222211111111110000000000
2267 10987654321098765432109876543210
7684e580 2268 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2269 ldr. */
b731bc3b 2270 return 878;
3f06e550 2271 }
a06ea964
NC
2272 }
2273 }
2274 else
2275 {
3f06e550 2276 if (((word >> 30) & 0x1) == 0)
a06ea964 2277 {
3f06e550 2278 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2279 {
2280 /* 33222222222211111111110000000000
2281 10987654321098765432109876543210
7684e580 2282 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2283 ldrsb. */
b731bc3b 2284 return 871;
a06ea964
NC
2285 }
2286 else
2287 {
2288 /* 33222222222211111111110000000000
2289 10987654321098765432109876543210
7684e580 2290 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2291 ldrsw. */
b731bc3b 2292 return 879;
a06ea964
NC
2293 }
2294 }
2295 else
2296 {
2297 /* 33222222222211111111110000000000
2298 10987654321098765432109876543210
7684e580 2299 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2300 ldrsh. */
b731bc3b 2301 return 876;
a06ea964
NC
2302 }
2303 }
2304 }
2305 else
2306 {
3f06e550 2307 if (((word >> 23) & 0x1) == 0)
a06ea964 2308 {
3f06e550
SN
2309 /* 33222222222211111111110000000000
2310 10987654321098765432109876543210
7684e580 2311 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2312 ldraa. */
b731bc3b 2313 return 925;
a06ea964
NC
2314 }
2315 else
2316 {
2317 /* 33222222222211111111110000000000
2318 10987654321098765432109876543210
7684e580 2319 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2320 ldrab. */
b731bc3b 2321 return 926;
a06ea964
NC
2322 }
2323 }
2324 }
2325 }
2326 }
2327 else
2328 {
2329 if (((word >> 23) & 0x1) == 0)
2330 {
2331 if (((word >> 22) & 0x1) == 0)
2332 {
e9dbdd80 2333 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
2334 {
2335 if (((word >> 30) & 0x1) == 0)
2336 {
e9dbdd80
TC
2337 if (((word >> 31) & 0x1) == 0)
2338 {
2339 /* 33222222222211111111110000000000
2340 10987654321098765432109876543210
2341 0001100100xxxxxxxxxxxxxxxxxxxxxx
2342 stlurb. */
b731bc3b 2343 return 2068;
e9dbdd80
TC
2344 }
2345 else
2346 {
2347 /* 33222222222211111111110000000000
2348 10987654321098765432109876543210
2349 1001100100xxxxxxxxxxxxxxxxxxxxxx
2350 stlur. */
b731bc3b 2351 return 2076;
e9dbdd80 2352 }
a06ea964
NC
2353 }
2354 else
2355 {
e9dbdd80
TC
2356 if (((word >> 31) & 0x1) == 0)
2357 {
2358 /* 33222222222211111111110000000000
2359 10987654321098765432109876543210
2360 0101100100xxxxxxxxxxxxxxxxxxxxxx
2361 stlurh. */
b731bc3b 2362 return 2072;
e9dbdd80
TC
2363 }
2364 else
2365 {
2366 /* 33222222222211111111110000000000
2367 10987654321098765432109876543210
2368 1101100100xxxxxxxxxxxxxxxxxxxxxx
2369 stlur. */
b731bc3b 2370 return 2079;
e9dbdd80 2371 }
a06ea964
NC
2372 }
2373 }
2374 else
2375 {
e9dbdd80
TC
2376 if (((word >> 31) & 0x1) == 0)
2377 {
2378 if (((word >> 30) & 0x1) == 0)
2379 {
2380 /* 33222222222211111111110000000000
2381 10987654321098765432109876543210
2382 0011100100xxxxxxxxxxxxxxxxxxxxxx
2383 strb. */
b731bc3b 2384 return 880;
e9dbdd80
TC
2385 }
2386 else
2387 {
2388 /* 33222222222211111111110000000000
2389 10987654321098765432109876543210
2390 0111100100xxxxxxxxxxxxxxxxxxxxxx
2391 strh. */
b731bc3b 2392 return 885;
e9dbdd80
TC
2393 }
2394 }
2395 else
2396 {
2397 /* 33222222222211111111110000000000
2398 10987654321098765432109876543210
2399 1x11100100xxxxxxxxxxxxxxxxxxxxxx
2400 str. */
b731bc3b 2401 return 888;
e9dbdd80 2402 }
a06ea964
NC
2403 }
2404 }
2405 else
2406 {
e9dbdd80 2407 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
2408 {
2409 if (((word >> 30) & 0x1) == 0)
2410 {
e9dbdd80
TC
2411 if (((word >> 31) & 0x1) == 0)
2412 {
2413 /* 33222222222211111111110000000000
2414 10987654321098765432109876543210
2415 0001100101xxxxxxxxxxxxxxxxxxxxxx
2416 ldapurb. */
b731bc3b 2417 return 2069;
e9dbdd80
TC
2418 }
2419 else
2420 {
2421 /* 33222222222211111111110000000000
2422 10987654321098765432109876543210
2423 1001100101xxxxxxxxxxxxxxxxxxxxxx
2424 ldapur. */
b731bc3b 2425 return 2077;
e9dbdd80 2426 }
a06ea964
NC
2427 }
2428 else
2429 {
e9dbdd80
TC
2430 if (((word >> 31) & 0x1) == 0)
2431 {
2432 /* 33222222222211111111110000000000
2433 10987654321098765432109876543210
2434 0101100101xxxxxxxxxxxxxxxxxxxxxx
2435 ldapurh. */
b731bc3b 2436 return 2073;
e9dbdd80
TC
2437 }
2438 else
2439 {
2440 /* 33222222222211111111110000000000
2441 10987654321098765432109876543210
2442 1101100101xxxxxxxxxxxxxxxxxxxxxx
2443 ldapur. */
b731bc3b 2444 return 2080;
e9dbdd80 2445 }
a06ea964
NC
2446 }
2447 }
2448 else
2449 {
e9dbdd80
TC
2450 if (((word >> 31) & 0x1) == 0)
2451 {
2452 if (((word >> 30) & 0x1) == 0)
2453 {
2454 /* 33222222222211111111110000000000
2455 10987654321098765432109876543210
2456 0011100101xxxxxxxxxxxxxxxxxxxxxx
2457 ldrb. */
b731bc3b 2458 return 881;
e9dbdd80
TC
2459 }
2460 else
2461 {
2462 /* 33222222222211111111110000000000
2463 10987654321098765432109876543210
2464 0111100101xxxxxxxxxxxxxxxxxxxxxx
2465 ldrh. */
b731bc3b 2466 return 886;
e9dbdd80
TC
2467 }
2468 }
2469 else
2470 {
2471 /* 33222222222211111111110000000000
2472 10987654321098765432109876543210
2473 1x11100101xxxxxxxxxxxxxxxxxxxxxx
2474 ldr. */
b731bc3b 2475 return 889;
e9dbdd80 2476 }
a06ea964
NC
2477 }
2478 }
2479 }
2480 else
2481 {
e9dbdd80 2482 if (((word >> 29) & 0x1) == 0)
a06ea964 2483 {
e9dbdd80 2484 if (((word >> 22) & 0x1) == 0)
a06ea964 2485 {
e9dbdd80
TC
2486 if (((word >> 30) & 0x1) == 0)
2487 {
2488 if (((word >> 31) & 0x1) == 0)
2489 {
2490 /* 33222222222211111111110000000000
2491 10987654321098765432109876543210
2492 0001100110xxxxxxxxxxxxxxxxxxxxxx
2493 ldapursb. */
b731bc3b 2494 return 2071;
e9dbdd80
TC
2495 }
2496 else
2497 {
2498 /* 33222222222211111111110000000000
2499 10987654321098765432109876543210
2500 1001100110xxxxxxxxxxxxxxxxxxxxxx
2501 ldapursw. */
b731bc3b 2502 return 2078;
e9dbdd80
TC
2503 }
2504 }
2505 else
2506 {
2507 /* 33222222222211111111110000000000
2508 10987654321098765432109876543210
2509 x101100110xxxxxxxxxxxxxxxxxxxxxx
2510 ldapursh. */
b731bc3b 2511 return 2075;
e9dbdd80 2512 }
a06ea964
NC
2513 }
2514 else
2515 {
e9dbdd80
TC
2516 if (((word >> 30) & 0x1) == 0)
2517 {
2518 /* 33222222222211111111110000000000
2519 10987654321098765432109876543210
2520 x001100111xxxxxxxxxxxxxxxxxxxxxx
2521 ldapursb. */
b731bc3b 2522 return 2070;
e9dbdd80
TC
2523 }
2524 else
2525 {
2526 /* 33222222222211111111110000000000
2527 10987654321098765432109876543210
2528 x101100111xxxxxxxxxxxxxxxxxxxxxx
2529 ldapursh. */
b731bc3b 2530 return 2074;
e9dbdd80 2531 }
a06ea964
NC
2532 }
2533 }
2534 else
2535 {
e9dbdd80 2536 if (((word >> 30) & 0x1) == 0)
a06ea964 2537 {
e9dbdd80
TC
2538 if (((word >> 31) & 0x1) == 0)
2539 {
2540 /* 33222222222211111111110000000000
2541 10987654321098765432109876543210
2542 001110011xxxxxxxxxxxxxxxxxxxxxxx
2543 ldrsb. */
b731bc3b 2544 return 882;
e9dbdd80
TC
2545 }
2546 else
2547 {
2548 /* 33222222222211111111110000000000
2549 10987654321098765432109876543210
2550 101110011xxxxxxxxxxxxxxxxxxxxxxx
2551 ldrsw. */
b731bc3b 2552 return 890;
e9dbdd80 2553 }
a06ea964
NC
2554 }
2555 else
2556 {
e9dbdd80
TC
2557 if (((word >> 31) & 0x1) == 0)
2558 {
2559 /* 33222222222211111111110000000000
2560 10987654321098765432109876543210
2561 011110011xxxxxxxxxxxxxxxxxxxxxxx
2562 ldrsh. */
b731bc3b 2563 return 887;
e9dbdd80
TC
2564 }
2565 else
2566 {
2567 /* 33222222222211111111110000000000
2568 10987654321098765432109876543210
2569 111110011xxxxxxxxxxxxxxxxxxxxxxx
2570 prfm. */
b731bc3b 2571 return 891;
e9dbdd80 2572 }
a06ea964
NC
2573 }
2574 }
2575 }
2576 }
2577 }
2578 }
2579 }
2580 else
2581 {
2582 if (((word >> 24) & 0x1) == 0)
2583 {
2584 if (((word >> 27) & 0x1) == 0)
2585 {
2586 if (((word >> 23) & 0x1) == 0)
2587 {
2588 if (((word >> 29) & 0x1) == 0)
2589 {
2590 if (((word >> 30) & 0x1) == 0)
2591 {
2592 /* 33222222222211111111110000000000
2593 10987654321098765432109876543210
7684e580 2594 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2595 and. */
b731bc3b 2596 return 976;
a06ea964
NC
2597 }
2598 else
2599 {
2600 /* 33222222222211111111110000000000
2601 10987654321098765432109876543210
7684e580 2602 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2603 eor. */
b731bc3b 2604 return 980;
a06ea964
NC
2605 }
2606 }
2607 else
2608 {
2609 if (((word >> 30) & 0x1) == 0)
2610 {
2611 /* 33222222222211111111110000000000
2612 10987654321098765432109876543210
7684e580 2613 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2614 orr. */
b731bc3b 2615 return 978;
a06ea964
NC
2616 }
2617 else
2618 {
2619 /* 33222222222211111111110000000000
2620 10987654321098765432109876543210
7684e580 2621 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2622 ands. */
b731bc3b 2623 return 981;
a06ea964
NC
2624 }
2625 }
2626 }
2627 else
2628 {
2629 if (((word >> 29) & 0x1) == 0)
2630 {
2631 if (((word >> 30) & 0x1) == 0)
2632 {
2633 /* 33222222222211111111110000000000
2634 10987654321098765432109876543210
7684e580 2635 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2636 movn. */
b731bc3b 2637 return 1167;
a06ea964
NC
2638 }
2639 else
2640 {
2641 /* 33222222222211111111110000000000
2642 10987654321098765432109876543210
7684e580 2643 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2644 movz. */
b731bc3b 2645 return 1169;
a06ea964
NC
2646 }
2647 }
2648 else
2649 {
2650 /* 33222222222211111111110000000000
2651 10987654321098765432109876543210
7684e580 2652 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2653 movk. */
b731bc3b 2654 return 1171;
a06ea964
NC
2655 }
2656 }
2657 }
2658 else
2659 {
2660 if (((word >> 21) & 0x1) == 0)
2661 {
2662 if (((word >> 28) & 0x1) == 0)
2663 {
2664 if (((word >> 29) & 0x1) == 0)
2665 {
2666 if (((word >> 30) & 0x1) == 0)
2667 {
2668 /* 33222222222211111111110000000000
2669 10987654321098765432109876543210
7684e580 2670 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2671 and. */
b731bc3b 2672 return 983;
a06ea964
NC
2673 }
2674 else
2675 {
2676 /* 33222222222211111111110000000000
2677 10987654321098765432109876543210
7684e580 2678 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2679 eor. */
b731bc3b 2680 return 990;
a06ea964
NC
2681 }
2682 }
2683 else
2684 {
2685 if (((word >> 30) & 0x1) == 0)
2686 {
2687 /* 33222222222211111111110000000000
2688 10987654321098765432109876543210
7684e580 2689 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2690 orr. */
b731bc3b 2691 return 985;
a06ea964
NC
2692 }
2693 else
2694 {
2695 /* 33222222222211111111110000000000
2696 10987654321098765432109876543210
7684e580 2697 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2698 ands. */
b731bc3b 2699 return 992;
a06ea964
NC
2700 }
2701 }
2702 }
2703 else
2704 {
2705 if (((word >> 10) & 0x1) == 0)
2706 {
2707 if (((word >> 11) & 0x1) == 0)
2708 {
2709 if (((word >> 22) & 0x1) == 0)
2710 {
2711 if (((word >> 23) & 0x1) == 0)
2712 {
2713 if (((word >> 29) & 0x1) == 0)
2714 {
2715 if (((word >> 30) & 0x1) == 0)
2716 {
2717 /* 33222222222211111111110000000000
2718 10987654321098765432109876543210
7684e580 2719 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2720 adc. */
2721 return 0;
2722 }
2723 else
2724 {
2725 /* 33222222222211111111110000000000
2726 10987654321098765432109876543210
7684e580 2727 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2728 sbc. */
2729 return 2;
2730 }
2731 }
2732 else
2733 {
2734 if (((word >> 30) & 0x1) == 0)
2735 {
2736 /* 33222222222211111111110000000000
2737 10987654321098765432109876543210
7684e580 2738 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2739 adcs. */
2740 return 1;
2741 }
2742 else
2743 {
2744 /* 33222222222211111111110000000000
2745 10987654321098765432109876543210
7684e580 2746 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2747 sbcs. */
2748 return 4;
2749 }
2750 }
2751 }
2752 else
2753 {
2754 if (((word >> 30) & 0x1) == 0)
2755 {
2756 /* 33222222222211111111110000000000
2757 10987654321098765432109876543210
7684e580 2758 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2759 csel. */
193614f2 2760 return 662;
a06ea964
NC
2761 }
2762 else
2763 {
2764 /* 33222222222211111111110000000000
2765 10987654321098765432109876543210
7684e580 2766 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2767 csinv. */
193614f2 2768 return 666;
a06ea964
NC
2769 }
2770 }
2771 }
2772 else
2773 {
2774 if (((word >> 23) & 0x1) == 0)
2775 {
2776 if (((word >> 30) & 0x1) == 0)
2777 {
2778 /* 33222222222211111111110000000000
2779 10987654321098765432109876543210
7684e580 2780 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2781 ccmn. */
193614f2 2782 return 660;
a06ea964
NC
2783 }
2784 else
2785 {
2786 /* 33222222222211111111110000000000
2787 10987654321098765432109876543210
7684e580 2788 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2789 ccmp. */
193614f2 2790 return 661;
a06ea964
NC
2791 }
2792 }
2793 else
2794 {
2795 if (((word >> 12) & 0x1) == 0)
2796 {
2797 if (((word >> 13) & 0x1) == 0)
2798 {
e60bb1dd 2799 if (((word >> 14) & 0x1) == 0)
a2cfc830 2800 {
b731bc3b 2801 if (((word >> 29) & 0x1) == 0)
a2cfc830 2802 {
b731bc3b
SD
2803 if (((word >> 30) & 0x1) == 0)
2804 {
2805 /* 33222222222211111111110000000000
2806 10987654321098765432109876543210
2807 x0011010110xxxxxx00000xxxxxxxxxx
2808 subp. */
2809 return 721;
2810 }
2811 else
2812 {
2813 if (((word >> 16) & 0x1) == 0)
2814 {
2815 /* 33222222222211111111110000000000
2816 10987654321098765432109876543210
2817 x1011010110xxxx0x00000xxxxxxxxxx
2818 rbit. */
2819 return 685;
2820 }
2821 else
2822 {
2823 /* 33222222222211111111110000000000
2824 10987654321098765432109876543210
2825 x1011010110xxxx1x00000xxxxxxxxxx
2826 pacia. */
2827 return 693;
2828 }
2829 }
a2cfc830
SN
2830 }
2831 else
2832 {
2833 /* 33222222222211111111110000000000
2834 10987654321098765432109876543210
b731bc3b
SD
2835 xx111010110xxxxxx00000xxxxxxxxxx
2836 subps. */
2837 return 722;
a2cfc830
SN
2838 }
2839 }
2840 else
2841 {
2842 if (((word >> 30) & 0x1) == 0)
2843 {
2844 /* 33222222222211111111110000000000
2845 10987654321098765432109876543210
7684e580 2846 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2847 crc32b. */
b731bc3b 2848 return 727;
a2cfc830
SN
2849 }
2850 else
2851 {
2852 /* 33222222222211111111110000000000
2853 10987654321098765432109876543210
7684e580 2854 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2855 xpaci. */
193614f2 2856 return 709;
a2cfc830
SN
2857 }
2858 }
2859 }
2860 else
2861 {
2862 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
2863 {
2864 /* 33222222222211111111110000000000
2865 10987654321098765432109876543210
7684e580 2866 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2867 lslv. */
193614f2 2868 return 713;
e60bb1dd
YZ
2869 }
2870 else
2871 {
2872 /* 33222222222211111111110000000000
2873 10987654321098765432109876543210
7684e580 2874 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2875 paciza. */
193614f2 2876 return 701;
e60bb1dd 2877 }
a06ea964 2878 }
a06ea964
NC
2879 }
2880 else
2881 {
a2cfc830 2882 if (((word >> 13) & 0x1) == 0)
e60bb1dd 2883 {
a2cfc830
SN
2884 if (((word >> 14) & 0x1) == 0)
2885 {
193614f2 2886 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
2887 {
2888 /* 33222222222211111111110000000000
2889 10987654321098765432109876543210
193614f2
SD
2890 x0x11010110xxxxxx00100xxxxxxxxxx
2891 irg. */
b731bc3b 2892 return 724;
a2cfc830
SN
2893 }
2894 else
2895 {
193614f2
SD
2896 if (((word >> 16) & 0x1) == 0)
2897 {
2898 /* 33222222222211111111110000000000
2899 10987654321098765432109876543210
2900 x1x11010110xxxx0x00100xxxxxxxxxx
2901 clz. */
2902 return 690;
2903 }
2904 else
2905 {
2906 /* 33222222222211111111110000000000
2907 10987654321098765432109876543210
2908 x1x11010110xxxx1x00100xxxxxxxxxx
2909 autia. */
2910 return 697;
2911 }
a2cfc830
SN
2912 }
2913 }
2914 else
2915 {
2916 /* 33222222222211111111110000000000
2917 10987654321098765432109876543210
7684e580 2918 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 2919 crc32cb. */
b731bc3b 2920 return 731;
a2cfc830 2921 }
e60bb1dd
YZ
2922 }
2923 else
2924 {
c84364ec
SN
2925 if (((word >> 30) & 0x1) == 0)
2926 {
2927 /* 33222222222211111111110000000000
2928 10987654321098765432109876543210
7684e580 2929 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 2930 pacga. */
b731bc3b 2931 return 726;
c84364ec
SN
2932 }
2933 else
2934 {
2935 /* 33222222222211111111110000000000
2936 10987654321098765432109876543210
7684e580 2937 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 2938 autiza. */
193614f2 2939 return 705;
c84364ec 2940 }
e60bb1dd 2941 }
a06ea964
NC
2942 }
2943 }
2944 }
2945 }
2946 else
2947 {
e9dbdd80 2948 if (((word >> 22) & 0x1) == 0)
a06ea964 2949 {
e9dbdd80 2950 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
2951 {
2952 /* 33222222222211111111110000000000
2953 10987654321098765432109876543210
e9dbdd80
TC
2954 xxx11010x00xxxxxx0xx10xxxxxxxxxx
2955 setf8. */
b731bc3b 2956 return 2066;
a06ea964
NC
2957 }
2958 else
2959 {
2960 /* 33222222222211111111110000000000
2961 10987654321098765432109876543210
e9dbdd80
TC
2962 xxx11010x00xxxxxx1xx10xxxxxxxxxx
2963 setf16. */
b731bc3b 2964 return 2067;
a06ea964
NC
2965 }
2966 }
2967 else
2968 {
e9dbdd80 2969 if (((word >> 23) & 0x1) == 0)
a06ea964 2970 {
e9dbdd80 2971 if (((word >> 30) & 0x1) == 0)
a06ea964 2972 {
e9dbdd80
TC
2973 /* 33222222222211111111110000000000
2974 10987654321098765432109876543210
2975 x0x11010010xxxxxxxxx10xxxxxxxxxx
2976 ccmn. */
193614f2 2977 return 658;
e9dbdd80
TC
2978 }
2979 else
2980 {
2981 /* 33222222222211111111110000000000
2982 10987654321098765432109876543210
2983 x1x11010010xxxxxxxxx10xxxxxxxxxx
2984 ccmp. */
193614f2 2985 return 659;
e9dbdd80
TC
2986 }
2987 }
2988 else
2989 {
2990 if (((word >> 12) & 0x1) == 0)
2991 {
2992 if (((word >> 13) & 0x1) == 0)
a06ea964 2993 {
e9dbdd80 2994 if (((word >> 14) & 0x1) == 0)
e60bb1dd 2995 {
e9dbdd80 2996 if (((word >> 30) & 0x1) == 0)
e60bb1dd 2997 {
e9dbdd80
TC
2998 /* 33222222222211111111110000000000
2999 10987654321098765432109876543210
3000 x0x11010110xxxxxx00010xxxxxxxxxx
3001 udiv. */
193614f2 3002 return 711;
e9dbdd80
TC
3003 }
3004 else
3005 {
3006 if (((word >> 16) & 0x1) == 0)
a2cfc830 3007 {
e9dbdd80
TC
3008 if (((word >> 31) & 0x1) == 0)
3009 {
3010 /* 33222222222211111111110000000000
3011 10987654321098765432109876543210
3012 01x11010110xxxx0x00010xxxxxxxxxx
3013 rev. */
193614f2 3014 return 687;
e9dbdd80
TC
3015 }
3016 else
3017 {
3018 /* 33222222222211111111110000000000
3019 10987654321098765432109876543210
3020 11x11010110xxxx0x00010xxxxxxxxxx
3021 rev32. */
193614f2 3022 return 692;
e9dbdd80 3023 }
a2cfc830
SN
3024 }
3025 else
3026 {
3027 /* 33222222222211111111110000000000
3028 10987654321098765432109876543210
e9dbdd80
TC
3029 x1x11010110xxxx1x00010xxxxxxxxxx
3030 pacda. */
193614f2 3031 return 695;
a2cfc830 3032 }
e60bb1dd 3033 }
e9dbdd80
TC
3034 }
3035 else
3036 {
3037 /* 33222222222211111111110000000000
3038 10987654321098765432109876543210
3039 xxx11010110xxxxxx10010xxxxxxxxxx
3040 crc32w. */
b731bc3b 3041 return 729;
e60bb1dd 3042 }
a06ea964
NC
3043 }
3044 else
3045 {
e9dbdd80
TC
3046 if (((word >> 30) & 0x1) == 0)
3047 {
3048 /* 33222222222211111111110000000000
3049 10987654321098765432109876543210
3050 x0x11010110xxxxxxx1010xxxxxxxxxx
3051 asrv. */
193614f2 3052 return 717;
e9dbdd80
TC
3053 }
3054 else
3055 {
3056 /* 33222222222211111111110000000000
3057 10987654321098765432109876543210
3058 x1x11010110xxxxxxx1010xxxxxxxxxx
3059 pacdza. */
193614f2 3060 return 703;
e9dbdd80 3061 }
a06ea964
NC
3062 }
3063 }
e60bb1dd
YZ
3064 else
3065 {
e9dbdd80 3066 if (((word >> 13) & 0x1) == 0)
a2cfc830 3067 {
e9dbdd80
TC
3068 if (((word >> 14) & 0x1) == 0)
3069 {
3070 /* 33222222222211111111110000000000
3071 10987654321098765432109876543210
3072 xxx11010110xxxxxx00110xxxxxxxxxx
3073 autda. */
193614f2 3074 return 699;
e9dbdd80
TC
3075 }
3076 else
3077 {
3078 /* 33222222222211111111110000000000
3079 10987654321098765432109876543210
3080 xxx11010110xxxxxx10110xxxxxxxxxx
3081 crc32cw. */
b731bc3b 3082 return 733;
e9dbdd80 3083 }
a2cfc830
SN
3084 }
3085 else
3086 {
3087 /* 33222222222211111111110000000000
3088 10987654321098765432109876543210
e9dbdd80
TC
3089 xxx11010110xxxxxxx1110xxxxxxxxxx
3090 autdza. */
193614f2 3091 return 707;
a2cfc830 3092 }
e60bb1dd 3093 }
a06ea964 3094 }
a06ea964
NC
3095 }
3096 }
3097 }
3098 else
3099 {
3100 if (((word >> 11) & 0x1) == 0)
3101 {
3102 if (((word >> 22) & 0x1) == 0)
3103 {
e9dbdd80 3104 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
3105 {
3106 /* 33222222222211111111110000000000
3107 10987654321098765432109876543210
e9dbdd80
TC
3108 xxx11010000xxxxxxxxx01xxxxxxxxxx
3109 rmif. */
b731bc3b 3110 return 2065;
a06ea964
NC
3111 }
3112 else
3113 {
e9dbdd80
TC
3114 if (((word >> 30) & 0x1) == 0)
3115 {
3116 /* 33222222222211111111110000000000
3117 10987654321098765432109876543210
3118 x0x11010100xxxxxxxxx01xxxxxxxxxx
3119 csinc. */
193614f2 3120 return 663;
e9dbdd80
TC
3121 }
3122 else
3123 {
3124 /* 33222222222211111111110000000000
3125 10987654321098765432109876543210
3126 x1x11010100xxxxxxxxx01xxxxxxxxxx
3127 csneg. */
193614f2 3128 return 669;
e9dbdd80 3129 }
a06ea964
NC
3130 }
3131 }
3132 else
3133 {
3134 if (((word >> 12) & 0x1) == 0)
3135 {
3136 if (((word >> 13) & 0x1) == 0)
3137 {
e60bb1dd 3138 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
3139 {
3140 if (((word >> 16) & 0x1) == 0)
3141 {
3142 /* 33222222222211111111110000000000
3143 10987654321098765432109876543210
7684e580 3144 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 3145 rev16. */
193614f2 3146 return 686;
a2cfc830
SN
3147 }
3148 else
3149 {
3150 /* 33222222222211111111110000000000
3151 10987654321098765432109876543210
7684e580 3152 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 3153 pacib. */
193614f2 3154 return 694;
a2cfc830
SN
3155 }
3156 }
3157 else
3158 {
3159 if (((word >> 30) & 0x1) == 0)
3160 {
3161 /* 33222222222211111111110000000000
3162 10987654321098765432109876543210
7684e580 3163 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3164 crc32h. */
b731bc3b 3165 return 728;
a2cfc830
SN
3166 }
3167 else
3168 {
3169 /* 33222222222211111111110000000000
3170 10987654321098765432109876543210
7684e580 3171 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3172 xpacd. */
193614f2 3173 return 710;
a2cfc830
SN
3174 }
3175 }
3176 }
3177 else
3178 {
3179 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3180 {
3181 /* 33222222222211111111110000000000
3182 10987654321098765432109876543210
7684e580 3183 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3184 lsrv. */
193614f2 3185 return 715;
e60bb1dd
YZ
3186 }
3187 else
3188 {
3189 /* 33222222222211111111110000000000
3190 10987654321098765432109876543210
7684e580 3191 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3192 pacizb. */
193614f2 3193 return 702;
e60bb1dd 3194 }
a06ea964 3195 }
a06ea964
NC
3196 }
3197 else
3198 {
a2cfc830 3199 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3200 {
a2cfc830
SN
3201 if (((word >> 14) & 0x1) == 0)
3202 {
193614f2 3203 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3204 {
3205 /* 33222222222211111111110000000000
3206 10987654321098765432109876543210
193614f2
SD
3207 x0x11010x10xxxxxx00101xxxxxxxxxx
3208 gmi. */
b731bc3b 3209 return 725;
a2cfc830
SN
3210 }
3211 else
3212 {
193614f2
SD
3213 if (((word >> 16) & 0x1) == 0)
3214 {
3215 /* 33222222222211111111110000000000
3216 10987654321098765432109876543210
3217 x1x11010x10xxxx0x00101xxxxxxxxxx
3218 cls. */
3219 return 691;
3220 }
3221 else
3222 {
3223 /* 33222222222211111111110000000000
3224 10987654321098765432109876543210
3225 x1x11010x10xxxx1x00101xxxxxxxxxx
3226 autib. */
3227 return 698;
3228 }
a2cfc830
SN
3229 }
3230 }
3231 else
3232 {
3233 /* 33222222222211111111110000000000
3234 10987654321098765432109876543210
7684e580 3235 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3236 crc32ch. */
b731bc3b 3237 return 732;
a2cfc830 3238 }
e60bb1dd
YZ
3239 }
3240 else
3241 {
3242 /* 33222222222211111111110000000000
3243 10987654321098765432109876543210
7684e580 3244 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3245 autizb. */
193614f2 3246 return 706;
e60bb1dd 3247 }
a06ea964
NC
3248 }
3249 }
3250 }
3251 else
3252 {
e60bb1dd 3253 if (((word >> 12) & 0x1) == 0)
a06ea964 3254 {
e60bb1dd 3255 if (((word >> 13) & 0x1) == 0)
a06ea964 3256 {
e60bb1dd
YZ
3257 if (((word >> 14) & 0x1) == 0)
3258 {
3259 if (((word >> 30) & 0x1) == 0)
3260 {
3261 /* 33222222222211111111110000000000
3262 10987654321098765432109876543210
7684e580 3263 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3264 sdiv. */
193614f2 3265 return 712;
e60bb1dd
YZ
3266 }
3267 else
3268 {
a2cfc830
SN
3269 if (((word >> 16) & 0x1) == 0)
3270 {
3271 /* 33222222222211111111110000000000
3272 10987654321098765432109876543210
7684e580 3273 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3274 rev. */
193614f2 3275 return 688;
a2cfc830
SN
3276 }
3277 else
3278 {
3279 /* 33222222222211111111110000000000
3280 10987654321098765432109876543210
7684e580 3281 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3282 pacdb. */
193614f2 3283 return 696;
a2cfc830 3284 }
e60bb1dd
YZ
3285 }
3286 }
3287 else
3288 {
3289 /* 33222222222211111111110000000000
3290 10987654321098765432109876543210
7684e580 3291 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3292 crc32x. */
b731bc3b 3293 return 730;
e60bb1dd 3294 }
a06ea964
NC
3295 }
3296 else
3297 {
a2cfc830
SN
3298 if (((word >> 30) & 0x1) == 0)
3299 {
3300 /* 33222222222211111111110000000000
3301 10987654321098765432109876543210
7684e580 3302 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3303 rorv. */
193614f2 3304 return 719;
a2cfc830
SN
3305 }
3306 else
3307 {
3308 /* 33222222222211111111110000000000
3309 10987654321098765432109876543210
7684e580 3310 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3311 pacdzb. */
193614f2 3312 return 704;
a2cfc830 3313 }
a06ea964
NC
3314 }
3315 }
3316 else
3317 {
a2cfc830
SN
3318 if (((word >> 13) & 0x1) == 0)
3319 {
3320 if (((word >> 14) & 0x1) == 0)
3321 {
3322 /* 33222222222211111111110000000000
3323 10987654321098765432109876543210
7684e580 3324 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 3325 autdb. */
193614f2 3326 return 700;
a2cfc830
SN
3327 }
3328 else
3329 {
3330 /* 33222222222211111111110000000000
3331 10987654321098765432109876543210
7684e580 3332 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 3333 crc32cx. */
b731bc3b 3334 return 734;
a2cfc830
SN
3335 }
3336 }
3337 else
3338 {
3339 /* 33222222222211111111110000000000
3340 10987654321098765432109876543210
7684e580 3341 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 3342 autdzb. */
193614f2 3343 return 708;
a2cfc830 3344 }
a06ea964
NC
3345 }
3346 }
3347 }
3348 }
3349 }
3350 else
3351 {
3352 if (((word >> 29) & 0x1) == 0)
3353 {
3354 if (((word >> 30) & 0x1) == 0)
3355 {
3356 /* 33222222222211111111110000000000
3357 10987654321098765432109876543210
7684e580 3358 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3359 bic. */
b731bc3b 3360 return 984;
a06ea964
NC
3361 }
3362 else
3363 {
3364 /* 33222222222211111111110000000000
3365 10987654321098765432109876543210
7684e580 3366 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3367 eon. */
b731bc3b 3368 return 991;
a06ea964
NC
3369 }
3370 }
3371 else
3372 {
3373 if (((word >> 30) & 0x1) == 0)
3374 {
3375 /* 33222222222211111111110000000000
3376 10987654321098765432109876543210
7684e580 3377 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3378 orn. */
b731bc3b 3379 return 988;
a06ea964
NC
3380 }
3381 else
3382 {
3383 /* 33222222222211111111110000000000
3384 10987654321098765432109876543210
7684e580 3385 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3386 bics. */
b731bc3b 3387 return 994;
a06ea964
NC
3388 }
3389 }
3390 }
3391 }
3392 }
3393 else
3394 {
3395 if (((word >> 27) & 0x1) == 0)
3396 {
3397 if (((word >> 23) & 0x1) == 0)
3398 {
3399 if (((word >> 29) & 0x1) == 0)
3400 {
3401 if (((word >> 30) & 0x1) == 0)
3402 {
3403 /* 33222222222211111111110000000000
3404 10987654321098765432109876543210
7684e580 3405 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3406 sbfm. */
193614f2 3407 return 618;
a06ea964
NC
3408 }
3409 else
3410 {
3411 /* 33222222222211111111110000000000
3412 10987654321098765432109876543210
7684e580 3413 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3414 ubfm. */
193614f2 3415 return 629;
a06ea964
NC
3416 }
3417 }
3418 else
3419 {
3420 /* 33222222222211111111110000000000
3421 10987654321098765432109876543210
7684e580 3422 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3423 bfm. */
193614f2 3424 return 625;
a06ea964
NC
3425 }
3426 }
3427 else
3428 {
3429 /* 33222222222211111111110000000000
3430 10987654321098765432109876543210
7684e580 3431 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3432 extr. */
b731bc3b 3433 return 757;
a06ea964
NC
3434 }
3435 }
3436 else
3437 {
3438 if (((word >> 21) & 0x1) == 0)
3439 {
3440 if (((word >> 28) & 0x1) == 0)
3441 {
3442 if (((word >> 29) & 0x1) == 0)
3443 {
3444 if (((word >> 30) & 0x1) == 0)
3445 {
3446 /* 33222222222211111111110000000000
3447 10987654321098765432109876543210
7684e580 3448 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3449 add. */
193614f2 3450 return 21;
a06ea964
NC
3451 }
3452 else
3453 {
3454 /* 33222222222211111111110000000000
3455 10987654321098765432109876543210
7684e580 3456 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3457 sub. */
193614f2 3458 return 24;
a06ea964
NC
3459 }
3460 }
3461 else
3462 {
3463 if (((word >> 30) & 0x1) == 0)
3464 {
3465 /* 33222222222211111111110000000000
3466 10987654321098765432109876543210
7684e580 3467 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3468 adds. */
193614f2 3469 return 22;
a06ea964
NC
3470 }
3471 else
3472 {
3473 /* 33222222222211111111110000000000
3474 10987654321098765432109876543210
7684e580 3475 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3476 subs. */
193614f2 3477 return 26;
a06ea964
NC
3478 }
3479 }
3480 }
3481 else
3482 {
3483 if (((word >> 15) & 0x1) == 0)
3484 {
3485 if (((word >> 22) & 0x1) == 0)
3486 {
3487 /* 33222222222211111111110000000000
3488 10987654321098765432109876543210
7684e580 3489 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 3490 madd. */
b731bc3b 3491 return 735;
a06ea964
NC
3492 }
3493 else
3494 {
3495 if (((word >> 23) & 0x1) == 0)
3496 {
3497 /* 33222222222211111111110000000000
3498 10987654321098765432109876543210
7684e580 3499 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 3500 smulh. */
b731bc3b 3501 return 743;
a06ea964
NC
3502 }
3503 else
3504 {
3505 /* 33222222222211111111110000000000
3506 10987654321098765432109876543210
7684e580 3507 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 3508 umulh. */
b731bc3b 3509 return 748;
a06ea964
NC
3510 }
3511 }
3512 }
3513 else
3514 {
3515 /* 33222222222211111111110000000000
3516 10987654321098765432109876543210
7684e580 3517 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 3518 msub. */
b731bc3b 3519 return 737;
a06ea964
NC
3520 }
3521 }
3522 }
3523 else
3524 {
3525 if (((word >> 23) & 0x1) == 0)
3526 {
3527 if (((word >> 28) & 0x1) == 0)
3528 {
3529 if (((word >> 29) & 0x1) == 0)
3530 {
3531 if (((word >> 30) & 0x1) == 0)
3532 {
3533 /* 33222222222211111111110000000000
3534 10987654321098765432109876543210
7684e580 3535 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3536 add. */
3537 return 6;
3538 }
3539 else
3540 {
3541 /* 33222222222211111111110000000000
3542 10987654321098765432109876543210
7684e580 3543 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3544 sub. */
3545 return 9;
3546 }
3547 }
3548 else
3549 {
3550 if (((word >> 30) & 0x1) == 0)
3551 {
3552 /* 33222222222211111111110000000000
3553 10987654321098765432109876543210
7684e580 3554 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3555 adds. */
3556 return 7;
3557 }
3558 else
3559 {
3560 /* 33222222222211111111110000000000
3561 10987654321098765432109876543210
7684e580 3562 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3563 subs. */
3564 return 10;
3565 }
3566 }
3567 }
3568 else
3569 {
3570 if (((word >> 15) & 0x1) == 0)
3571 {
3572 /* 33222222222211111111110000000000
3573 10987654321098765432109876543210
7684e580 3574 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3575 smaddl. */
b731bc3b 3576 return 739;
a06ea964
NC
3577 }
3578 else
3579 {
3580 /* 33222222222211111111110000000000
3581 10987654321098765432109876543210
7684e580 3582 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3583 smsubl. */
b731bc3b 3584 return 741;
a06ea964
NC
3585 }
3586 }
3587 }
3588 else
3589 {
3590 if (((word >> 15) & 0x1) == 0)
3591 {
3592 /* 33222222222211111111110000000000
3593 10987654321098765432109876543210
7684e580 3594 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3595 umaddl. */
b731bc3b 3596 return 744;
a06ea964
NC
3597 }
3598 else
3599 {
3600 /* 33222222222211111111110000000000
3601 10987654321098765432109876543210
7684e580 3602 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3603 umsubl. */
b731bc3b 3604 return 746;
a06ea964
NC
3605 }
3606 }
3607 }
3608 }
3609 }
3610 }
3611 }
3612 else
3613 {
3614 if (((word >> 27) & 0x1) == 0)
3615 {
c0890d26 3616 if (((word >> 28) & 0x1) == 0)
a06ea964 3617 {
c0890d26
RS
3618 if (((word >> 24) & 0x1) == 0)
3619 {
582e12bf 3620 if (((word >> 29) & 0x1) == 0)
c0890d26 3621 {
582e12bf 3622 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
3623 {
3624 if (((word >> 14) & 0x1) == 0)
3625 {
3626 if (((word >> 15) & 0x1) == 0)
3627 {
3628 if (((word >> 21) & 0x1) == 0)
3629 {
3630 if (((word >> 30) & 0x1) == 0)
3631 {
3632 if (((word >> 31) & 0x1) == 0)
3633 {
3634 if (((word >> 16) & 0x1) == 0)
3635 {
3636 if (((word >> 17) & 0x1) == 0)
3637 {
3638 if (((word >> 18) & 0x1) == 0)
3639 {
3640 if (((word >> 19) & 0x1) == 0)
3641 {
3642 if (((word >> 20) & 0x1) == 0)
3643 {
3644 /* 33222222222211111111110000000000
3645 10987654321098765432109876543210
7684e580 3646 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 3647 add. */
b731bc3b 3648 return 1257;
c0890d26
RS
3649 }
3650 else
3651 {
3652 /* 33222222222211111111110000000000
3653 10987654321098765432109876543210
7684e580 3654 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 3655 mul. */
b731bc3b 3656 return 1726;
c0890d26
RS
3657 }
3658 }
3659 else
3660 {
3661 if (((word >> 20) & 0x1) == 0)
3662 {
3663 /* 33222222222211111111110000000000
3664 10987654321098765432109876543210
7684e580 3665 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 3666 smax. */
b731bc3b 3667 return 1805;
c0890d26
RS
3668 }
3669 else
3670 {
3671 /* 33222222222211111111110000000000
3672 10987654321098765432109876543210
7684e580 3673 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 3674 orr. */
b731bc3b 3675 return 1737;
c0890d26
RS
3676 }
3677 }
3678 }
3679 else
3680 {
3681 if (((word >> 19) & 0x1) == 0)
3682 {
3683 /* 33222222222211111111110000000000
3684 10987654321098765432109876543210
7684e580 3685 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 3686 sdiv. */
b731bc3b 3687 return 1796;
c0890d26
RS
3688 }
3689 else
3690 {
3691 /* 33222222222211111111110000000000
3692 10987654321098765432109876543210
7684e580 3693 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 3694 sabd. */
b731bc3b 3695 return 1787;
c0890d26
RS
3696 }
3697 }
3698 }
3699 else
3700 {
3701 if (((word >> 18) & 0x1) == 0)
3702 {
3703 if (((word >> 19) & 0x1) == 0)
3704 {
3705 /* 33222222222211111111110000000000
3706 10987654321098765432109876543210
7684e580 3707 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 3708 smulh. */
b731bc3b 3709 return 1810;
c0890d26
RS
3710 }
3711 else
3712 {
3713 if (((word >> 20) & 0x1) == 0)
3714 {
3715 /* 33222222222211111111110000000000
3716 10987654321098765432109876543210
7684e580 3717 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 3718 smin. */
b731bc3b 3719 return 1808;
c0890d26
RS
3720 }
3721 else
3722 {
3723 /* 33222222222211111111110000000000
3724 10987654321098765432109876543210
7684e580 3725 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 3726 and. */
b731bc3b 3727 return 1265;
c0890d26
RS
3728 }
3729 }
3730 }
3731 else
3732 {
3733 /* 33222222222211111111110000000000
3734 10987654321098765432109876543210
7684e580 3735 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 3736 sdivr. */
b731bc3b 3737 return 1797;
c0890d26
RS
3738 }
3739 }
3740 }
3741 else
3742 {
3743 if (((word >> 17) & 0x1) == 0)
3744 {
3745 if (((word >> 18) & 0x1) == 0)
3746 {
3747 if (((word >> 19) & 0x1) == 0)
3748 {
3749 /* 33222222222211111111110000000000
3750 10987654321098765432109876543210
7684e580 3751 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 3752 sub. */
b731bc3b 3753 return 1926;
c0890d26
RS
3754 }
3755 else
3756 {
3757 if (((word >> 20) & 0x1) == 0)
3758 {
3759 /* 33222222222211111111110000000000
3760 10987654321098765432109876543210
7684e580 3761 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 3762 umax. */
b731bc3b 3763 return 1954;
c0890d26
RS
3764 }
3765 else
3766 {
3767 /* 33222222222211111111110000000000
3768 10987654321098765432109876543210
7684e580 3769 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 3770 eor. */
b731bc3b 3771 return 1352;
c0890d26
RS
3772 }
3773 }
3774 }
3775 else
3776 {
3777 if (((word >> 19) & 0x1) == 0)
3778 {
3779 /* 33222222222211111111110000000000
3780 10987654321098765432109876543210
7684e580 3781 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 3782 udiv. */
b731bc3b 3783 return 1948;
c0890d26
RS
3784 }
3785 else
3786 {
3787 /* 33222222222211111111110000000000
3788 10987654321098765432109876543210
7684e580 3789 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 3790 uabd. */
b731bc3b 3791 return 1939;
c0890d26
RS
3792 }
3793 }
3794 }
3795 else
3796 {
3797 if (((word >> 18) & 0x1) == 0)
3798 {
3799 if (((word >> 19) & 0x1) == 0)
3800 {
3801 if (((word >> 20) & 0x1) == 0)
3802 {
3803 /* 33222222222211111111110000000000
3804 10987654321098765432109876543210
7684e580 3805 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 3806 subr. */
b731bc3b 3807 return 1928;
c0890d26
RS
3808 }
3809 else
3810 {
3811 /* 33222222222211111111110000000000
3812 10987654321098765432109876543210
7684e580 3813 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 3814 umulh. */
b731bc3b 3815 return 1959;
c0890d26
RS
3816 }
3817 }
3818 else
3819 {
3820 if (((word >> 20) & 0x1) == 0)
3821 {
3822 /* 33222222222211111111110000000000
3823 10987654321098765432109876543210
7684e580 3824 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 3825 umin. */
b731bc3b 3826 return 1957;
c0890d26
RS
3827 }
3828 else
3829 {
3830 /* 33222222222211111111110000000000
3831 10987654321098765432109876543210
7684e580 3832 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 3833 bic. */
b731bc3b 3834 return 1277;
c0890d26
RS
3835 }
3836 }
3837 }
3838 else
3839 {
3840 /* 33222222222211111111110000000000
3841 10987654321098765432109876543210
7684e580 3842 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 3843 udivr. */
b731bc3b 3844 return 1949;
c0890d26
RS
3845 }
3846 }
3847 }
3848 }
3849 else
3850 {
3851 if (((word >> 23) & 0x1) == 0)
3852 {
3853 /* 33222222222211111111110000000000
3854 10987654321098765432109876543210
7684e580 3855 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3856 ld1sb. */
b731bc3b 3857 return 1539;
c0890d26
RS
3858 }
3859 else
3860 {
3861 /* 33222222222211111111110000000000
3862 10987654321098765432109876543210
7684e580 3863 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 3864 ld1sh. */
b731bc3b 3865 return 1550;
c0890d26
RS
3866 }
3867 }
3868 }
3869 else
3870 {
3871 if (((word >> 23) & 0x1) == 0)
3872 {
3873 /* 33222222222211111111110000000000
3874 10987654321098765432109876543210
7684e580 3875 x10001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3876 ld1sb. */
b731bc3b 3877 return 1543;
c0890d26
RS
3878 }
3879 else
3880 {
582e12bf
RS
3881 if (((word >> 31) & 0x1) == 0)
3882 {
3883 if (((word >> 10) & 0x1) == 0)
3884 {
3885 /* 33222222222211111111110000000000
3886 10987654321098765432109876543210
7684e580 3887 010001x01x0xxxxx000xx0xxxxxxxxxx
582e12bf 3888 sdot. */
b731bc3b 3889 return 1798;
582e12bf
RS
3890 }
3891 else
3892 {
3893 /* 33222222222211111111110000000000
3894 10987654321098765432109876543210
7684e580 3895 010001x01x0xxxxx000xx1xxxxxxxxxx
582e12bf 3896 udot. */
b731bc3b 3897 return 1950;
582e12bf
RS
3898 }
3899 }
3900 else
3901 {
3902 /* 33222222222211111111110000000000
3903 10987654321098765432109876543210
7684e580 3904 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 3905 ld1sh. */
b731bc3b 3906 return 1554;
582e12bf 3907 }
c0890d26
RS
3908 }
3909 }
3910 }
3911 else
3912 {
3913 if (((word >> 30) & 0x1) == 0)
3914 {
3915 if (((word >> 31) & 0x1) == 0)
3916 {
3917 if (((word >> 10) & 0x1) == 0)
3918 {
3919 if (((word >> 11) & 0x1) == 0)
3920 {
3921 if (((word >> 12) & 0x1) == 0)
3922 {
3923 /* 33222222222211111111110000000000
3924 10987654321098765432109876543210
7684e580 3925 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 3926 add. */
b731bc3b 3927 return 1255;
c0890d26
RS
3928 }
3929 else
3930 {
3931 /* 33222222222211111111110000000000
3932 10987654321098765432109876543210
7684e580 3933 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 3934 sqadd. */
b731bc3b 3935 return 1812;
c0890d26
RS
3936 }
3937 }
3938 else
3939 {
3940 /* 33222222222211111111110000000000
3941 10987654321098765432109876543210
7684e580 3942 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 3943 sqsub. */
b731bc3b 3944 return 1842;
c0890d26
RS
3945 }
3946 }
3947 else
3948 {
3949 if (((word >> 11) & 0x1) == 0)
3950 {
3951 if (((word >> 12) & 0x1) == 0)
3952 {
3953 /* 33222222222211111111110000000000
3954 10987654321098765432109876543210
7684e580 3955 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 3956 sub. */
b731bc3b 3957 return 1924;
c0890d26
RS
3958 }
3959 else
3960 {
3961 /* 33222222222211111111110000000000
3962 10987654321098765432109876543210
7684e580 3963 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 3964 uqadd. */
b731bc3b 3965 return 1960;
c0890d26
RS
3966 }
3967 }
3968 else
3969 {
3970 /* 33222222222211111111110000000000
3971 10987654321098765432109876543210
7684e580 3972 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 3973 uqsub. */
b731bc3b 3974 return 1990;
c0890d26
RS
3975 }
3976 }
3977 }
3978 else
3979 {
3980 if (((word >> 23) & 0x1) == 0)
3981 {
3982 /* 33222222222211111111110000000000
3983 10987654321098765432109876543210
7684e580 3984 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 3985 prfb. */
b731bc3b 3986 return 1745;
c0890d26
RS
3987 }
3988 else
3989 {
3990 /* 33222222222211111111110000000000
3991 10987654321098765432109876543210
7684e580 3992 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 3993 ld1sh. */
b731bc3b 3994 return 1551;
c0890d26
RS
3995 }
3996 }
3997 }
3998 else
3999 {
4000 if (((word >> 23) & 0x1) == 0)
4001 {
4002 /* 33222222222211111111110000000000
4003 10987654321098765432109876543210
7684e580 4004 x10001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4005 prfb. */
b731bc3b 4006 return 1746;
c0890d26
RS
4007 }
4008 else
4009 {
582e12bf
RS
4010 if (((word >> 31) & 0x1) == 0)
4011 {
4012 if (((word >> 10) & 0x1) == 0)
4013 {
4014 if (((word >> 22) & 0x1) == 0)
4015 {
4016 /* 33222222222211111111110000000000
4017 10987654321098765432109876543210
7684e580 4018 010001x0101xxxxx000xx0xxxxxxxxxx
582e12bf 4019 sdot. */
b731bc3b 4020 return 1799;
582e12bf
RS
4021 }
4022 else
4023 {
4024 /* 33222222222211111111110000000000
4025 10987654321098765432109876543210
7684e580 4026 010001x0111xxxxx000xx0xxxxxxxxxx
582e12bf 4027 sdot. */
b731bc3b 4028 return 1800;
582e12bf
RS
4029 }
4030 }
4031 else
4032 {
4033 if (((word >> 22) & 0x1) == 0)
4034 {
4035 /* 33222222222211111111110000000000
4036 10987654321098765432109876543210
7684e580 4037 010001x0101xxxxx000xx1xxxxxxxxxx
582e12bf 4038 udot. */
b731bc3b 4039 return 1951;
582e12bf
RS
4040 }
4041 else
4042 {
4043 /* 33222222222211111111110000000000
4044 10987654321098765432109876543210
7684e580 4045 010001x0111xxxxx000xx1xxxxxxxxxx
582e12bf 4046 udot. */
b731bc3b 4047 return 1952;
582e12bf
RS
4048 }
4049 }
4050 }
4051 else
4052 {
4053 /* 33222222222211111111110000000000
4054 10987654321098765432109876543210
7684e580 4055 110001x01x1xxxxx000xxxxxxxxxxxxx
582e12bf 4056 ld1sh. */
b731bc3b 4057 return 1555;
582e12bf 4058 }
c0890d26
RS
4059 }
4060 }
4061 }
4062 }
4063 else
4064 {
4065 if (((word >> 30) & 0x1) == 0)
4066 {
4067 if (((word >> 31) & 0x1) == 0)
4068 {
4069 if (((word >> 21) & 0x1) == 0)
4070 {
4071 if (((word >> 16) & 0x1) == 0)
4072 {
4073 if (((word >> 18) & 0x1) == 0)
4074 {
4075 if (((word >> 19) & 0x1) == 0)
4076 {
4077 if (((word >> 20) & 0x1) == 0)
4078 {
4079 /* 33222222222211111111110000000000
4080 10987654321098765432109876543210
7684e580 4081 000001x0xx0000x0100xxxxxxxxxxxxx
c0890d26 4082 asr. */
b731bc3b 4083 return 1273;
c0890d26
RS
4084 }
4085 else
4086 {
4087 /* 33222222222211111111110000000000
4088 10987654321098765432109876543210
7684e580 4089 000001x0xx0100x0100xxxxxxxxxxxxx
c0890d26 4090 asr. */
b731bc3b 4091 return 1271;
c0890d26
RS
4092 }
4093 }
4094 else
4095 {
4096 /* 33222222222211111111110000000000
4097 10987654321098765432109876543210
7684e580 4098 000001x0xx0x10x0100xxxxxxxxxxxxx
c0890d26 4099 asr. */
b731bc3b 4100 return 1272;
c0890d26
RS
4101 }
4102 }
4103 else
4104 {
4105 if (((word >> 20) & 0x1) == 0)
4106 {
4107 /* 33222222222211111111110000000000
4108 10987654321098765432109876543210
7684e580 4109 000001x0xx00x1x0100xxxxxxxxxxxxx
c0890d26 4110 asrd. */
b731bc3b 4111 return 1274;
c0890d26
RS
4112 }
4113 else
4114 {
4115 /* 33222222222211111111110000000000
4116 10987654321098765432109876543210
7684e580 4117 000001x0xx01x1x0100xxxxxxxxxxxxx
c0890d26 4118 asrr. */
b731bc3b 4119 return 1275;
c0890d26
RS
4120 }
4121 }
4122 }
4123 else
4124 {
4125 if (((word >> 17) & 0x1) == 0)
4126 {
4127 if (((word >> 18) & 0x1) == 0)
4128 {
4129 if (((word >> 19) & 0x1) == 0)
4130 {
4131 if (((word >> 20) & 0x1) == 0)
4132 {
4133 /* 33222222222211111111110000000000
4134 10987654321098765432109876543210
7684e580 4135 000001x0xx000001100xxxxxxxxxxxxx
c0890d26 4136 lsr. */
b731bc3b 4137 return 1717;
c0890d26
RS
4138 }
4139 else
4140 {
4141 /* 33222222222211111111110000000000
4142 10987654321098765432109876543210
7684e580 4143 000001x0xx010001100xxxxxxxxxxxxx
c0890d26 4144 lsr. */
b731bc3b 4145 return 1715;
c0890d26
RS
4146 }
4147 }
4148 else
4149 {
4150 /* 33222222222211111111110000000000
4151 10987654321098765432109876543210
7684e580 4152 000001x0xx0x1001100xxxxxxxxxxxxx
c0890d26 4153 lsr. */
b731bc3b 4154 return 1716;
c0890d26
RS
4155 }
4156 }
4157 else
4158 {
4159 /* 33222222222211111111110000000000
4160 10987654321098765432109876543210
7684e580 4161 000001x0xx0xx101100xxxxxxxxxxxxx
c0890d26 4162 lsrr. */
b731bc3b 4163 return 1718;
c0890d26
RS
4164 }
4165 }
4166 else
4167 {
4168 if (((word >> 18) & 0x1) == 0)
4169 {
4170 if (((word >> 19) & 0x1) == 0)
4171 {
4172 if (((word >> 20) & 0x1) == 0)
4173 {
4174 /* 33222222222211111111110000000000
4175 10987654321098765432109876543210
7684e580 4176 000001x0xx000011100xxxxxxxxxxxxx
c0890d26 4177 lsl. */
b731bc3b 4178 return 1711;
c0890d26
RS
4179 }
4180 else
4181 {
4182 /* 33222222222211111111110000000000
4183 10987654321098765432109876543210
7684e580 4184 000001x0xx010011100xxxxxxxxxxxxx
c0890d26 4185 lsl. */
b731bc3b 4186 return 1709;
c0890d26
RS
4187 }
4188 }
4189 else
4190 {
4191 /* 33222222222211111111110000000000
4192 10987654321098765432109876543210
7684e580 4193 000001x0xx0x1011100xxxxxxxxxxxxx
c0890d26 4194 lsl. */
b731bc3b 4195 return 1710;
c0890d26
RS
4196 }
4197 }
4198 else
4199 {
4200 /* 33222222222211111111110000000000
4201 10987654321098765432109876543210
7684e580 4202 000001x0xx0xx111100xxxxxxxxxxxxx
c0890d26 4203 lslr. */
b731bc3b 4204 return 1712;
c0890d26
RS
4205 }
4206 }
4207 }
4208 }
4209 else
4210 {
4211 if (((word >> 10) & 0x1) == 0)
4212 {
4213 if (((word >> 12) & 0x1) == 0)
4214 {
4215 /* 33222222222211111111110000000000
4216 10987654321098765432109876543210
7684e580 4217 000001x0xx1xxxxx1000x0xxxxxxxxxx
c0890d26 4218 asr. */
b731bc3b 4219 return 1269;
c0890d26
RS
4220 }
4221 else
4222 {
4223 /* 33222222222211111111110000000000
4224 10987654321098765432109876543210
7684e580 4225 000001x0xx1xxxxx1001x0xxxxxxxxxx
c0890d26 4226 asr. */
b731bc3b 4227 return 1270;
c0890d26
RS
4228 }
4229 }
4230 else
4231 {
4232 if (((word >> 11) & 0x1) == 0)
4233 {
4234 if (((word >> 12) & 0x1) == 0)
4235 {
4236 /* 33222222222211111111110000000000
4237 10987654321098765432109876543210
7684e580 4238 000001x0xx1xxxxx100001xxxxxxxxxx
c0890d26 4239 lsr. */
b731bc3b 4240 return 1713;
c0890d26
RS
4241 }
4242 else
4243 {
4244 /* 33222222222211111111110000000000
4245 10987654321098765432109876543210
7684e580 4246 000001x0xx1xxxxx100101xxxxxxxxxx
c0890d26 4247 lsr. */
b731bc3b 4248 return 1714;
c0890d26
RS
4249 }
4250 }
4251 else
4252 {
4253 if (((word >> 12) & 0x1) == 0)
4254 {
4255 /* 33222222222211111111110000000000
4256 10987654321098765432109876543210
7684e580 4257 000001x0xx1xxxxx100011xxxxxxxxxx
c0890d26 4258 lsl. */
b731bc3b 4259 return 1707;
c0890d26
RS
4260 }
4261 else
4262 {
4263 /* 33222222222211111111110000000000
4264 10987654321098765432109876543210
7684e580 4265 000001x0xx1xxxxx100111xxxxxxxxxx
c0890d26 4266 lsl. */
b731bc3b 4267 return 1708;
c0890d26
RS
4268 }
4269 }
4270 }
4271 }
4272 }
4273 else
4274 {
4275 if (((word >> 22) & 0x1) == 0)
4276 {
4277 if (((word >> 23) & 0x1) == 0)
4278 {
4279 /* 33222222222211111111110000000000
4280 10987654321098765432109876543210
7684e580 4281 100001x000xxxxxx100xxxxxxxxxxxxx
c0890d26 4282 ld1sb. */
b731bc3b 4283 return 1545;
c0890d26
RS
4284 }
4285 else
4286 {
4287 /* 33222222222211111111110000000000
4288 10987654321098765432109876543210
7684e580 4289 100001x010xxxxxx100xxxxxxxxxxxxx
c0890d26 4290 ld1sh. */
b731bc3b 4291 return 1558;
c0890d26
RS
4292 }
4293 }
4294 else
4295 {
4296 if (((word >> 23) & 0x1) == 0)
4297 {
4298 /* 33222222222211111111110000000000
4299 10987654321098765432109876543210
7684e580 4300 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 4301 ld1rb. */
b731bc3b 4302 return 1515;
c0890d26
RS
4303 }
4304 else
4305 {
4306 /* 33222222222211111111110000000000
4307 10987654321098765432109876543210
7684e580 4308 100001x011xxxxxx100xxxxxxxxxxxxx
c0890d26 4309 ld1rsw. */
b731bc3b 4310 return 1536;
c0890d26
RS
4311 }
4312 }
4313 }
4314 }
4315 else
4316 {
4317 if (((word >> 21) & 0x1) == 0)
4318 {
4319 if (((word >> 23) & 0x1) == 0)
4320 {
4321 /* 33222222222211111111110000000000
4322 10987654321098765432109876543210
7684e580 4323 x10001x00x0xxxxx100xxxxxxxxxxxxx
c0890d26 4324 ld1sb. */
b731bc3b 4325 return 1544;
c0890d26
RS
4326 }
4327 else
4328 {
4329 /* 33222222222211111111110000000000
4330 10987654321098765432109876543210
7684e580 4331 x10001x01x0xxxxx100xxxxxxxxxxxxx
c0890d26 4332 ld1sh. */
b731bc3b 4333 return 1556;
c0890d26
RS
4334 }
4335 }
4336 else
4337 {
4338 if (((word >> 22) & 0x1) == 0)
4339 {
4340 if (((word >> 23) & 0x1) == 0)
4341 {
4342 /* 33222222222211111111110000000000
4343 10987654321098765432109876543210
7684e580 4344 x10001x0001xxxxx100xxxxxxxxxxxxx
c0890d26 4345 ld1sb. */
b731bc3b 4346 return 1549;
c0890d26
RS
4347 }
4348 else
4349 {
4350 /* 33222222222211111111110000000000
4351 10987654321098765432109876543210
7684e580 4352 x10001x0101xxxxx100xxxxxxxxxxxxx
c0890d26 4353 ld1sh. */
b731bc3b 4354 return 1561;
c0890d26
RS
4355 }
4356 }
4357 else
4358 {
4359 if (((word >> 23) & 0x1) == 0)
4360 {
4361 /* 33222222222211111111110000000000
4362 10987654321098765432109876543210
7684e580 4363 x10001x0011xxxxx100xxxxxxxxxxxxx
c0890d26 4364 prfb. */
b731bc3b 4365 return 1747;
c0890d26
RS
4366 }
4367 else
4368 {
4369 /* 33222222222211111111110000000000
4370 10987654321098765432109876543210
7684e580 4371 x10001x0111xxxxx100xxxxxxxxxxxxx
c0890d26 4372 ld1sh. */
b731bc3b 4373 return 1557;
c0890d26
RS
4374 }
4375 }
4376 }
4377 }
4378 }
4379 }
4380 else
4381 {
4382 if (((word >> 15) & 0x1) == 0)
4383 {
4384 if (((word >> 21) & 0x1) == 0)
4385 {
4386 if (((word >> 30) & 0x1) == 0)
4387 {
4388 if (((word >> 31) & 0x1) == 0)
4389 {
4390 /* 33222222222211111111110000000000
4391 10987654321098765432109876543210
7684e580 4392 000001x0xx0xxxxx010xxxxxxxxxxxxx
c0890d26 4393 mla. */
b731bc3b 4394 return 1720;
c0890d26
RS
4395 }
4396 else
4397 {
4398 if (((word >> 23) & 0x1) == 0)
4399 {
4400 /* 33222222222211111111110000000000
4401 10987654321098765432109876543210
7684e580 4402 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4403 ld1b. */
b731bc3b 4404 return 1481;
c0890d26
RS
4405 }
4406 else
4407 {
4408 /* 33222222222211111111110000000000
4409 10987654321098765432109876543210
7684e580 4410 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4411 ld1h. */
b731bc3b 4412 return 1501;
c0890d26
RS
4413 }
4414 }
4415 }
4416 else
4417 {
4418 if (((word >> 23) & 0x1) == 0)
4419 {
4420 /* 33222222222211111111110000000000
4421 10987654321098765432109876543210
7684e580 4422 x10001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4423 ld1b. */
b731bc3b 4424 return 1486;
c0890d26
RS
4425 }
4426 else
4427 {
4428 /* 33222222222211111111110000000000
4429 10987654321098765432109876543210
7684e580 4430 x10001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4431 ld1h. */
b731bc3b 4432 return 1506;
c0890d26
RS
4433 }
4434 }
4435 }
4436 else
4437 {
4438 if (((word >> 30) & 0x1) == 0)
4439 {
4440 if (((word >> 31) & 0x1) == 0)
4441 {
4442 if (((word >> 11) & 0x1) == 0)
4443 {
4444 if (((word >> 12) & 0x1) == 0)
4445 {
4446 if (((word >> 10) & 0x1) == 0)
4447 {
4448 /* 33222222222211111111110000000000
4449 10987654321098765432109876543210
7684e580 4450 000001x0xx1xxxxx010000xxxxxxxxxx
c0890d26 4451 index. */
b731bc3b 4452 return 1472;
c0890d26
RS
4453 }
4454 else
4455 {
4456 /* 33222222222211111111110000000000
4457 10987654321098765432109876543210
7684e580 4458 000001x0xx1xxxxx010001xxxxxxxxxx
c0890d26 4459 index. */
b731bc3b 4460 return 1473;
c0890d26
RS
4461 }
4462 }
4463 else
4464 {
4465 if (((word >> 22) & 0x1) == 0)
4466 {
4467 if (((word >> 23) & 0x1) == 0)
4468 {
4469 /* 33222222222211111111110000000000
4470 10987654321098765432109876543210
7684e580 4471 000001x0001xxxxx01010xxxxxxxxxxx
c0890d26 4472 addvl. */
b731bc3b 4473 return 1259;
c0890d26
RS
4474 }
4475 else
4476 {
4477 /* 33222222222211111111110000000000
4478 10987654321098765432109876543210
7684e580 4479 000001x0101xxxxx01010xxxxxxxxxxx
c0890d26 4480 rdvl. */
b731bc3b 4481 return 1781;
c0890d26
RS
4482 }
4483 }
4484 else
4485 {
4486 /* 33222222222211111111110000000000
4487 10987654321098765432109876543210
7684e580 4488 000001x0x11xxxxx01010xxxxxxxxxxx
c0890d26 4489 addpl. */
b731bc3b 4490 return 1258;
c0890d26
RS
4491 }
4492 }
4493 }
4494 else
4495 {
4496 if (((word >> 10) & 0x1) == 0)
4497 {
4498 /* 33222222222211111111110000000000
4499 10987654321098765432109876543210
7684e580 4500 000001x0xx1xxxxx010x10xxxxxxxxxx
c0890d26 4501 index. */
b731bc3b 4502 return 1474;
c0890d26
RS
4503 }
4504 else
4505 {
4506 /* 33222222222211111111110000000000
4507 10987654321098765432109876543210
7684e580 4508 000001x0xx1xxxxx010x11xxxxxxxxxx
c0890d26 4509 index. */
b731bc3b 4510 return 1471;
c0890d26
RS
4511 }
4512 }
4513 }
4514 else
4515 {
4516 if (((word >> 23) & 0x1) == 0)
4517 {
4518 /* 33222222222211111111110000000000
4519 10987654321098765432109876543210
7684e580 4520 100001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4521 prfw. */
b731bc3b 4522 return 1765;
c0890d26
RS
4523 }
4524 else
4525 {
4526 /* 33222222222211111111110000000000
4527 10987654321098765432109876543210
7684e580 4528 100001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4529 ld1h. */
b731bc3b 4530 return 1502;
c0890d26
RS
4531 }
4532 }
4533 }
4534 else
4535 {
4536 if (((word >> 23) & 0x1) == 0)
4537 {
4538 /* 33222222222211111111110000000000
4539 10987654321098765432109876543210
7684e580 4540 x10001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4541 prfw. */
b731bc3b 4542 return 1767;
c0890d26
RS
4543 }
4544 else
4545 {
4546 /* 33222222222211111111110000000000
4547 10987654321098765432109876543210
7684e580 4548 x10001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4549 ld1h. */
b731bc3b 4550 return 1507;
c0890d26
RS
4551 }
4552 }
4553 }
4554 }
4555 else
4556 {
4557 if (((word >> 30) & 0x1) == 0)
4558 {
4559 if (((word >> 31) & 0x1) == 0)
4560 {
4561 if (((word >> 21) & 0x1) == 0)
4562 {
4563 /* 33222222222211111111110000000000
4564 10987654321098765432109876543210
7684e580 4565 000001x0xx0xxxxx110xxxxxxxxxxxxx
c0890d26 4566 mad. */
b731bc3b 4567 return 1719;
c0890d26
RS
4568 }
4569 else
4570 {
4571 if (((word >> 10) & 0x1) == 0)
4572 {
4573 if (((word >> 11) & 0x1) == 0)
4574 {
4575 if (((word >> 20) & 0x1) == 0)
4576 {
4577 if (((word >> 22) & 0x1) == 0)
4578 {
4579 /* 33222222222211111111110000000000
4580 10987654321098765432109876543210
7684e580 4581 000001x0x010xxxx110x00xxxxxxxxxx
c0890d26 4582 sqincw. */
b731bc3b 4583 return 1839;
c0890d26
RS
4584 }
4585 else
4586 {
4587 if (((word >> 23) & 0x1) == 0)
4588 {
4589 /* 33222222222211111111110000000000
4590 10987654321098765432109876543210
7684e580 4591 000001x00110xxxx110x00xxxxxxxxxx
c0890d26 4592 sqinch. */
b731bc3b 4593 return 1833;
c0890d26
RS
4594 }
4595 else
4596 {
4597 /* 33222222222211111111110000000000
4598 10987654321098765432109876543210
7684e580 4599 000001x01110xxxx110x00xxxxxxxxxx
c0890d26 4600 sqincd. */
b731bc3b 4601 return 1830;
c0890d26
RS
4602 }
4603 }
4604 }
4605 else
4606 {
4607 if (((word >> 22) & 0x1) == 0)
4608 {
4609 /* 33222222222211111111110000000000
4610 10987654321098765432109876543210
7684e580 4611 000001x0x011xxxx110x00xxxxxxxxxx
c0890d26 4612 incw. */
b731bc3b 4613 return 1469;
c0890d26
RS
4614 }
4615 else
4616 {
4617 if (((word >> 23) & 0x1) == 0)
4618 {
4619 /* 33222222222211111111110000000000
4620 10987654321098765432109876543210
7684e580 4621 000001x00111xxxx110x00xxxxxxxxxx
c0890d26 4622 inch. */
b731bc3b 4623 return 1465;
c0890d26
RS
4624 }
4625 else
4626 {
4627 /* 33222222222211111111110000000000
4628 10987654321098765432109876543210
7684e580 4629 000001x01111xxxx110x00xxxxxxxxxx
c0890d26 4630 incd. */
b731bc3b 4631 return 1463;
c0890d26
RS
4632 }
4633 }
4634 }
4635 }
4636 else
4637 {
4638 if (((word >> 22) & 0x1) == 0)
4639 {
4640 /* 33222222222211111111110000000000
4641 10987654321098765432109876543210
7684e580 4642 000001x0x01xxxxx110x10xxxxxxxxxx
c0890d26 4643 sqdecw. */
b731bc3b 4644 return 1825;
c0890d26
RS
4645 }
4646 else
4647 {
4648 if (((word >> 23) & 0x1) == 0)
4649 {
4650 /* 33222222222211111111110000000000
4651 10987654321098765432109876543210
7684e580 4652 000001x0011xxxxx110x10xxxxxxxxxx
c0890d26 4653 sqdech. */
b731bc3b 4654 return 1819;
c0890d26
RS
4655 }
4656 else
4657 {
4658 /* 33222222222211111111110000000000
4659 10987654321098765432109876543210
7684e580 4660 000001x0111xxxxx110x10xxxxxxxxxx
c0890d26 4661 sqdecd. */
b731bc3b 4662 return 1816;
c0890d26
RS
4663 }
4664 }
4665 }
4666 }
4667 else
4668 {
4669 if (((word >> 11) & 0x1) == 0)
4670 {
4671 if (((word >> 20) & 0x1) == 0)
4672 {
4673 if (((word >> 22) & 0x1) == 0)
4674 {
4675 /* 33222222222211111111110000000000
4676 10987654321098765432109876543210
7684e580 4677 000001x0x010xxxx110x01xxxxxxxxxx
c0890d26 4678 uqincw. */
b731bc3b 4679 return 1987;
c0890d26
RS
4680 }
4681 else
4682 {
4683 if (((word >> 23) & 0x1) == 0)
4684 {
4685 /* 33222222222211111111110000000000
4686 10987654321098765432109876543210
7684e580 4687 000001x00110xxxx110x01xxxxxxxxxx
c0890d26 4688 uqinch. */
b731bc3b 4689 return 1981;
c0890d26
RS
4690 }
4691 else
4692 {
4693 /* 33222222222211111111110000000000
4694 10987654321098765432109876543210
7684e580 4695 000001x01110xxxx110x01xxxxxxxxxx
c0890d26 4696 uqincd. */
b731bc3b 4697 return 1978;
c0890d26
RS
4698 }
4699 }
4700 }
4701 else
4702 {
4703 if (((word >> 22) & 0x1) == 0)
4704 {
4705 /* 33222222222211111111110000000000
4706 10987654321098765432109876543210
7684e580 4707 000001x0x011xxxx110x01xxxxxxxxxx
c0890d26 4708 decw. */
b731bc3b 4709 return 1344;
c0890d26
RS
4710 }
4711 else
4712 {
4713 if (((word >> 23) & 0x1) == 0)
4714 {
4715 /* 33222222222211111111110000000000
4716 10987654321098765432109876543210
7684e580 4717 000001x00111xxxx110x01xxxxxxxxxx
c0890d26 4718 dech. */
b731bc3b 4719 return 1340;
c0890d26
RS
4720 }
4721 else
4722 {
4723 /* 33222222222211111111110000000000
4724 10987654321098765432109876543210
7684e580 4725 000001x01111xxxx110x01xxxxxxxxxx
c0890d26 4726 decd. */
b731bc3b 4727 return 1338;
c0890d26
RS
4728 }
4729 }
4730 }
4731 }
4732 else
4733 {
4734 if (((word >> 22) & 0x1) == 0)
4735 {
4736 /* 33222222222211111111110000000000
4737 10987654321098765432109876543210
7684e580 4738 000001x0x01xxxxx110x11xxxxxxxxxx
c0890d26 4739 uqdecw. */
b731bc3b 4740 return 1973;
c0890d26
RS
4741 }
4742 else
4743 {
4744 if (((word >> 23) & 0x1) == 0)
4745 {
4746 /* 33222222222211111111110000000000
4747 10987654321098765432109876543210
7684e580 4748 000001x0011xxxxx110x11xxxxxxxxxx
c0890d26 4749 uqdech. */
b731bc3b 4750 return 1967;
c0890d26
RS
4751 }
4752 else
4753 {
4754 /* 33222222222211111111110000000000
4755 10987654321098765432109876543210
7684e580 4756 000001x0111xxxxx110x11xxxxxxxxxx
c0890d26 4757 uqdecd. */
b731bc3b 4758 return 1964;
c0890d26
RS
4759 }
4760 }
4761 }
4762 }
4763 }
4764 }
4765 else
4766 {
4767 if (((word >> 22) & 0x1) == 0)
4768 {
4769 if (((word >> 21) & 0x1) == 0)
4770 {
4771 if (((word >> 23) & 0x1) == 0)
4772 {
4773 /* 33222222222211111111110000000000
4774 10987654321098765432109876543210
7684e580 4775 100001x0000xxxxx110xxxxxxxxxxxxx
c0890d26 4776 prfb. */
b731bc3b 4777 return 1744;
c0890d26
RS
4778 }
4779 else
4780 {
4781 /* 33222222222211111111110000000000
4782 10987654321098765432109876543210
7684e580 4783 100001x0100xxxxx110xxxxxxxxxxxxx
c0890d26 4784 prfh. */
b731bc3b 4785 return 1759;
c0890d26
RS
4786 }
4787 }
4788 else
4789 {
4790 if (((word >> 23) & 0x1) == 0)
4791 {
4792 /* 33222222222211111111110000000000
4793 10987654321098765432109876543210
7684e580 4794 100001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4795 ld1b. */
b731bc3b 4796 return 1488;
c0890d26
RS
4797 }
4798 else
4799 {
4800 /* 33222222222211111111110000000000
4801 10987654321098765432109876543210
7684e580 4802 100001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4803 ld1h. */
b731bc3b 4804 return 1510;
c0890d26
RS
4805 }
4806 }
4807 }
4808 else
4809 {
4810 if (((word >> 23) & 0x1) == 0)
4811 {
4812 /* 33222222222211111111110000000000
4813 10987654321098765432109876543210
7684e580 4814 100001x001xxxxxx110xxxxxxxxxxxxx
c0890d26 4815 ld1rb. */
b731bc3b 4816 return 1517;
c0890d26
RS
4817 }
4818 else
4819 {
4820 /* 33222222222211111111110000000000
4821 10987654321098765432109876543210
7684e580 4822 100001x011xxxxxx110xxxxxxxxxxxxx
c0890d26 4823 ld1rh. */
b731bc3b 4824 return 1521;
c0890d26
RS
4825 }
4826 }
4827 }
4828 }
4829 else
4830 {
4831 if (((word >> 21) & 0x1) == 0)
4832 {
4833 if (((word >> 23) & 0x1) == 0)
4834 {
4835 /* 33222222222211111111110000000000
4836 10987654321098765432109876543210
7684e580 4837 x10001x00x0xxxxx110xxxxxxxxxxxxx
c0890d26 4838 ld1b. */
b731bc3b 4839 return 1487;
c0890d26
RS
4840 }
4841 else
4842 {
4843 /* 33222222222211111111110000000000
4844 10987654321098765432109876543210
7684e580 4845 x10001x01x0xxxxx110xxxxxxxxxxxxx
c0890d26 4846 ld1h. */
b731bc3b 4847 return 1508;
c0890d26
RS
4848 }
4849 }
4850 else
4851 {
4852 if (((word >> 22) & 0x1) == 0)
4853 {
4854 if (((word >> 23) & 0x1) == 0)
4855 {
4856 /* 33222222222211111111110000000000
4857 10987654321098765432109876543210
7684e580 4858 x10001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4859 ld1b. */
b731bc3b 4860 return 1493;
c0890d26
RS
4861 }
4862 else
4863 {
4864 /* 33222222222211111111110000000000
4865 10987654321098765432109876543210
7684e580 4866 x10001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4867 ld1h. */
b731bc3b 4868 return 1514;
c0890d26
RS
4869 }
4870 }
4871 else
4872 {
4873 if (((word >> 23) & 0x1) == 0)
4874 {
4875 /* 33222222222211111111110000000000
4876 10987654321098765432109876543210
7684e580 4877 x10001x0011xxxxx110xxxxxxxxxxxxx
c0890d26 4878 prfw. */
b731bc3b 4879 return 1768;
c0890d26
RS
4880 }
4881 else
4882 {
4883 /* 33222222222211111111110000000000
4884 10987654321098765432109876543210
7684e580 4885 x10001x0111xxxxx110xxxxxxxxxxxxx
c0890d26 4886 ld1h. */
b731bc3b 4887 return 1509;
c0890d26
RS
4888 }
4889 }
4890 }
4891 }
4892 }
4893 }
4894 }
4895 else
4896 {
582e12bf 4897 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
4898 {
4899 if (((word >> 15) & 0x1) == 0)
4900 {
582e12bf 4901 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
4902 {
4903 if (((word >> 30) & 0x1) == 0)
4904 {
4905 if (((word >> 31) & 0x1) == 0)
4906 {
582e12bf 4907 if (((word >> 17) & 0x1) == 0)
c0890d26 4908 {
582e12bf 4909 if (((word >> 19) & 0x1) == 0)
c0890d26 4910 {
582e12bf
RS
4911 if (((word >> 20) & 0x1) == 0)
4912 {
4913 if (((word >> 16) & 0x1) == 0)
4914 {
4915 /* 33222222222211111111110000000000
4916 10987654321098765432109876543210
7684e580 4917 000001x0xx000x00001xxxxxxxxxxxxx
582e12bf 4918 saddv. */
b731bc3b 4919 return 1788;
582e12bf
RS
4920 }
4921 else
4922 {
4923 /* 33222222222211111111110000000000
4924 10987654321098765432109876543210
7684e580 4925 000001x0xx000x01001xxxxxxxxxxxxx
582e12bf 4926 uaddv. */
b731bc3b 4927 return 1940;
582e12bf
RS
4928 }
4929 }
4930 else
4931 {
4932 /* 33222222222211111111110000000000
4933 10987654321098765432109876543210
7684e580 4934 000001x0xx010x0x001xxxxxxxxxxxxx
582e12bf 4935 movprfx. */
b731bc3b 4936 return 1723;
582e12bf 4937 }
c0890d26
RS
4938 }
4939 else
4940 {
582e12bf
RS
4941 if (((word >> 16) & 0x1) == 0)
4942 {
4943 if (((word >> 20) & 0x1) == 0)
4944 {
4945 /* 33222222222211111111110000000000
4946 10987654321098765432109876543210
7684e580 4947 000001x0xx001x00001xxxxxxxxxxxxx
582e12bf 4948 smaxv. */
b731bc3b 4949 return 1806;
582e12bf
RS
4950 }
4951 else
4952 {
4953 /* 33222222222211111111110000000000
4954 10987654321098765432109876543210
7684e580 4955 000001x0xx011x00001xxxxxxxxxxxxx
582e12bf 4956 orv. */
b731bc3b 4957 return 1740;
582e12bf
RS
4958 }
4959 }
4960 else
4961 {
4962 if (((word >> 20) & 0x1) == 0)
4963 {
4964 /* 33222222222211111111110000000000
4965 10987654321098765432109876543210
7684e580 4966 000001x0xx001x01001xxxxxxxxxxxxx
582e12bf 4967 umaxv. */
b731bc3b 4968 return 1955;
582e12bf
RS
4969 }
4970 else
4971 {
4972 /* 33222222222211111111110000000000
4973 10987654321098765432109876543210
7684e580 4974 000001x0xx011x01001xxxxxxxxxxxxx
582e12bf 4975 eorv. */
b731bc3b 4976 return 1355;
582e12bf
RS
4977 }
4978 }
c0890d26
RS
4979 }
4980 }
4981 else
4982 {
582e12bf 4983 if (((word >> 16) & 0x1) == 0)
c0890d26 4984 {
582e12bf
RS
4985 if (((word >> 20) & 0x1) == 0)
4986 {
4987 /* 33222222222211111111110000000000
4988 10987654321098765432109876543210
7684e580 4989 000001x0xx00xx10001xxxxxxxxxxxxx
582e12bf 4990 sminv. */
b731bc3b 4991 return 1809;
582e12bf
RS
4992 }
4993 else
4994 {
4995 /* 33222222222211111111110000000000
4996 10987654321098765432109876543210
7684e580 4997 000001x0xx01xx10001xxxxxxxxxxxxx
582e12bf 4998 andv. */
b731bc3b 4999 return 1268;
582e12bf 5000 }
c0890d26
RS
5001 }
5002 else
5003 {
5004 /* 33222222222211111111110000000000
5005 10987654321098765432109876543210
7684e580 5006 000001x0xx0xxx11001xxxxxxxxxxxxx
582e12bf 5007 uminv. */
b731bc3b 5008 return 1958;
c0890d26
RS
5009 }
5010 }
5011 }
c0890d26
RS
5012 else
5013 {
5014 if (((word >> 23) & 0x1) == 0)
5015 {
5016 /* 33222222222211111111110000000000
5017 10987654321098765432109876543210
7684e580 5018 100001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5019 ldff1sb. */
b731bc3b 5020 return 1639;
c0890d26
RS
5021 }
5022 else
5023 {
5024 /* 33222222222211111111110000000000
5025 10987654321098765432109876543210
7684e580 5026 100001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5027 ldff1sh. */
b731bc3b 5028 return 1650;
c0890d26
RS
5029 }
5030 }
5031 }
582e12bf 5032 else
c0890d26 5033 {
582e12bf 5034 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
5035 {
5036 /* 33222222222211111111110000000000
5037 10987654321098765432109876543210
7684e580 5038 x10001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 5039 ldff1sb. */
b731bc3b 5040 return 1646;
c0890d26
RS
5041 }
5042 else
5043 {
5044 /* 33222222222211111111110000000000
5045 10987654321098765432109876543210
7684e580 5046 x10001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 5047 ldff1sh. */
b731bc3b 5048 return 1656;
c0890d26
RS
5049 }
5050 }
582e12bf
RS
5051 }
5052 else
5053 {
5054 if (((word >> 23) & 0x1) == 0)
c0890d26 5055 {
582e12bf 5056 if (((word >> 30) & 0x1) == 0)
c0890d26 5057 {
582e12bf 5058 if (((word >> 31) & 0x1) == 0)
c0890d26 5059 {
582e12bf 5060 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5061 {
5062 /* 33222222222211111111110000000000
5063 10987654321098765432109876543210
7684e580 5064 000001x0001xxxxx001xxxxxxxxxxxxx
582e12bf 5065 and. */
b731bc3b 5066 return 1263;
c0890d26
RS
5067 }
5068 else
5069 {
5070 /* 33222222222211111111110000000000
5071 10987654321098765432109876543210
7684e580 5072 000001x0011xxxxx001xxxxxxxxxxxxx
582e12bf 5073 orr. */
b731bc3b 5074 return 1735;
c0890d26
RS
5075 }
5076 }
c0890d26
RS
5077 else
5078 {
5079 /* 33222222222211111111110000000000
5080 10987654321098765432109876543210
7684e580 5081 100001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5082 prfh. */
b731bc3b 5083 return 1758;
c0890d26
RS
5084 }
5085 }
5086 else
5087 {
582e12bf
RS
5088 /* 33222222222211111111110000000000
5089 10987654321098765432109876543210
7684e580 5090 x10001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 5091 prfh. */
b731bc3b 5092 return 1760;
c0890d26
RS
5093 }
5094 }
5095 else
5096 {
582e12bf 5097 if (((word >> 30) & 0x1) == 0)
c0890d26 5098 {
582e12bf 5099 if (((word >> 31) & 0x1) == 0)
c0890d26 5100 {
582e12bf
RS
5101 if (((word >> 22) & 0x1) == 0)
5102 {
5103 /* 33222222222211111111110000000000
5104 10987654321098765432109876543210
7684e580 5105 000001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 5106 eor. */
b731bc3b 5107 return 1350;
582e12bf
RS
5108 }
5109 else
5110 {
5111 /* 33222222222211111111110000000000
5112 10987654321098765432109876543210
7684e580 5113 000001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 5114 bic. */
b731bc3b 5115 return 1276;
582e12bf 5116 }
c0890d26
RS
5117 }
5118 else
5119 {
5120 /* 33222222222211111111110000000000
5121 10987654321098765432109876543210
7684e580 5122 100001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5123 ldff1sh. */
b731bc3b 5124 return 1651;
c0890d26
RS
5125 }
5126 }
5127 else
5128 {
582e12bf
RS
5129 /* 33222222222211111111110000000000
5130 10987654321098765432109876543210
7684e580 5131 x10001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf 5132 ldff1sh. */
b731bc3b 5133 return 1657;
c0890d26
RS
5134 }
5135 }
5136 }
5137 }
5138 else
5139 {
582e12bf 5140 if (((word >> 30) & 0x1) == 0)
c0890d26 5141 {
582e12bf 5142 if (((word >> 31) & 0x1) == 0)
c0890d26 5143 {
582e12bf 5144 if (((word >> 21) & 0x1) == 0)
c0890d26 5145 {
582e12bf 5146 if (((word >> 16) & 0x1) == 0)
c0890d26 5147 {
582e12bf 5148 if (((word >> 17) & 0x1) == 0)
c0890d26 5149 {
582e12bf 5150 if (((word >> 18) & 0x1) == 0)
c0890d26 5151 {
582e12bf 5152 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5153 {
5154 /* 33222222222211111111110000000000
5155 10987654321098765432109876543210
7684e580 5156 000001x0xx0x0000101xxxxxxxxxxxxx
582e12bf 5157 sxtb. */
b731bc3b 5158 return 1931;
c0890d26
RS
5159 }
5160 else
5161 {
5162 /* 33222222222211111111110000000000
5163 10987654321098765432109876543210
7684e580 5164 000001x0xx0x1000101xxxxxxxxxxxxx
582e12bf 5165 cls. */
b731bc3b 5166 return 1296;
c0890d26
RS
5167 }
5168 }
5169 else
5170 {
582e12bf
RS
5171 if (((word >> 19) & 0x1) == 0)
5172 {
5173 /* 33222222222211111111110000000000
5174 10987654321098765432109876543210
7684e580 5175 000001x0xx0x0100101xxxxxxxxxxxxx
582e12bf 5176 sxtw. */
b731bc3b 5177 return 1933;
582e12bf
RS
5178 }
5179 else
5180 {
5181 /* 33222222222211111111110000000000
5182 10987654321098765432109876543210
7684e580 5183 000001x0xx0x1100101xxxxxxxxxxxxx
582e12bf 5184 fabs. */
b731bc3b 5185 return 1358;
582e12bf 5186 }
c0890d26
RS
5187 }
5188 }
5189 else
5190 {
582e12bf 5191 if (((word >> 18) & 0x1) == 0)
c0890d26 5192 {
582e12bf 5193 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5194 {
5195 /* 33222222222211111111110000000000
5196 10987654321098765432109876543210
7684e580 5197 000001x0xx0x0010101xxxxxxxxxxxxx
582e12bf 5198 sxth. */
b731bc3b 5199 return 1932;
c0890d26
RS
5200 }
5201 else
5202 {
5203 /* 33222222222211111111110000000000
5204 10987654321098765432109876543210
7684e580 5205 000001x0xx0x1010101xxxxxxxxxxxxx
582e12bf 5206 cnt. */
b731bc3b 5207 return 1325;
c0890d26
RS
5208 }
5209 }
5210 else
5211 {
582e12bf 5212 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
5213 {
5214 /* 33222222222211111111110000000000
5215 10987654321098765432109876543210
7684e580 5216 000001x0xx0x0110101xxxxxxxxxxxxx
582e12bf 5217 abs. */
b731bc3b 5218 return 1254;
c0890d26
RS
5219 }
5220 else
5221 {
5222 /* 33222222222211111111110000000000
5223 10987654321098765432109876543210
7684e580 5224 000001x0xx0x1110101xxxxxxxxxxxxx
582e12bf 5225 not. */
b731bc3b 5226 return 1732;
c0890d26
RS
5227 }
5228 }
5229 }
5230 }
5231 else
5232 {
582e12bf 5233 if (((word >> 17) & 0x1) == 0)
c0890d26 5234 {
582e12bf
RS
5235 if (((word >> 18) & 0x1) == 0)
5236 {
5237 if (((word >> 19) & 0x1) == 0)
5238 {
5239 /* 33222222222211111111110000000000
5240 10987654321098765432109876543210
7684e580 5241 000001x0xx0x0001101xxxxxxxxxxxxx
582e12bf 5242 uxtb. */
b731bc3b 5243 return 1994;
582e12bf
RS
5244 }
5245 else
5246 {
5247 /* 33222222222211111111110000000000
5248 10987654321098765432109876543210
7684e580 5249 000001x0xx0x1001101xxxxxxxxxxxxx
582e12bf 5250 clz. */
b731bc3b 5251 return 1297;
582e12bf
RS
5252 }
5253 }
5254 else
5255 {
5256 if (((word >> 19) & 0x1) == 0)
5257 {
5258 /* 33222222222211111111110000000000
5259 10987654321098765432109876543210
7684e580 5260 000001x0xx0x0101101xxxxxxxxxxxxx
582e12bf 5261 uxtw. */
b731bc3b 5262 return 1996;
582e12bf
RS
5263 }
5264 else
5265 {
5266 /* 33222222222211111111110000000000
5267 10987654321098765432109876543210
7684e580 5268 000001x0xx0x1101101xxxxxxxxxxxxx
582e12bf 5269 fneg. */
b731bc3b 5270 return 1435;
582e12bf
RS
5271 }
5272 }
5273 }
5274 else
5275 {
5276 if (((word >> 18) & 0x1) == 0)
5277 {
5278 if (((word >> 19) & 0x1) == 0)
5279 {
5280 /* 33222222222211111111110000000000
5281 10987654321098765432109876543210
7684e580 5282 000001x0xx0x0011101xxxxxxxxxxxxx
582e12bf 5283 uxth. */
b731bc3b 5284 return 1995;
582e12bf
RS
5285 }
5286 else
5287 {
5288 /* 33222222222211111111110000000000
5289 10987654321098765432109876543210
7684e580 5290 000001x0xx0x1011101xxxxxxxxxxxxx
582e12bf 5291 cnot. */
b731bc3b 5292 return 1324;
582e12bf
RS
5293 }
5294 }
5295 else
c0890d26
RS
5296 {
5297 /* 33222222222211111111110000000000
5298 10987654321098765432109876543210
7684e580 5299 000001x0xx0xx111101xxxxxxxxxxxxx
582e12bf 5300 neg. */
b731bc3b 5301 return 1729;
582e12bf
RS
5302 }
5303 }
5304 }
5305 }
5306 else
5307 {
5308 if (((word >> 12) & 0x1) == 0)
5309 {
5310 if (((word >> 23) & 0x1) == 0)
5311 {
5312 if (((word >> 22) & 0x1) == 0)
5313 {
5314 /* 33222222222211111111110000000000
5315 10987654321098765432109876543210
7684e580 5316 000001x0001xxxxx1010xxxxxxxxxxxx
582e12bf 5317 adr. */
b731bc3b 5318 return 1260;
c0890d26
RS
5319 }
5320 else
5321 {
5322 /* 33222222222211111111110000000000
5323 10987654321098765432109876543210
7684e580 5324 000001x0011xxxxx1010xxxxxxxxxxxx
582e12bf 5325 adr. */
b731bc3b 5326 return 1261;
c0890d26
RS
5327 }
5328 }
5329 else
5330 {
5331 /* 33222222222211111111110000000000
5332 10987654321098765432109876543210
7684e580 5333 000001x01x1xxxxx1010xxxxxxxxxxxx
582e12bf 5334 adr. */
b731bc3b 5335 return 1262;
582e12bf
RS
5336 }
5337 }
5338 else
5339 {
5340 if (((word >> 10) & 0x1) == 0)
5341 {
5342 if (((word >> 11) & 0x1) == 0)
5343 {
5344 /* 33222222222211111111110000000000
5345 10987654321098765432109876543210
7684e580 5346 000001x0xx1xxxxx101100xxxxxxxxxx
582e12bf 5347 ftssel. */
b731bc3b 5348 return 1461;
582e12bf
RS
5349 }
5350 else
5351 {
5352 /* 33222222222211111111110000000000
5353 10987654321098765432109876543210
7684e580 5354 000001x0xx1xxxxx101110xxxxxxxxxx
582e12bf 5355 fexpa. */
b731bc3b 5356 return 1405;
582e12bf
RS
5357 }
5358 }
5359 else
5360 {
5361 /* 33222222222211111111110000000000
5362 10987654321098765432109876543210
7684e580 5363 000001x0xx1xxxxx1011x1xxxxxxxxxx
582e12bf 5364 movprfx. */
b731bc3b 5365 return 1722;
c0890d26
RS
5366 }
5367 }
5368 }
582e12bf
RS
5369 }
5370 else
5371 {
5372 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5373 {
5374 if (((word >> 23) & 0x1) == 0)
5375 {
5376 /* 33222222222211111111110000000000
5377 10987654321098765432109876543210
7684e580 5378 100001x000xxxxxx101xxxxxxxxxxxxx
c0890d26 5379 ldff1sb. */
b731bc3b 5380 return 1648;
c0890d26
RS
5381 }
5382 else
5383 {
5384 /* 33222222222211111111110000000000
5385 10987654321098765432109876543210
7684e580 5386 100001x010xxxxxx101xxxxxxxxxxxxx
c0890d26 5387 ldff1sh. */
b731bc3b 5388 return 1660;
582e12bf
RS
5389 }
5390 }
5391 else
5392 {
5393 if (((word >> 23) & 0x1) == 0)
5394 {
5395 /* 33222222222211111111110000000000
5396 10987654321098765432109876543210
7684e580 5397 100001x001xxxxxx101xxxxxxxxxxxxx
582e12bf 5398 ld1rb. */
b731bc3b 5399 return 1516;
582e12bf
RS
5400 }
5401 else
5402 {
5403 /* 33222222222211111111110000000000
5404 10987654321098765432109876543210
7684e580 5405 100001x011xxxxxx101xxxxxxxxxxxxx
582e12bf 5406 ld1rh. */
b731bc3b 5407 return 1520;
c0890d26
RS
5408 }
5409 }
5410 }
582e12bf
RS
5411 }
5412 else
5413 {
5414 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5415 {
5416 if (((word >> 23) & 0x1) == 0)
5417 {
5418 /* 33222222222211111111110000000000
5419 10987654321098765432109876543210
7684e580 5420 x10001x00x0xxxxx101xxxxxxxxxxxxx
c0890d26 5421 ldff1sb. */
b731bc3b 5422 return 1647;
c0890d26
RS
5423 }
5424 else
5425 {
5426 /* 33222222222211111111110000000000
5427 10987654321098765432109876543210
7684e580 5428 x10001x01x0xxxxx101xxxxxxxxxxxxx
c0890d26 5429 ldff1sh. */
b731bc3b 5430 return 1658;
c0890d26
RS
5431 }
5432 }
582e12bf 5433 else
c0890d26 5434 {
582e12bf 5435 if (((word >> 22) & 0x1) == 0)
c0890d26 5436 {
582e12bf 5437 if (((word >> 23) & 0x1) == 0)
c0890d26 5438 {
582e12bf
RS
5439 /* 33222222222211111111110000000000
5440 10987654321098765432109876543210
7684e580 5441 x10001x0001xxxxx101xxxxxxxxxxxxx
582e12bf 5442 ldff1sb. */
b731bc3b 5443 return 1649;
c0890d26
RS
5444 }
5445 else
5446 {
5447 /* 33222222222211111111110000000000
5448 10987654321098765432109876543210
7684e580 5449 x10001x0101xxxxx101xxxxxxxxxxxxx
582e12bf 5450 ldff1sh. */
b731bc3b 5451 return 1661;
c0890d26
RS
5452 }
5453 }
5454 else
5455 {
582e12bf
RS
5456 if (((word >> 23) & 0x1) == 0)
5457 {
5458 /* 33222222222211111111110000000000
5459 10987654321098765432109876543210
7684e580 5460 x10001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 5461 prfh. */
b731bc3b 5462 return 1761;
582e12bf
RS
5463 }
5464 else
5465 {
5466 /* 33222222222211111111110000000000
5467 10987654321098765432109876543210
7684e580 5468 x10001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 5469 ldff1sh. */
b731bc3b 5470 return 1659;
582e12bf 5471 }
c0890d26
RS
5472 }
5473 }
582e12bf
RS
5474 }
5475 }
5476 }
5477 else
5478 {
5479 if (((word >> 15) & 0x1) == 0)
5480 {
5481 if (((word >> 21) & 0x1) == 0)
5482 {
5483 if (((word >> 30) & 0x1) == 0)
c0890d26 5484 {
582e12bf 5485 if (((word >> 31) & 0x1) == 0)
c0890d26 5486 {
582e12bf
RS
5487 /* 33222222222211111111110000000000
5488 10987654321098765432109876543210
7684e580 5489 000001x0xx0xxxxx011xxxxxxxxxxxxx
582e12bf 5490 mls. */
b731bc3b 5491 return 1721;
582e12bf
RS
5492 }
5493 else
5494 {
5495 if (((word >> 23) & 0x1) == 0)
c0890d26 5496 {
582e12bf
RS
5497 /* 33222222222211111111110000000000
5498 10987654321098765432109876543210
7684e580 5499 100001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5500 ldff1b. */
b731bc3b 5501 return 1605;
c0890d26
RS
5502 }
5503 else
5504 {
5505 /* 33222222222211111111110000000000
5506 10987654321098765432109876543210
7684e580 5507 100001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5508 ldff1h. */
b731bc3b 5509 return 1625;
c0890d26
RS
5510 }
5511 }
582e12bf
RS
5512 }
5513 else
5514 {
5515 if (((word >> 23) & 0x1) == 0)
5516 {
5517 /* 33222222222211111111110000000000
5518 10987654321098765432109876543210
7684e580 5519 x10001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf 5520 ldff1b. */
b731bc3b 5521 return 1614;
582e12bf 5522 }
c0890d26
RS
5523 else
5524 {
5525 /* 33222222222211111111110000000000
5526 10987654321098765432109876543210
7684e580 5527 x10001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf 5528 ldff1h. */
b731bc3b 5529 return 1633;
582e12bf
RS
5530 }
5531 }
5532 }
5533 else
5534 {
5535 if (((word >> 23) & 0x1) == 0)
5536 {
5537 if (((word >> 30) & 0x1) == 0)
5538 {
5539 /* 33222222222211111111110000000000
5540 10987654321098765432109876543210
7684e580 5541 x00001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5542 prfd. */
b731bc3b 5543 return 1751;
582e12bf
RS
5544 }
5545 else
5546 {
5547 /* 33222222222211111111110000000000
5548 10987654321098765432109876543210
7684e580 5549 x10001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf 5550 prfd. */
b731bc3b 5551 return 1753;
582e12bf
RS
5552 }
5553 }
5554 else
5555 {
5556 if (((word >> 30) & 0x1) == 0)
5557 {
5558 /* 33222222222211111111110000000000
5559 10987654321098765432109876543210
7684e580 5560 x00001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5561 ldff1h. */
b731bc3b 5562 return 1626;
582e12bf
RS
5563 }
5564 else
5565 {
5566 /* 33222222222211111111110000000000
5567 10987654321098765432109876543210
7684e580 5568 x10001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf 5569 ldff1h. */
b731bc3b 5570 return 1634;
c0890d26
RS
5571 }
5572 }
5573 }
5574 }
5575 else
5576 {
5577 if (((word >> 30) & 0x1) == 0)
5578 {
5579 if (((word >> 31) & 0x1) == 0)
5580 {
5581 if (((word >> 21) & 0x1) == 0)
5582 {
582e12bf
RS
5583 /* 33222222222211111111110000000000
5584 10987654321098765432109876543210
7684e580 5585 000001x0xx0xxxxx111xxxxxxxxxxxxx
582e12bf 5586 msb. */
b731bc3b 5587 return 1724;
582e12bf
RS
5588 }
5589 else
5590 {
5591 if (((word >> 10) & 0x1) == 0)
c0890d26 5592 {
582e12bf 5593 if (((word >> 11) & 0x1) == 0)
c0890d26 5594 {
582e12bf 5595 if (((word >> 12) & 0x1) == 0)
c0890d26 5596 {
582e12bf 5597 if (((word >> 20) & 0x1) == 0)
c0890d26 5598 {
582e12bf
RS
5599 if (((word >> 22) & 0x1) == 0)
5600 {
5601 if (((word >> 23) & 0x1) == 0)
5602 {
5603 /* 33222222222211111111110000000000
5604 10987654321098765432109876543210
7684e580 5605 000001x00010xxxx111000xxxxxxxxxx
582e12bf 5606 cntb. */
b731bc3b 5607 return 1326;
582e12bf
RS
5608 }
5609 else
5610 {
5611 /* 33222222222211111111110000000000
5612 10987654321098765432109876543210
7684e580 5613 000001x01010xxxx111000xxxxxxxxxx
582e12bf 5614 cntw. */
b731bc3b 5615 return 1330;
582e12bf
RS
5616 }
5617 }
5618 else
5619 {
5620 if (((word >> 23) & 0x1) == 0)
5621 {
5622 /* 33222222222211111111110000000000
5623 10987654321098765432109876543210
7684e580 5624 000001x00110xxxx111000xxxxxxxxxx
582e12bf 5625 cnth. */
b731bc3b 5626 return 1328;
582e12bf
RS
5627 }
5628 else
5629 {
5630 /* 33222222222211111111110000000000
5631 10987654321098765432109876543210
7684e580 5632 000001x01110xxxx111000xxxxxxxxxx
582e12bf 5633 cntd. */
b731bc3b 5634 return 1327;
582e12bf
RS
5635 }
5636 }
c0890d26
RS
5637 }
5638 else
5639 {
582e12bf
RS
5640 if (((word >> 22) & 0x1) == 0)
5641 {
5642 if (((word >> 23) & 0x1) == 0)
5643 {
5644 /* 33222222222211111111110000000000
5645 10987654321098765432109876543210
7684e580 5646 000001x00011xxxx111000xxxxxxxxxx
582e12bf 5647 incb. */
b731bc3b 5648 return 1462;
582e12bf
RS
5649 }
5650 else
5651 {
5652 /* 33222222222211111111110000000000
5653 10987654321098765432109876543210
7684e580 5654 000001x01011xxxx111000xxxxxxxxxx
582e12bf 5655 incw. */
b731bc3b 5656 return 1470;
582e12bf
RS
5657 }
5658 }
5659 else
5660 {
5661 if (((word >> 23) & 0x1) == 0)
5662 {
5663 /* 33222222222211111111110000000000
5664 10987654321098765432109876543210
7684e580 5665 000001x00111xxxx111000xxxxxxxxxx
582e12bf 5666 inch. */
b731bc3b 5667 return 1466;
582e12bf
RS
5668 }
5669 else
5670 {
5671 /* 33222222222211111111110000000000
5672 10987654321098765432109876543210
7684e580 5673 000001x01111xxxx111000xxxxxxxxxx
582e12bf 5674 incd. */
b731bc3b 5675 return 1464;
582e12bf
RS
5676 }
5677 }
c0890d26
RS
5678 }
5679 }
5680 else
5681 {
582e12bf 5682 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
5683 {
5684 if (((word >> 22) & 0x1) == 0)
5685 {
5686 if (((word >> 23) & 0x1) == 0)
5687 {
5688 /* 33222222222211111111110000000000
5689 10987654321098765432109876543210
7684e580 5690 000001x00010xxxx111100xxxxxxxxxx
c0890d26 5691 sqincb. */
b731bc3b 5692 return 1829;
c0890d26
RS
5693 }
5694 else
5695 {
5696 /* 33222222222211111111110000000000
5697 10987654321098765432109876543210
7684e580 5698 000001x01010xxxx111100xxxxxxxxxx
c0890d26 5699 sqincw. */
b731bc3b 5700 return 1841;
c0890d26
RS
5701 }
5702 }
5703 else
5704 {
5705 if (((word >> 23) & 0x1) == 0)
5706 {
5707 /* 33222222222211111111110000000000
5708 10987654321098765432109876543210
7684e580 5709 000001x00110xxxx111100xxxxxxxxxx
c0890d26 5710 sqinch. */
b731bc3b 5711 return 1835;
c0890d26
RS
5712 }
5713 else
5714 {
5715 /* 33222222222211111111110000000000
5716 10987654321098765432109876543210
7684e580 5717 000001x01110xxxx111100xxxxxxxxxx
c0890d26 5718 sqincd. */
b731bc3b 5719 return 1832;
c0890d26
RS
5720 }
5721 }
5722 }
5723 else
5724 {
5725 if (((word >> 22) & 0x1) == 0)
5726 {
5727 if (((word >> 23) & 0x1) == 0)
5728 {
5729 /* 33222222222211111111110000000000
5730 10987654321098765432109876543210
7684e580 5731 000001x00011xxxx111100xxxxxxxxxx
c0890d26 5732 sqincb. */
b731bc3b 5733 return 1828;
c0890d26
RS
5734 }
5735 else
5736 {
5737 /* 33222222222211111111110000000000
5738 10987654321098765432109876543210
7684e580 5739 000001x01011xxxx111100xxxxxxxxxx
c0890d26 5740 sqincw. */
b731bc3b 5741 return 1840;
c0890d26
RS
5742 }
5743 }
5744 else
5745 {
5746 if (((word >> 23) & 0x1) == 0)
5747 {
5748 /* 33222222222211111111110000000000
5749 10987654321098765432109876543210
7684e580 5750 000001x00111xxxx111100xxxxxxxxxx
c0890d26 5751 sqinch. */
b731bc3b 5752 return 1834;
c0890d26
RS
5753 }
5754 else
5755 {
5756 /* 33222222222211111111110000000000
5757 10987654321098765432109876543210
7684e580 5758 000001x01111xxxx111100xxxxxxxxxx
c0890d26 5759 sqincd. */
b731bc3b 5760 return 1831;
c0890d26
RS
5761 }
5762 }
5763 }
5764 }
5765 }
5766 else
5767 {
5768 if (((word >> 20) & 0x1) == 0)
5769 {
5770 if (((word >> 22) & 0x1) == 0)
5771 {
5772 if (((word >> 23) & 0x1) == 0)
5773 {
5774 /* 33222222222211111111110000000000
5775 10987654321098765432109876543210
7684e580 5776 000001x00010xxxx111x10xxxxxxxxxx
c0890d26 5777 sqdecb. */
b731bc3b 5778 return 1815;
c0890d26
RS
5779 }
5780 else
5781 {
5782 /* 33222222222211111111110000000000
5783 10987654321098765432109876543210
7684e580 5784 000001x01010xxxx111x10xxxxxxxxxx
c0890d26 5785 sqdecw. */
b731bc3b 5786 return 1827;
c0890d26
RS
5787 }
5788 }
5789 else
5790 {
5791 if (((word >> 23) & 0x1) == 0)
5792 {
5793 /* 33222222222211111111110000000000
5794 10987654321098765432109876543210
7684e580 5795 000001x00110xxxx111x10xxxxxxxxxx
c0890d26 5796 sqdech. */
b731bc3b 5797 return 1821;
c0890d26
RS
5798 }
5799 else
5800 {
5801 /* 33222222222211111111110000000000
5802 10987654321098765432109876543210
7684e580 5803 000001x01110xxxx111x10xxxxxxxxxx
c0890d26 5804 sqdecd. */
b731bc3b 5805 return 1818;
c0890d26
RS
5806 }
5807 }
5808 }
5809 else
5810 {
5811 if (((word >> 22) & 0x1) == 0)
5812 {
5813 if (((word >> 23) & 0x1) == 0)
5814 {
5815 /* 33222222222211111111110000000000
5816 10987654321098765432109876543210
7684e580 5817 000001x00011xxxx111x10xxxxxxxxxx
c0890d26 5818 sqdecb. */
b731bc3b 5819 return 1814;
c0890d26
RS
5820 }
5821 else
5822 {
5823 /* 33222222222211111111110000000000
5824 10987654321098765432109876543210
7684e580 5825 000001x01011xxxx111x10xxxxxxxxxx
c0890d26 5826 sqdecw. */
b731bc3b 5827 return 1826;
c0890d26
RS
5828 }
5829 }
5830 else
5831 {
5832 if (((word >> 23) & 0x1) == 0)
5833 {
5834 /* 33222222222211111111110000000000
5835 10987654321098765432109876543210
7684e580 5836 000001x00111xxxx111x10xxxxxxxxxx
c0890d26 5837 sqdech. */
b731bc3b 5838 return 1820;
c0890d26
RS
5839 }
5840 else
5841 {
5842 /* 33222222222211111111110000000000
5843 10987654321098765432109876543210
7684e580 5844 000001x01111xxxx111x10xxxxxxxxxx
c0890d26 5845 sqdecd. */
b731bc3b 5846 return 1817;
c0890d26
RS
5847 }
5848 }
5849 }
5850 }
5851 }
5852 else
5853 {
5854 if (((word >> 11) & 0x1) == 0)
5855 {
5856 if (((word >> 12) & 0x1) == 0)
5857 {
5858 if (((word >> 22) & 0x1) == 0)
5859 {
5860 if (((word >> 23) & 0x1) == 0)
5861 {
5862 /* 33222222222211111111110000000000
5863 10987654321098765432109876543210
7684e580 5864 000001x0001xxxxx111001xxxxxxxxxx
c0890d26 5865 decb. */
b731bc3b 5866 return 1337;
c0890d26
RS
5867 }
5868 else
5869 {
5870 /* 33222222222211111111110000000000
5871 10987654321098765432109876543210
7684e580 5872 000001x0101xxxxx111001xxxxxxxxxx
c0890d26 5873 decw. */
b731bc3b 5874 return 1345;
c0890d26
RS
5875 }
5876 }
5877 else
5878 {
5879 if (((word >> 23) & 0x1) == 0)
5880 {
5881 /* 33222222222211111111110000000000
5882 10987654321098765432109876543210
7684e580 5883 000001x0011xxxxx111001xxxxxxxxxx
c0890d26 5884 dech. */
b731bc3b 5885 return 1341;
c0890d26
RS
5886 }
5887 else
5888 {
5889 /* 33222222222211111111110000000000
5890 10987654321098765432109876543210
7684e580 5891 000001x0111xxxxx111001xxxxxxxxxx
c0890d26 5892 decd. */
b731bc3b 5893 return 1339;
c0890d26
RS
5894 }
5895 }
5896 }
5897 else
5898 {
5899 if (((word >> 20) & 0x1) == 0)
5900 {
5901 if (((word >> 22) & 0x1) == 0)
5902 {
5903 if (((word >> 23) & 0x1) == 0)
5904 {
5905 /* 33222222222211111111110000000000
5906 10987654321098765432109876543210
7684e580 5907 000001x00010xxxx111101xxxxxxxxxx
c0890d26 5908 uqincb. */
b731bc3b 5909 return 1976;
c0890d26
RS
5910 }
5911 else
5912 {
5913 /* 33222222222211111111110000000000
5914 10987654321098765432109876543210
7684e580 5915 000001x01010xxxx111101xxxxxxxxxx
c0890d26 5916 uqincw. */
b731bc3b 5917 return 1988;
c0890d26
RS
5918 }
5919 }
5920 else
5921 {
5922 if (((word >> 23) & 0x1) == 0)
5923 {
5924 /* 33222222222211111111110000000000
5925 10987654321098765432109876543210
7684e580 5926 000001x00110xxxx111101xxxxxxxxxx
c0890d26 5927 uqinch. */
b731bc3b 5928 return 1982;
c0890d26
RS
5929 }
5930 else
5931 {
5932 /* 33222222222211111111110000000000
5933 10987654321098765432109876543210
7684e580 5934 000001x01110xxxx111101xxxxxxxxxx
c0890d26 5935 uqincd. */
b731bc3b 5936 return 1979;
c0890d26
RS
5937 }
5938 }
5939 }
5940 else
5941 {
5942 if (((word >> 22) & 0x1) == 0)
5943 {
5944 if (((word >> 23) & 0x1) == 0)
5945 {
5946 /* 33222222222211111111110000000000
5947 10987654321098765432109876543210
7684e580 5948 000001x00011xxxx111101xxxxxxxxxx
c0890d26 5949 uqincb. */
b731bc3b 5950 return 1977;
c0890d26
RS
5951 }
5952 else
5953 {
5954 /* 33222222222211111111110000000000
5955 10987654321098765432109876543210
7684e580 5956 000001x01011xxxx111101xxxxxxxxxx
c0890d26 5957 uqincw. */
b731bc3b 5958 return 1989;
c0890d26
RS
5959 }
5960 }
5961 else
5962 {
5963 if (((word >> 23) & 0x1) == 0)
5964 {
5965 /* 33222222222211111111110000000000
5966 10987654321098765432109876543210
7684e580 5967 000001x00111xxxx111101xxxxxxxxxx
c0890d26 5968 uqinch. */
b731bc3b 5969 return 1983;
c0890d26
RS
5970 }
5971 else
5972 {
5973 /* 33222222222211111111110000000000
5974 10987654321098765432109876543210
7684e580 5975 000001x01111xxxx111101xxxxxxxxxx
c0890d26 5976 uqincd. */
b731bc3b 5977 return 1980;
c0890d26
RS
5978 }
5979 }
5980 }
5981 }
5982 }
5983 else
5984 {
5985 if (((word >> 20) & 0x1) == 0)
5986 {
5987 if (((word >> 22) & 0x1) == 0)
5988 {
5989 if (((word >> 23) & 0x1) == 0)
5990 {
5991 /* 33222222222211111111110000000000
5992 10987654321098765432109876543210
7684e580 5993 000001x00010xxxx111x11xxxxxxxxxx
c0890d26 5994 uqdecb. */
b731bc3b 5995 return 1962;
c0890d26
RS
5996 }
5997 else
5998 {
5999 /* 33222222222211111111110000000000
6000 10987654321098765432109876543210
7684e580 6001 000001x01010xxxx111x11xxxxxxxxxx
c0890d26 6002 uqdecw. */
b731bc3b 6003 return 1974;
c0890d26
RS
6004 }
6005 }
6006 else
6007 {
6008 if (((word >> 23) & 0x1) == 0)
6009 {
6010 /* 33222222222211111111110000000000
6011 10987654321098765432109876543210
7684e580 6012 000001x00110xxxx111x11xxxxxxxxxx
c0890d26 6013 uqdech. */
b731bc3b 6014 return 1968;
c0890d26
RS
6015 }
6016 else
6017 {
6018 /* 33222222222211111111110000000000
6019 10987654321098765432109876543210
7684e580 6020 000001x01110xxxx111x11xxxxxxxxxx
c0890d26 6021 uqdecd. */
b731bc3b 6022 return 1965;
c0890d26
RS
6023 }
6024 }
6025 }
6026 else
6027 {
6028 if (((word >> 22) & 0x1) == 0)
6029 {
6030 if (((word >> 23) & 0x1) == 0)
6031 {
6032 /* 33222222222211111111110000000000
6033 10987654321098765432109876543210
7684e580 6034 000001x00011xxxx111x11xxxxxxxxxx
c0890d26 6035 uqdecb. */
b731bc3b 6036 return 1963;
c0890d26
RS
6037 }
6038 else
6039 {
6040 /* 33222222222211111111110000000000
6041 10987654321098765432109876543210
7684e580 6042 000001x01011xxxx111x11xxxxxxxxxx
c0890d26 6043 uqdecw. */
b731bc3b 6044 return 1975;
c0890d26
RS
6045 }
6046 }
6047 else
6048 {
6049 if (((word >> 23) & 0x1) == 0)
6050 {
6051 /* 33222222222211111111110000000000
6052 10987654321098765432109876543210
7684e580 6053 000001x00111xxxx111x11xxxxxxxxxx
c0890d26 6054 uqdech. */
b731bc3b 6055 return 1969;
c0890d26
RS
6056 }
6057 else
6058 {
6059 /* 33222222222211111111110000000000
6060 10987654321098765432109876543210
7684e580 6061 000001x01111xxxx111x11xxxxxxxxxx
c0890d26 6062 uqdecd. */
b731bc3b 6063 return 1966;
c0890d26
RS
6064 }
6065 }
6066 }
6067 }
6068 }
6069 }
6070 }
6071 else
6072 {
6073 if (((word >> 22) & 0x1) == 0)
6074 {
582e12bf
RS
6075 if (((word >> 21) & 0x1) == 0)
6076 {
6077 if (((word >> 23) & 0x1) == 0)
6078 {
6079 /* 33222222222211111111110000000000
6080 10987654321098765432109876543210
7684e580 6081 100001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6082 prfb. */
b731bc3b 6083 return 1748;
582e12bf
RS
6084 }
6085 else
6086 {
6087 /* 33222222222211111111110000000000
6088 10987654321098765432109876543210
7684e580 6089 100001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6090 prfh. */
b731bc3b 6091 return 1762;
582e12bf
RS
6092 }
6093 }
6094 else
6095 {
6096 if (((word >> 23) & 0x1) == 0)
6097 {
6098 /* 33222222222211111111110000000000
6099 10987654321098765432109876543210
7684e580 6100 100001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6101 ldff1b. */
b731bc3b 6102 return 1616;
582e12bf
RS
6103 }
6104 else
6105 {
6106 /* 33222222222211111111110000000000
6107 10987654321098765432109876543210
7684e580 6108 100001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6109 ldff1h. */
b731bc3b 6110 return 1637;
582e12bf
RS
6111 }
6112 }
6113 }
6114 else
6115 {
6116 if (((word >> 23) & 0x1) == 0)
6117 {
6118 /* 33222222222211111111110000000000
6119 10987654321098765432109876543210
7684e580 6120 100001x001xxxxxx111xxxxxxxxxxxxx
582e12bf 6121 ld1rb. */
b731bc3b 6122 return 1518;
582e12bf
RS
6123 }
6124 else
6125 {
6126 /* 33222222222211111111110000000000
6127 10987654321098765432109876543210
7684e580 6128 100001x011xxxxxx111xxxxxxxxxxxxx
582e12bf 6129 ld1rh. */
b731bc3b 6130 return 1522;
582e12bf
RS
6131 }
6132 }
6133 }
6134 }
6135 else
6136 {
6137 if (((word >> 21) & 0x1) == 0)
6138 {
6139 if (((word >> 22) & 0x1) == 0)
6140 {
6141 if (((word >> 23) & 0x1) == 0)
6142 {
6143 /* 33222222222211111111110000000000
6144 10987654321098765432109876543210
7684e580 6145 x10001x0000xxxxx111xxxxxxxxxxxxx
582e12bf 6146 prfb. */
b731bc3b 6147 return 1750;
582e12bf
RS
6148 }
6149 else
6150 {
6151 /* 33222222222211111111110000000000
6152 10987654321098765432109876543210
7684e580 6153 x10001x0100xxxxx111xxxxxxxxxxxxx
582e12bf 6154 prfh. */
b731bc3b 6155 return 1764;
582e12bf
RS
6156 }
6157 }
6158 else
6159 {
6160 if (((word >> 23) & 0x1) == 0)
6161 {
6162 /* 33222222222211111111110000000000
6163 10987654321098765432109876543210
7684e580 6164 x10001x0010xxxxx111xxxxxxxxxxxxx
582e12bf 6165 ldff1b. */
b731bc3b 6166 return 1615;
582e12bf
RS
6167 }
6168 else
6169 {
6170 /* 33222222222211111111110000000000
6171 10987654321098765432109876543210
7684e580 6172 x10001x0110xxxxx111xxxxxxxxxxxxx
582e12bf 6173 ldff1h. */
b731bc3b 6174 return 1635;
582e12bf
RS
6175 }
6176 }
6177 }
6178 else
6179 {
6180 if (((word >> 22) & 0x1) == 0)
6181 {
6182 if (((word >> 23) & 0x1) == 0)
6183 {
6184 /* 33222222222211111111110000000000
6185 10987654321098765432109876543210
7684e580 6186 x10001x0001xxxxx111xxxxxxxxxxxxx
582e12bf 6187 ldff1b. */
b731bc3b 6188 return 1617;
582e12bf
RS
6189 }
6190 else
6191 {
6192 /* 33222222222211111111110000000000
6193 10987654321098765432109876543210
7684e580 6194 x10001x0101xxxxx111xxxxxxxxxxxxx
582e12bf 6195 ldff1h. */
b731bc3b 6196 return 1638;
582e12bf
RS
6197 }
6198 }
6199 else
6200 {
6201 if (((word >> 23) & 0x1) == 0)
6202 {
6203 /* 33222222222211111111110000000000
6204 10987654321098765432109876543210
7684e580 6205 x10001x0011xxxxx111xxxxxxxxxxxxx
582e12bf 6206 prfd. */
b731bc3b 6207 return 1754;
582e12bf
RS
6208 }
6209 else
6210 {
6211 /* 33222222222211111111110000000000
6212 10987654321098765432109876543210
7684e580 6213 x10001x0111xxxxx111xxxxxxxxxxxxx
582e12bf 6214 ldff1h. */
b731bc3b 6215 return 1636;
582e12bf
RS
6216 }
6217 }
6218 }
6219 }
6220 }
6221 }
6222 }
6223 }
6224 else
6225 {
6226 if (((word >> 21) & 0x1) == 0)
6227 {
6228 if (((word >> 15) & 0x1) == 0)
6229 {
6230 if (((word >> 30) & 0x1) == 0)
6231 {
6232 if (((word >> 13) & 0x1) == 0)
6233 {
6234 if (((word >> 14) & 0x1) == 0)
6235 {
6236 if (((word >> 31) & 0x1) == 0)
6237 {
6238 if (((word >> 4) & 0x1) == 0)
6239 {
6240 /* 33222222222211111111110000000000
6241 10987654321098765432109876543210
7684e580 6242 001001x0xx0xxxxx000xxxxxxxx0xxxx
582e12bf 6243 cmphs. */
b731bc3b 6244 return 1310;
582e12bf
RS
6245 }
6246 else
6247 {
6248 /* 33222222222211111111110000000000
6249 10987654321098765432109876543210
7684e580 6250 001001x0xx0xxxxx000xxxxxxxx1xxxx
582e12bf 6251 cmphi. */
b731bc3b 6252 return 1307;
582e12bf
RS
6253 }
6254 }
6255 else
6256 {
6257 if (((word >> 23) & 0x1) == 0)
6258 {
6259 /* 33222222222211111111110000000000
6260 10987654321098765432109876543210
7684e580 6261 101001x00x0xxxxx000xxxxxxxxxxxxx
582e12bf 6262 ld1rqb. */
b731bc3b 6263 return 1524;
582e12bf
RS
6264 }
6265 else
6266 {
6267 /* 33222222222211111111110000000000
6268 10987654321098765432109876543210
7684e580 6269 101001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 6270 ld1rqh. */
b731bc3b 6271 return 1528;
582e12bf
RS
6272 }
6273 }
6274 }
6275 else
6276 {
6277 if (((word >> 31) & 0x1) == 0)
6278 {
6279 if (((word >> 4) & 0x1) == 0)
6280 {
6281 /* 33222222222211111111110000000000
6282 10987654321098765432109876543210
7684e580 6283 001001x0xx0xxxxx010xxxxxxxx0xxxx
582e12bf 6284 cmpge. */
b731bc3b 6285 return 1301;
582e12bf
RS
6286 }
6287 else
6288 {
6289 /* 33222222222211111111110000000000
6290 10987654321098765432109876543210
7684e580 6291 001001x0xx0xxxxx010xxxxxxxx1xxxx
582e12bf 6292 cmpgt. */
b731bc3b 6293 return 1304;
582e12bf
RS
6294 }
6295 }
6296 else
6297 {
6298 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
6299 {
6300 if (((word >> 23) & 0x1) == 0)
6301 {
6302 /* 33222222222211111111110000000000
6303 10987654321098765432109876543210
7684e580 6304 101001x0000xxxxx010xxxxxxxxxxxxx
582e12bf 6305 ld1b. */
b731bc3b 6306 return 1482;
c0890d26
RS
6307 }
6308 else
6309 {
6310 /* 33222222222211111111110000000000
6311 10987654321098765432109876543210
7684e580 6312 101001x0100xxxxx010xxxxxxxxxxxxx
582e12bf 6313 ld1sw. */
b731bc3b 6314 return 1562;
c0890d26
RS
6315 }
6316 }
6317 else
6318 {
6319 if (((word >> 23) & 0x1) == 0)
6320 {
6321 /* 33222222222211111111110000000000
6322 10987654321098765432109876543210
7684e580 6323 101001x0010xxxxx010xxxxxxxxxxxxx
582e12bf 6324 ld1b. */
b731bc3b 6325 return 1484;
c0890d26
RS
6326 }
6327 else
6328 {
6329 /* 33222222222211111111110000000000
6330 10987654321098765432109876543210
7684e580 6331 101001x0110xxxxx010xxxxxxxxxxxxx
582e12bf 6332 ld1h. */
b731bc3b 6333 return 1504;
c0890d26
RS
6334 }
6335 }
6336 }
582e12bf
RS
6337 }
6338 }
6339 else
6340 {
6341 if (((word >> 14) & 0x1) == 0)
6342 {
6343 if (((word >> 31) & 0x1) == 0)
6344 {
6345 if (((word >> 4) & 0x1) == 0)
6346 {
6347 /* 33222222222211111111110000000000
6348 10987654321098765432109876543210
7684e580 6349 001001x0xx0xxxxx001xxxxxxxx0xxxx
582e12bf 6350 cmpeq. */
b731bc3b 6351 return 1298;
582e12bf
RS
6352 }
6353 else
6354 {
6355 /* 33222222222211111111110000000000
6356 10987654321098765432109876543210
7684e580 6357 001001x0xx0xxxxx001xxxxxxxx1xxxx
582e12bf 6358 cmpne. */
b731bc3b 6359 return 1321;
582e12bf
RS
6360 }
6361 }
c0890d26
RS
6362 else
6363 {
6364 if (((word >> 23) & 0x1) == 0)
6365 {
6366 /* 33222222222211111111110000000000
6367 10987654321098765432109876543210
7684e580 6368 101001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf 6369 ld1rqb. */
b731bc3b 6370 return 1523;
c0890d26
RS
6371 }
6372 else
6373 {
6374 /* 33222222222211111111110000000000
6375 10987654321098765432109876543210
7684e580 6376 101001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf 6377 ld1rqh. */
b731bc3b 6378 return 1527;
582e12bf
RS
6379 }
6380 }
6381 }
6382 else
6383 {
6384 if (((word >> 31) & 0x1) == 0)
6385 {
6386 if (((word >> 4) & 0x1) == 0)
6387 {
6388 /* 33222222222211111111110000000000
6389 10987654321098765432109876543210
7684e580 6390 001001x0xx0xxxxx011xxxxxxxx0xxxx
582e12bf 6391 cmplt. */
b731bc3b 6392 return 1319;
582e12bf
RS
6393 }
6394 else
6395 {
6396 /* 33222222222211111111110000000000
6397 10987654321098765432109876543210
7684e580 6398 001001x0xx0xxxxx011xxxxxxxx1xxxx
582e12bf 6399 cmple. */
b731bc3b 6400 return 1313;
582e12bf
RS
6401 }
6402 }
6403 else
6404 {
6405 if (((word >> 22) & 0x1) == 0)
6406 {
6407 if (((word >> 23) & 0x1) == 0)
6408 {
6409 /* 33222222222211111111110000000000
6410 10987654321098765432109876543210
7684e580 6411 101001x0000xxxxx011xxxxxxxxxxxxx
582e12bf 6412 ldff1b. */
b731bc3b 6413 return 1606;
582e12bf
RS
6414 }
6415 else
6416 {
6417 /* 33222222222211111111110000000000
6418 10987654321098765432109876543210
7684e580 6419 101001x0100xxxxx011xxxxxxxxxxxxx
582e12bf 6420 ldff1sw. */
b731bc3b 6421 return 1662;
582e12bf
RS
6422 }
6423 }
6424 else
6425 {
6426 if (((word >> 23) & 0x1) == 0)
6427 {
6428 /* 33222222222211111111110000000000
6429 10987654321098765432109876543210
7684e580 6430 101001x0010xxxxx011xxxxxxxxxxxxx
582e12bf 6431 ldff1b. */
b731bc3b 6432 return 1610;
582e12bf
RS
6433 }
6434 else
6435 {
6436 /* 33222222222211111111110000000000
6437 10987654321098765432109876543210
7684e580 6438 101001x0110xxxxx011xxxxxxxxxxxxx
582e12bf 6439 ldff1h. */
b731bc3b 6440 return 1629;
582e12bf 6441 }
c0890d26
RS
6442 }
6443 }
6444 }
6445 }
582e12bf
RS
6446 }
6447 else
6448 {
6449 if (((word >> 31) & 0x1) == 0)
6450 {
6451 /* 33222222222211111111110000000000
6452 10987654321098765432109876543210
7684e580 6453 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
582e12bf 6454 fcmla. */
b731bc3b 6455 return 1367;
582e12bf 6456 }
c0890d26
RS
6457 else
6458 {
582e12bf 6459 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
6460 {
6461 if (((word >> 22) & 0x1) == 0)
6462 {
582e12bf
RS
6463 /* 33222222222211111111110000000000
6464 10987654321098765432109876543210
7684e580 6465 111001x0x00xxxxx0x0xxxxxxxxxxxxx
582e12bf 6466 st1b. */
b731bc3b 6467 return 1844;
c0890d26
RS
6468 }
6469 else
6470 {
6471 if (((word >> 23) & 0x1) == 0)
6472 {
6473 /* 33222222222211111111110000000000
6474 10987654321098765432109876543210
7684e580 6475 111001x0010xxxxx0x0xxxxxxxxxxxxx
582e12bf 6476 st1b. */
b731bc3b 6477 return 1848;
c0890d26
RS
6478 }
6479 else
6480 {
6481 /* 33222222222211111111110000000000
6482 10987654321098765432109876543210
7684e580 6483 111001x0110xxxxx0x0xxxxxxxxxxxxx
582e12bf 6484 st1h. */
b731bc3b 6485 return 1869;
c0890d26
RS
6486 }
6487 }
6488 }
6489 else
6490 {
6491 if (((word >> 22) & 0x1) == 0)
6492 {
6493 if (((word >> 23) & 0x1) == 0)
6494 {
6495 /* 33222222222211111111110000000000
6496 10987654321098765432109876543210
7684e580 6497 111001x0000xxxxx0x1xxxxxxxxxxxxx
582e12bf 6498 stnt1b. */
b731bc3b 6499 return 1914;
c0890d26
RS
6500 }
6501 else
6502 {
6503 /* 33222222222211111111110000000000
6504 10987654321098765432109876543210
7684e580 6505 111001x0100xxxxx0x1xxxxxxxxxxxxx
582e12bf 6506 stnt1h. */
b731bc3b 6507 return 1918;
c0890d26
RS
6508 }
6509 }
6510 else
6511 {
6512 if (((word >> 23) & 0x1) == 0)
6513 {
6514 /* 33222222222211111111110000000000
6515 10987654321098765432109876543210
7684e580 6516 111001x0010xxxxx0x1xxxxxxxxxxxxx
582e12bf 6517 st3b. */
b731bc3b 6518 return 1898;
c0890d26
RS
6519 }
6520 else
6521 {
6522 /* 33222222222211111111110000000000
6523 10987654321098765432109876543210
7684e580 6524 111001x0110xxxxx0x1xxxxxxxxxxxxx
582e12bf 6525 st3h. */
b731bc3b 6526 return 1902;
c0890d26
RS
6527 }
6528 }
6529 }
6530 }
6531 }
6532 }
582e12bf 6533 else
c0890d26 6534 {
582e12bf 6535 if (((word >> 13) & 0x1) == 0)
c0890d26 6536 {
582e12bf 6537 if (((word >> 30) & 0x1) == 0)
c0890d26 6538 {
582e12bf 6539 if (((word >> 14) & 0x1) == 0)
c0890d26 6540 {
582e12bf
RS
6541 if (((word >> 4) & 0x1) == 0)
6542 {
6543 /* 33222222222211111111110000000000
6544 10987654321098765432109876543210
7684e580 6545 x01001x0xx0xxxxx100xxxxxxxx0xxxx
582e12bf 6546 cmpge. */
b731bc3b 6547 return 1302;
582e12bf
RS
6548 }
6549 else
6550 {
6551 /* 33222222222211111111110000000000
6552 10987654321098765432109876543210
7684e580 6553 x01001x0xx0xxxxx100xxxxxxxx1xxxx
582e12bf 6554 cmpgt. */
b731bc3b 6555 return 1305;
582e12bf 6556 }
c0890d26
RS
6557 }
6558 else
c0890d26
RS
6559 {
6560 if (((word >> 31) & 0x1) == 0)
6561 {
6562 if (((word >> 4) & 0x1) == 0)
6563 {
6564 /* 33222222222211111111110000000000
6565 10987654321098765432109876543210
7684e580 6566 001001x0xx0xxxxx110xxxxxxxx0xxxx
582e12bf 6567 cmphs. */
b731bc3b 6568 return 1311;
c0890d26
RS
6569 }
6570 else
6571 {
6572 /* 33222222222211111111110000000000
6573 10987654321098765432109876543210
7684e580 6574 001001x0xx0xxxxx110xxxxxxxx1xxxx
582e12bf 6575 cmphi. */
b731bc3b 6576 return 1308;
c0890d26
RS
6577 }
6578 }
6579 else
6580 {
582e12bf 6581 if (((word >> 22) & 0x1) == 0)
c0890d26 6582 {
582e12bf 6583 if (((word >> 23) & 0x1) == 0)
c0890d26 6584 {
582e12bf
RS
6585 /* 33222222222211111111110000000000
6586 10987654321098765432109876543210
7684e580 6587 101001x0000xxxxx110xxxxxxxxxxxxx
582e12bf 6588 ldnt1b. */
b731bc3b 6589 return 1697;
c0890d26
RS
6590 }
6591 else
6592 {
582e12bf
RS
6593 /* 33222222222211111111110000000000
6594 10987654321098765432109876543210
7684e580 6595 101001x0100xxxxx110xxxxxxxxxxxxx
582e12bf 6596 ldnt1h. */
b731bc3b 6597 return 1701;
c0890d26
RS
6598 }
6599 }
6600 else
6601 {
582e12bf 6602 if (((word >> 23) & 0x1) == 0)
c0890d26 6603 {
582e12bf
RS
6604 /* 33222222222211111111110000000000
6605 10987654321098765432109876543210
7684e580 6606 101001x0010xxxxx110xxxxxxxxxxxxx
582e12bf 6607 ld3b. */
b731bc3b 6608 return 1589;
c0890d26
RS
6609 }
6610 else
6611 {
582e12bf
RS
6612 /* 33222222222211111111110000000000
6613 10987654321098765432109876543210
7684e580 6614 101001x0110xxxxx110xxxxxxxxxxxxx
582e12bf 6615 ld3h. */
b731bc3b 6616 return 1593;
c0890d26
RS
6617 }
6618 }
6619 }
6620 }
582e12bf
RS
6621 }
6622 else
6623 {
6624 if (((word >> 31) & 0x1) == 0)
6625 {
6626 /* 33222222222211111111110000000000
6627 10987654321098765432109876543210
7684e580 6628 011001x0xx0xxxxx1x0xxxxxxxxxxxxx
582e12bf 6629 fcadd. */
b731bc3b 6630 return 1366;
582e12bf 6631 }
c0890d26
RS
6632 else
6633 {
6634 if (((word >> 22) & 0x1) == 0)
6635 {
6636 if (((word >> 23) & 0x1) == 0)
6637 {
6638 /* 33222222222211111111110000000000
6639 10987654321098765432109876543210
7684e580 6640 111001x0000xxxxx1x0xxxxxxxxxxxxx
c0890d26 6641 st1b. */
b731bc3b 6642 return 1845;
c0890d26
RS
6643 }
6644 else
6645 {
6646 /* 33222222222211111111110000000000
6647 10987654321098765432109876543210
7684e580 6648 111001x0100xxxxx1x0xxxxxxxxxxxxx
c0890d26 6649 st1h. */
b731bc3b 6650 return 1864;
c0890d26
RS
6651 }
6652 }
6653 else
6654 {
6655 if (((word >> 23) & 0x1) == 0)
6656 {
6657 /* 33222222222211111111110000000000
6658 10987654321098765432109876543210
7684e580 6659 111001x0010xxxxx1x0xxxxxxxxxxxxx
c0890d26 6660 st1b. */
b731bc3b 6661 return 1849;
c0890d26
RS
6662 }
6663 else
6664 {
6665 /* 33222222222211111111110000000000
6666 10987654321098765432109876543210
7684e580 6667 111001x0110xxxxx1x0xxxxxxxxxxxxx
c0890d26 6668 st1h. */
b731bc3b 6669 return 1870;
c0890d26
RS
6670 }
6671 }
6672 }
6673 }
6674 }
6675 else
6676 {
582e12bf 6677 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
6678 {
6679 if (((word >> 30) & 0x1) == 0)
6680 {
6681 if (((word >> 31) & 0x1) == 0)
6682 {
6683 if (((word >> 4) & 0x1) == 0)
6684 {
6685 /* 33222222222211111111110000000000
6686 10987654321098765432109876543210
7684e580 6687 001001x0xx0xxxxx101xxxxxxxx0xxxx
582e12bf 6688 cmpeq. */
b731bc3b 6689 return 1299;
c0890d26
RS
6690 }
6691 else
6692 {
6693 /* 33222222222211111111110000000000
6694 10987654321098765432109876543210
7684e580 6695 001001x0xx0xxxxx101xxxxxxxx1xxxx
582e12bf 6696 cmpne. */
b731bc3b 6697 return 1322;
c0890d26
RS
6698 }
6699 }
6700 else
6701 {
582e12bf 6702 if (((word >> 20) & 0x1) == 0)
c0890d26 6703 {
582e12bf 6704 if (((word >> 22) & 0x1) == 0)
c0890d26 6705 {
582e12bf
RS
6706 if (((word >> 23) & 0x1) == 0)
6707 {
6708 /* 33222222222211111111110000000000
6709 10987654321098765432109876543210
7684e580 6710 101001x00000xxxx101xxxxxxxxxxxxx
582e12bf 6711 ld1b. */
b731bc3b 6712 return 1489;
582e12bf
RS
6713 }
6714 else
6715 {
6716 /* 33222222222211111111110000000000
6717 10987654321098765432109876543210
7684e580 6718 101001x01000xxxx101xxxxxxxxxxxxx
582e12bf 6719 ld1sw. */
b731bc3b 6720 return 1567;
582e12bf 6721 }
c0890d26
RS
6722 }
6723 else
6724 {
582e12bf
RS
6725 if (((word >> 23) & 0x1) == 0)
6726 {
6727 /* 33222222222211111111110000000000
6728 10987654321098765432109876543210
7684e580 6729 101001x00100xxxx101xxxxxxxxxxxxx
582e12bf 6730 ld1b. */
b731bc3b 6731 return 1491;
582e12bf
RS
6732 }
6733 else
6734 {
6735 /* 33222222222211111111110000000000
6736 10987654321098765432109876543210
7684e580 6737 101001x01100xxxx101xxxxxxxxxxxxx
582e12bf 6738 ld1h. */
b731bc3b 6739 return 1512;
582e12bf 6740 }
c0890d26
RS
6741 }
6742 }
6743 else
6744 {
582e12bf 6745 if (((word >> 22) & 0x1) == 0)
c0890d26 6746 {
582e12bf
RS
6747 if (((word >> 23) & 0x1) == 0)
6748 {
6749 /* 33222222222211111111110000000000
6750 10987654321098765432109876543210
7684e580 6751 101001x00001xxxx101xxxxxxxxxxxxx
582e12bf 6752 ldnf1b. */
b731bc3b 6753 return 1681;
582e12bf
RS
6754 }
6755 else
6756 {
6757 /* 33222222222211111111110000000000
6758 10987654321098765432109876543210
7684e580 6759 101001x01001xxxx101xxxxxxxxxxxxx
582e12bf 6760 ldnf1sw. */
b731bc3b 6761 return 1694;
582e12bf 6762 }
c0890d26
RS
6763 }
6764 else
6765 {
582e12bf
RS
6766 if (((word >> 23) & 0x1) == 0)
6767 {
6768 /* 33222222222211111111110000000000
6769 10987654321098765432109876543210
7684e580 6770 101001x00101xxxx101xxxxxxxxxxxxx
582e12bf 6771 ldnf1b. */
b731bc3b 6772 return 1683;
582e12bf
RS
6773 }
6774 else
6775 {
6776 /* 33222222222211111111110000000000
6777 10987654321098765432109876543210
7684e580 6778 101001x01101xxxx101xxxxxxxxxxxxx
582e12bf 6779 ldnf1h. */
b731bc3b 6780 return 1687;
582e12bf 6781 }
c0890d26
RS
6782 }
6783 }
6784 }
6785 }
6786 else
6787 {
6788 if (((word >> 22) & 0x1) == 0)
6789 {
6790 if (((word >> 23) & 0x1) == 0)
6791 {
6792 /* 33222222222211111111110000000000
6793 10987654321098765432109876543210
7684e580 6794 x11001x0000xxxxx101xxxxxxxxxxxxx
582e12bf 6795 st1b. */
b731bc3b 6796 return 1846;
c0890d26
RS
6797 }
6798 else
6799 {
6800 /* 33222222222211111111110000000000
6801 10987654321098765432109876543210
7684e580 6802 x11001x0100xxxxx101xxxxxxxxxxxxx
582e12bf 6803 st1h. */
b731bc3b 6804 return 1865;
c0890d26
RS
6805 }
6806 }
6807 else
6808 {
6809 if (((word >> 23) & 0x1) == 0)
6810 {
6811 /* 33222222222211111111110000000000
6812 10987654321098765432109876543210
7684e580 6813 x11001x0010xxxxx101xxxxxxxxxxxxx
582e12bf 6814 st1b. */
b731bc3b 6815 return 1853;
c0890d26
RS
6816 }
6817 else
6818 {
6819 /* 33222222222211111111110000000000
6820 10987654321098765432109876543210
7684e580 6821 x11001x0110xxxxx101xxxxxxxxxxxxx
582e12bf 6822 st1h. */
b731bc3b 6823 return 1874;
c0890d26
RS
6824 }
6825 }
6826 }
6827 }
6828 else
6829 {
6830 if (((word >> 30) & 0x1) == 0)
6831 {
6832 if (((word >> 31) & 0x1) == 0)
6833 {
6834 if (((word >> 4) & 0x1) == 0)
6835 {
6836 /* 33222222222211111111110000000000
6837 10987654321098765432109876543210
7684e580 6838 001001x0xx0xxxxx111xxxxxxxx0xxxx
c0890d26 6839 cmplo. */
b731bc3b 6840 return 1315;
c0890d26
RS
6841 }
6842 else
6843 {
6844 /* 33222222222211111111110000000000
6845 10987654321098765432109876543210
7684e580 6846 001001x0xx0xxxxx111xxxxxxxx1xxxx
c0890d26 6847 cmpls. */
b731bc3b 6848 return 1317;
c0890d26
RS
6849 }
6850 }
6851 else
6852 {
6853 if (((word >> 22) & 0x1) == 0)
6854 {
6855 if (((word >> 23) & 0x1) == 0)
6856 {
6857 /* 33222222222211111111110000000000
6858 10987654321098765432109876543210
7684e580 6859 101001x0000xxxxx111xxxxxxxxxxxxx
c0890d26 6860 ldnt1b. */
b731bc3b 6861 return 1698;
c0890d26
RS
6862 }
6863 else
6864 {
6865 /* 33222222222211111111110000000000
6866 10987654321098765432109876543210
7684e580 6867 101001x0100xxxxx111xxxxxxxxxxxxx
c0890d26 6868 ldnt1h. */
b731bc3b 6869 return 1702;
c0890d26
RS
6870 }
6871 }
6872 else
6873 {
6874 if (((word >> 23) & 0x1) == 0)
6875 {
6876 /* 33222222222211111111110000000000
6877 10987654321098765432109876543210
7684e580 6878 101001x0010xxxxx111xxxxxxxxxxxxx
c0890d26 6879 ld3b. */
b731bc3b 6880 return 1590;
c0890d26
RS
6881 }
6882 else
6883 {
6884 /* 33222222222211111111110000000000
6885 10987654321098765432109876543210
7684e580 6886 101001x0110xxxxx111xxxxxxxxxxxxx
c0890d26 6887 ld3h. */
b731bc3b 6888 return 1594;
c0890d26
RS
6889 }
6890 }
6891 }
6892 }
6893 else
6894 {
6895 if (((word >> 20) & 0x1) == 0)
6896 {
6897 if (((word >> 22) & 0x1) == 0)
6898 {
6899 /* 33222222222211111111110000000000
6900 10987654321098765432109876543210
7684e580 6901 x11001x0x000xxxx111xxxxxxxxxxxxx
c0890d26 6902 st1b. */
b731bc3b 6903 return 1851;
c0890d26
RS
6904 }
6905 else
6906 {
6907 if (((word >> 23) & 0x1) == 0)
6908 {
6909 /* 33222222222211111111110000000000
6910 10987654321098765432109876543210
7684e580 6911 x11001x00100xxxx111xxxxxxxxxxxxx
c0890d26 6912 st1b. */
b731bc3b 6913 return 1854;
c0890d26
RS
6914 }
6915 else
6916 {
6917 /* 33222222222211111111110000000000
6918 10987654321098765432109876543210
7684e580 6919 x11001x01100xxxx111xxxxxxxxxxxxx
c0890d26 6920 st1h. */
b731bc3b 6921 return 1875;
c0890d26
RS
6922 }
6923 }
6924 }
6925 else
6926 {
6927 if (((word >> 22) & 0x1) == 0)
6928 {
6929 if (((word >> 23) & 0x1) == 0)
6930 {
6931 /* 33222222222211111111110000000000
6932 10987654321098765432109876543210
7684e580 6933 x11001x00001xxxx111xxxxxxxxxxxxx
c0890d26 6934 stnt1b. */
b731bc3b 6935 return 1915;
c0890d26
RS
6936 }
6937 else
6938 {
6939 /* 33222222222211111111110000000000
6940 10987654321098765432109876543210
7684e580 6941 x11001x01001xxxx111xxxxxxxxxxxxx
c0890d26 6942 stnt1h. */
b731bc3b 6943 return 1919;
c0890d26
RS
6944 }
6945 }
6946 else
6947 {
6948 if (((word >> 23) & 0x1) == 0)
6949 {
6950 /* 33222222222211111111110000000000
6951 10987654321098765432109876543210
7684e580 6952 x11001x00101xxxx111xxxxxxxxxxxxx
c0890d26 6953 st3b. */
b731bc3b 6954 return 1899;
c0890d26
RS
6955 }
6956 else
6957 {
6958 /* 33222222222211111111110000000000
6959 10987654321098765432109876543210
7684e580 6960 x11001x01101xxxx111xxxxxxxxxxxxx
c0890d26 6961 st3h. */
b731bc3b 6962 return 1903;
582e12bf
RS
6963 }
6964 }
6965 }
6966 }
6967 }
6968 }
6969 }
6970 }
6971 else
6972 {
6973 if (((word >> 13) & 0x1) == 0)
6974 {
6975 if (((word >> 30) & 0x1) == 0)
6976 {
6977 if (((word >> 31) & 0x1) == 0)
6978 {
6979 if (((word >> 4) & 0x1) == 0)
6980 {
6981 /* 33222222222211111111110000000000
6982 10987654321098765432109876543210
7684e580 6983 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
582e12bf 6984 cmphs. */
b731bc3b 6985 return 1312;
582e12bf
RS
6986 }
6987 else
6988 {
6989 /* 33222222222211111111110000000000
6990 10987654321098765432109876543210
7684e580 6991 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
582e12bf 6992 cmphi. */
b731bc3b 6993 return 1309;
582e12bf
RS
6994 }
6995 }
6996 else
6997 {
6998 if (((word >> 15) & 0x1) == 0)
6999 {
7000 if (((word >> 22) & 0x1) == 0)
7001 {
7002 if (((word >> 23) & 0x1) == 0)
7003 {
7004 /* 33222222222211111111110000000000
7005 10987654321098765432109876543210
7684e580 7006 101001x0001xxxxx0x0xxxxxxxxxxxxx
582e12bf 7007 ld1b. */
b731bc3b 7008 return 1483;
582e12bf
RS
7009 }
7010 else
7011 {
7012 /* 33222222222211111111110000000000
7013 10987654321098765432109876543210
7684e580 7014 101001x0101xxxxx0x0xxxxxxxxxxxxx
582e12bf 7015 ld1h. */
b731bc3b 7016 return 1503;
582e12bf
RS
7017 }
7018 }
7019 else
7020 {
7021 if (((word >> 23) & 0x1) == 0)
7022 {
7023 /* 33222222222211111111110000000000
7024 10987654321098765432109876543210
7684e580 7025 101001x0011xxxxx0x0xxxxxxxxxxxxx
582e12bf 7026 ld1b. */
b731bc3b 7027 return 1485;
582e12bf
RS
7028 }
7029 else
7030 {
7031 /* 33222222222211111111110000000000
7032 10987654321098765432109876543210
7684e580 7033 101001x0111xxxxx0x0xxxxxxxxxxxxx
582e12bf 7034 ld1h. */
b731bc3b 7035 return 1505;
582e12bf
RS
7036 }
7037 }
7038 }
7039 else
7040 {
7041 if (((word >> 22) & 0x1) == 0)
7042 {
7043 if (((word >> 23) & 0x1) == 0)
7044 {
7045 /* 33222222222211111111110000000000
7046 10987654321098765432109876543210
7684e580 7047 101001x0001xxxxx1x0xxxxxxxxxxxxx
582e12bf 7048 ld2b. */
b731bc3b 7049 return 1581;
582e12bf
RS
7050 }
7051 else
7052 {
7053 /* 33222222222211111111110000000000
7054 10987654321098765432109876543210
7684e580 7055 101001x0101xxxxx1x0xxxxxxxxxxxxx
582e12bf 7056 ld2h. */
b731bc3b 7057 return 1585;
582e12bf
RS
7058 }
7059 }
7060 else
7061 {
7062 if (((word >> 23) & 0x1) == 0)
7063 {
7064 /* 33222222222211111111110000000000
7065 10987654321098765432109876543210
7684e580 7066 101001x0011xxxxx1x0xxxxxxxxxxxxx
582e12bf 7067 ld4b. */
b731bc3b 7068 return 1597;
582e12bf
RS
7069 }
7070 else
7071 {
7072 /* 33222222222211111111110000000000
7073 10987654321098765432109876543210
7684e580 7074 101001x0111xxxxx1x0xxxxxxxxxxxxx
582e12bf 7075 ld4h. */
b731bc3b 7076 return 1601;
582e12bf
RS
7077 }
7078 }
7079 }
7080 }
7081 }
7082 else
7083 {
7084 if (((word >> 15) & 0x1) == 0)
7085 {
7086 if (((word >> 14) & 0x1) == 0)
7087 {
7088 if (((word >> 12) & 0x1) == 0)
7089 {
7090 if (((word >> 10) & 0x1) == 0)
7091 {
7092 if (((word >> 23) & 0x1) == 0)
7093 {
7094 /* 33222222222211111111110000000000
7095 10987654321098765432109876543210
7684e580 7096 x11001x00x1xxxxx0000x0xxxxxxxxxx
582e12bf 7097 fmla. */
b731bc3b 7098 return 1420;
582e12bf
RS
7099 }
7100 else
7101 {
7102 if (((word >> 22) & 0x1) == 0)
7103 {
7104 /* 33222222222211111111110000000000
7105 10987654321098765432109876543210
7684e580 7106 x11001x0101xxxxx0000x0xxxxxxxxxx
582e12bf 7107 fmla. */
b731bc3b 7108 return 1421;
582e12bf
RS
7109 }
7110 else
7111 {
7112 /* 33222222222211111111110000000000
7113 10987654321098765432109876543210
7684e580 7114 x11001x0111xxxxx0000x0xxxxxxxxxx
582e12bf 7115 fmla. */
b731bc3b 7116 return 1422;
582e12bf
RS
7117 }
7118 }
7119 }
7120 else
7121 {
7122 if (((word >> 23) & 0x1) == 0)
7123 {
7124 /* 33222222222211111111110000000000
7125 10987654321098765432109876543210
7684e580 7126 x11001x00x1xxxxx0000x1xxxxxxxxxx
582e12bf 7127 fmls. */
b731bc3b 7128 return 1424;
582e12bf
RS
7129 }
7130 else
7131 {
7132 if (((word >> 22) & 0x1) == 0)
7133 {
7134 /* 33222222222211111111110000000000
7135 10987654321098765432109876543210
7684e580 7136 x11001x0101xxxxx0000x1xxxxxxxxxx
582e12bf 7137 fmls. */
b731bc3b 7138 return 1425;
582e12bf
RS
7139 }
7140 else
7141 {
7142 /* 33222222222211111111110000000000
7143 10987654321098765432109876543210
7684e580 7144 x11001x0111xxxxx0000x1xxxxxxxxxx
582e12bf 7145 fmls. */
b731bc3b 7146 return 1426;
582e12bf 7147 }
c0890d26
RS
7148 }
7149 }
7150 }
582e12bf
RS
7151 else
7152 {
7153 if (((word >> 22) & 0x1) == 0)
7154 {
7155 /* 33222222222211111111110000000000
7156 10987654321098765432109876543210
7684e580 7157 x11001x0x01xxxxx0001xxxxxxxxxxxx
582e12bf 7158 fcmla. */
b731bc3b 7159 return 1368;
582e12bf
RS
7160 }
7161 else
7162 {
7163 /* 33222222222211111111110000000000
7164 10987654321098765432109876543210
7684e580 7165 x11001x0x11xxxxx0001xxxxxxxxxxxx
582e12bf 7166 fcmla. */
b731bc3b 7167 return 1369;
582e12bf
RS
7168 }
7169 }
7170 }
7171 else
7172 {
7173 if (((word >> 22) & 0x1) == 0)
7174 {
7175 if (((word >> 23) & 0x1) == 0)
7176 {
7177 /* 33222222222211111111110000000000
7178 10987654321098765432109876543210
7684e580 7179 x11001x0001xxxxx010xxxxxxxxxxxxx
582e12bf 7180 st1b. */
b731bc3b 7181 return 1847;
582e12bf
RS
7182 }
7183 else
7184 {
7185 /* 33222222222211111111110000000000
7186 10987654321098765432109876543210
7684e580 7187 x11001x0101xxxxx010xxxxxxxxxxxxx
582e12bf 7188 st1h. */
b731bc3b 7189 return 1866;
582e12bf
RS
7190 }
7191 }
7192 else
7193 {
7194 if (((word >> 23) & 0x1) == 0)
7195 {
7196 /* 33222222222211111111110000000000
7197 10987654321098765432109876543210
7684e580 7198 x11001x0011xxxxx010xxxxxxxxxxxxx
582e12bf 7199 st1b. */
b731bc3b 7200 return 1850;
582e12bf
RS
7201 }
7202 else
7203 {
7204 /* 33222222222211111111110000000000
7205 10987654321098765432109876543210
7684e580 7206 x11001x0111xxxxx010xxxxxxxxxxxxx
582e12bf 7207 st1h. */
b731bc3b 7208 return 1871;
582e12bf
RS
7209 }
7210 }
7211 }
7212 }
7213 else
7214 {
7215 if (((word >> 22) & 0x1) == 0)
7216 {
7217 /* 33222222222211111111110000000000
7218 10987654321098765432109876543210
7684e580 7219 x11001x0x01xxxxx1x0xxxxxxxxxxxxx
582e12bf 7220 st1h. */
b731bc3b 7221 return 1867;
582e12bf
RS
7222 }
7223 else
7224 {
7225 /* 33222222222211111111110000000000
7226 10987654321098765432109876543210
7684e580 7227 x11001x0x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 7228 st1h. */
b731bc3b 7229 return 1872;
c0890d26
RS
7230 }
7231 }
7232 }
7233 }
7234 else
7235 {
7236 if (((word >> 30) & 0x1) == 0)
7237 {
7238 if (((word >> 31) & 0x1) == 0)
7239 {
7240 if (((word >> 4) & 0x1) == 0)
7241 {
7242 /* 33222222222211111111110000000000
7243 10987654321098765432109876543210
7684e580 7244 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
c0890d26 7245 cmplo. */
b731bc3b 7246 return 1316;
c0890d26
RS
7247 }
7248 else
7249 {
7250 /* 33222222222211111111110000000000
7251 10987654321098765432109876543210
7684e580 7252 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
c0890d26 7253 cmpls. */
b731bc3b 7254 return 1318;
c0890d26
RS
7255 }
7256 }
7257 else
7258 {
7259 if (((word >> 14) & 0x1) == 0)
7260 {
7261 if (((word >> 20) & 0x1) == 0)
7262 {
7263 if (((word >> 22) & 0x1) == 0)
7264 {
7265 if (((word >> 23) & 0x1) == 0)
7266 {
7267 /* 33222222222211111111110000000000
7268 10987654321098765432109876543210
7684e580 7269 101001x00010xxxxx01xxxxxxxxxxxxx
c0890d26 7270 ld1b. */
b731bc3b 7271 return 1490;
c0890d26
RS
7272 }
7273 else
7274 {
7275 /* 33222222222211111111110000000000
7276 10987654321098765432109876543210
7684e580 7277 101001x01010xxxxx01xxxxxxxxxxxxx
c0890d26 7278 ld1h. */
b731bc3b 7279 return 1511;
c0890d26
RS
7280 }
7281 }
7282 else
7283 {
7284 if (((word >> 23) & 0x1) == 0)
7285 {
7286 /* 33222222222211111111110000000000
7287 10987654321098765432109876543210
7684e580 7288 101001x00110xxxxx01xxxxxxxxxxxxx
c0890d26 7289 ld1b. */
b731bc3b 7290 return 1492;
c0890d26
RS
7291 }
7292 else
7293 {
7294 /* 33222222222211111111110000000000
7295 10987654321098765432109876543210
7684e580 7296 101001x01110xxxxx01xxxxxxxxxxxxx
c0890d26 7297 ld1h. */
b731bc3b 7298 return 1513;
c0890d26
RS
7299 }
7300 }
7301 }
7302 else
7303 {
7304 if (((word >> 22) & 0x1) == 0)
7305 {
7306 if (((word >> 23) & 0x1) == 0)
7307 {
7308 /* 33222222222211111111110000000000
7309 10987654321098765432109876543210
7684e580 7310 101001x00011xxxxx01xxxxxxxxxxxxx
c0890d26 7311 ldnf1b. */
b731bc3b 7312 return 1682;
c0890d26
RS
7313 }
7314 else
7315 {
7316 /* 33222222222211111111110000000000
7317 10987654321098765432109876543210
7684e580 7318 101001x01011xxxxx01xxxxxxxxxxxxx
c0890d26 7319 ldnf1h. */
b731bc3b 7320 return 1686;
c0890d26
RS
7321 }
7322 }
7323 else
7324 {
7325 if (((word >> 23) & 0x1) == 0)
7326 {
7327 /* 33222222222211111111110000000000
7328 10987654321098765432109876543210
7684e580 7329 101001x00111xxxxx01xxxxxxxxxxxxx
c0890d26 7330 ldnf1b. */
b731bc3b 7331 return 1684;
c0890d26
RS
7332 }
7333 else
7334 {
7335 /* 33222222222211111111110000000000
7336 10987654321098765432109876543210
7684e580 7337 101001x01111xxxxx01xxxxxxxxxxxxx
c0890d26 7338 ldnf1h. */
b731bc3b 7339 return 1688;
c0890d26
RS
7340 }
7341 }
7342 }
7343 }
7344 else
7345 {
7346 if (((word >> 15) & 0x1) == 0)
7347 {
7348 if (((word >> 22) & 0x1) == 0)
7349 {
7350 if (((word >> 23) & 0x1) == 0)
7351 {
7352 /* 33222222222211111111110000000000
7353 10987654321098765432109876543210
7684e580 7354 101001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7355 ldff1b. */
b731bc3b 7356 return 1608;
c0890d26
RS
7357 }
7358 else
7359 {
7360 /* 33222222222211111111110000000000
7361 10987654321098765432109876543210
7684e580 7362 101001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7363 ldff1h. */
b731bc3b 7364 return 1627;
c0890d26
RS
7365 }
7366 }
7367 else
7368 {
7369 if (((word >> 23) & 0x1) == 0)
7370 {
7371 /* 33222222222211111111110000000000
7372 10987654321098765432109876543210
7684e580 7373 101001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7374 ldff1b. */
b731bc3b 7375 return 1612;
c0890d26
RS
7376 }
7377 else
7378 {
7379 /* 33222222222211111111110000000000
7380 10987654321098765432109876543210
7684e580 7381 101001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7382 ldff1h. */
b731bc3b 7383 return 1631;
c0890d26
RS
7384 }
7385 }
7386 }
7387 else
7388 {
7389 if (((word >> 22) & 0x1) == 0)
7390 {
7391 if (((word >> 23) & 0x1) == 0)
7392 {
7393 /* 33222222222211111111110000000000
7394 10987654321098765432109876543210
7684e580 7395 101001x0001xxxxx111xxxxxxxxxxxxx
c0890d26 7396 ld2b. */
b731bc3b 7397 return 1582;
c0890d26
RS
7398 }
7399 else
7400 {
7401 /* 33222222222211111111110000000000
7402 10987654321098765432109876543210
7684e580 7403 101001x0101xxxxx111xxxxxxxxxxxxx
c0890d26 7404 ld2h. */
b731bc3b 7405 return 1586;
c0890d26
RS
7406 }
7407 }
7408 else
7409 {
7410 if (((word >> 23) & 0x1) == 0)
7411 {
7412 /* 33222222222211111111110000000000
7413 10987654321098765432109876543210
7684e580 7414 101001x0011xxxxx111xxxxxxxxxxxxx
c0890d26 7415 ld4b. */
b731bc3b 7416 return 1598;
c0890d26
RS
7417 }
7418 else
7419 {
7420 /* 33222222222211111111110000000000
7421 10987654321098765432109876543210
7684e580 7422 101001x0111xxxxx111xxxxxxxxxxxxx
c0890d26 7423 ld4h. */
b731bc3b 7424 return 1602;
c0890d26
RS
7425 }
7426 }
7427 }
7428 }
7429 }
7430 }
7431 else
7432 {
7433 if (((word >> 14) & 0x1) == 0)
7434 {
582e12bf 7435 if (((word >> 15) & 0x1) == 0)
c0890d26
RS
7436 {
7437 if (((word >> 23) & 0x1) == 0)
7438 {
7439 /* 33222222222211111111110000000000
7440 10987654321098765432109876543210
7684e580 7441 x11001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf 7442 fmul. */
b731bc3b 7443 return 1431;
c0890d26
RS
7444 }
7445 else
582e12bf
RS
7446 {
7447 if (((word >> 22) & 0x1) == 0)
7448 {
7449 /* 33222222222211111111110000000000
7450 10987654321098765432109876543210
7684e580 7451 x11001x0101xxxxx001xxxxxxxxxxxxx
582e12bf 7452 fmul. */
b731bc3b 7453 return 1432;
582e12bf
RS
7454 }
7455 else
7456 {
7457 /* 33222222222211111111110000000000
7458 10987654321098765432109876543210
7684e580 7459 x11001x0111xxxxx001xxxxxxxxxxxxx
582e12bf 7460 fmul. */
b731bc3b 7461 return 1433;
582e12bf
RS
7462 }
7463 }
7464 }
7465 else
7466 {
7467 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
7468 {
7469 /* 33222222222211111111110000000000
7470 10987654321098765432109876543210
7684e580 7471 x11001x0x01xxxxx101xxxxxxxxxxxxx
c0890d26 7472 st1h. */
b731bc3b 7473 return 1868;
582e12bf
RS
7474 }
7475 else
7476 {
7477 if (((word >> 23) & 0x1) == 0)
7478 {
7479 /* 33222222222211111111110000000000
7480 10987654321098765432109876543210
7684e580 7481 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 7482 st1b. */
b731bc3b 7483 return 1855;
582e12bf
RS
7484 }
7485 else
7486 {
7487 /* 33222222222211111111110000000000
7488 10987654321098765432109876543210
7684e580 7489 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 7490 st1h. */
b731bc3b 7491 return 1876;
582e12bf 7492 }
c0890d26
RS
7493 }
7494 }
7495 }
7496 else
7497 {
7498 if (((word >> 15) & 0x1) == 0)
7499 {
7500 if (((word >> 22) & 0x1) == 0)
7501 {
7502 if (((word >> 23) & 0x1) == 0)
7503 {
7504 /* 33222222222211111111110000000000
7505 10987654321098765432109876543210
7684e580 7506 x11001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7507 st2b. */
b731bc3b 7508 return 1890;
c0890d26
RS
7509 }
7510 else
7511 {
7512 /* 33222222222211111111110000000000
7513 10987654321098765432109876543210
7684e580 7514 x11001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7515 st2h. */
b731bc3b 7516 return 1894;
c0890d26
RS
7517 }
7518 }
7519 else
7520 {
7521 if (((word >> 23) & 0x1) == 0)
7522 {
7523 /* 33222222222211111111110000000000
7524 10987654321098765432109876543210
7684e580 7525 x11001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7526 st4b. */
b731bc3b 7527 return 1906;
c0890d26
RS
7528 }
7529 else
7530 {
7531 /* 33222222222211111111110000000000
7532 10987654321098765432109876543210
7684e580 7533 x11001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7534 st4h. */
b731bc3b 7535 return 1910;
c0890d26
RS
7536 }
7537 }
7538 }
7539 else
7540 {
7541 if (((word >> 20) & 0x1) == 0)
7542 {
7543 if (((word >> 22) & 0x1) == 0)
7544 {
7545 if (((word >> 23) & 0x1) == 0)
7546 {
7547 /* 33222222222211111111110000000000
7548 10987654321098765432109876543210
7684e580 7549 x11001x00010xxxx111xxxxxxxxxxxxx
c0890d26 7550 st1b. */
b731bc3b 7551 return 1852;
c0890d26
RS
7552 }
7553 else
7554 {
7555 /* 33222222222211111111110000000000
7556 10987654321098765432109876543210
7684e580 7557 x11001x01010xxxx111xxxxxxxxxxxxx
c0890d26 7558 st1h. */
b731bc3b 7559 return 1873;
c0890d26
RS
7560 }
7561 }
7562 else
7563 {
7564 if (((word >> 23) & 0x1) == 0)
7565 {
7566 /* 33222222222211111111110000000000
7567 10987654321098765432109876543210
7684e580 7568 x11001x00110xxxx111xxxxxxxxxxxxx
c0890d26 7569 st1b. */
b731bc3b 7570 return 1856;
c0890d26
RS
7571 }
7572 else
7573 {
7574 /* 33222222222211111111110000000000
7575 10987654321098765432109876543210
7684e580 7576 x11001x01110xxxx111xxxxxxxxxxxxx
c0890d26 7577 st1h. */
b731bc3b 7578 return 1877;
c0890d26
RS
7579 }
7580 }
7581 }
7582 else
7583 {
7584 if (((word >> 22) & 0x1) == 0)
7585 {
7586 if (((word >> 23) & 0x1) == 0)
7587 {
7588 /* 33222222222211111111110000000000
7589 10987654321098765432109876543210
7684e580 7590 x11001x00011xxxx111xxxxxxxxxxxxx
c0890d26 7591 st2b. */
b731bc3b 7592 return 1891;
c0890d26
RS
7593 }
7594 else
7595 {
7596 /* 33222222222211111111110000000000
7597 10987654321098765432109876543210
7684e580 7598 x11001x01011xxxx111xxxxxxxxxxxxx
c0890d26 7599 st2h. */
b731bc3b 7600 return 1895;
c0890d26
RS
7601 }
7602 }
7603 else
7604 {
7605 if (((word >> 23) & 0x1) == 0)
7606 {
7607 /* 33222222222211111111110000000000
7608 10987654321098765432109876543210
7684e580 7609 x11001x00111xxxx111xxxxxxxxxxxxx
c0890d26 7610 st4b. */
b731bc3b 7611 return 1907;
c0890d26
RS
7612 }
7613 else
7614 {
7615 /* 33222222222211111111110000000000
7616 10987654321098765432109876543210
7684e580 7617 x11001x01111xxxx111xxxxxxxxxxxxx
c0890d26 7618 st4h. */
b731bc3b 7619 return 1911;
c0890d26
RS
7620 }
7621 }
7622 }
7623 }
7624 }
7625 }
7626 }
7627 }
7628 }
7629 }
7630 else
7631 {
7632 if (((word >> 29) & 0x1) == 0)
7633 {
7634 if (((word >> 30) & 0x1) == 0)
7635 {
7636 if (((word >> 31) & 0x1) == 0)
7637 {
7638 if (((word >> 21) & 0x1) == 0)
7639 {
7640 if (((word >> 20) & 0x1) == 0)
7641 {
7642 if (((word >> 22) & 0x1) == 0)
7643 {
7644 if (((word >> 23) & 0x1) == 0)
7645 {
7646 /* 33222222222211111111110000000000
7647 10987654321098765432109876543210
7684e580 7648 000001x10000xxxxxxxxxxxxxxxxxxxx
c0890d26 7649 orr. */
b731bc3b 7650 return 1736;
c0890d26
RS
7651 }
7652 else
7653 {
7654 /* 33222222222211111111110000000000
7655 10987654321098765432109876543210
7684e580 7656 000001x11000xxxxxxxxxxxxxxxxxxxx
c0890d26 7657 and. */
b731bc3b 7658 return 1264;
c0890d26
RS
7659 }
7660 }
7661 else
7662 {
7663 if (((word >> 23) & 0x1) == 0)
7664 {
7665 /* 33222222222211111111110000000000
7666 10987654321098765432109876543210
7684e580 7667 000001x10100xxxxxxxxxxxxxxxxxxxx
c0890d26 7668 eor. */
b731bc3b 7669 return 1351;
c0890d26
RS
7670 }
7671 else
7672 {
7673 /* 33222222222211111111110000000000
7674 10987654321098765432109876543210
7684e580 7675 000001x11100xxxxxxxxxxxxxxxxxxxx
c0890d26 7676 dupm. */
b731bc3b 7677 return 1349;
c0890d26
RS
7678 }
7679 }
7680 }
7681 else
7682 {
7683 if (((word >> 15) & 0x1) == 0)
7684 {
7685 /* 33222222222211111111110000000000
7686 10987654321098765432109876543210
7684e580 7687 000001x1xx01xxxx0xxxxxxxxxxxxxxx
c0890d26 7688 cpy. */
b731bc3b 7689 return 1334;
c0890d26
RS
7690 }
7691 else
7692 {
7693 /* 33222222222211111111110000000000
7694 10987654321098765432109876543210
7684e580 7695 000001x1xx01xxxx1xxxxxxxxxxxxxxx
c0890d26 7696 fcpy. */
b731bc3b 7697 return 1381;
c0890d26
RS
7698 }
7699 }
7700 }
7701 else
7702 {
7703 if (((word >> 14) & 0x1) == 0)
7704 {
7705 if (((word >> 13) & 0x1) == 0)
7706 {
7707 if (((word >> 15) & 0x1) == 0)
7708 {
7709 /* 33222222222211111111110000000000
7710 10987654321098765432109876543210
7684e580 7711 000001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 7712 ext. */
b731bc3b 7713 return 1356;
c0890d26
RS
7714 }
7715 else
7716 {
7717 if (((word >> 16) & 0x1) == 0)
7718 {
7719 if (((word >> 17) & 0x1) == 0)
7720 {
7721 if (((word >> 18) & 0x1) == 0)
7722 {
7723 if (((word >> 19) & 0x1) == 0)
7724 {
7725 /* 33222222222211111111110000000000
7726 10987654321098765432109876543210
7684e580 7727 000001x1xx1x0000100xxxxxxxxxxxxx
c0890d26 7728 cpy. */
b731bc3b 7729 return 1332;
c0890d26
RS
7730 }
7731 else
7732 {
7733 /* 33222222222211111111110000000000
7734 10987654321098765432109876543210
7684e580 7735 000001x1xx1x1000100xxxxxxxxxxxxx
c0890d26 7736 clasta. */
b731bc3b 7737 return 1290;
c0890d26
RS
7738 }
7739 }
7740 else
7741 {
7742 if (((word >> 19) & 0x1) == 0)
7743 {
7744 /* 33222222222211111111110000000000
7745 10987654321098765432109876543210
7684e580 7746 000001x1xx1x0100100xxxxxxxxxxxxx
c0890d26 7747 revb. */
b731bc3b 7748 return 1784;
c0890d26
RS
7749 }
7750 else
7751 {
7752 /* 33222222222211111111110000000000
7753 10987654321098765432109876543210
7684e580 7754 000001x1xx1x1100100xxxxxxxxxxxxx
c0890d26 7755 splice. */
b731bc3b 7756 return 1811;
c0890d26
RS
7757 }
7758 }
7759 }
7760 else
7761 {
7762 if (((word >> 18) & 0x1) == 0)
7763 {
7764 if (((word >> 19) & 0x1) == 0)
7765 {
7766 /* 33222222222211111111110000000000
7767 10987654321098765432109876543210
7684e580 7768 000001x1xx1x0010100xxxxxxxxxxxxx
c0890d26 7769 lasta. */
b731bc3b 7770 return 1478;
c0890d26
RS
7771 }
7772 else
7773 {
7774 /* 33222222222211111111110000000000
7775 10987654321098765432109876543210
7684e580 7776 000001x1xx1x1010100xxxxxxxxxxxxx
c0890d26 7777 clasta. */
b731bc3b 7778 return 1291;
c0890d26
RS
7779 }
7780 }
7781 else
7782 {
7783 /* 33222222222211111111110000000000
7784 10987654321098765432109876543210
7684e580 7785 000001x1xx1xx110100xxxxxxxxxxxxx
c0890d26 7786 revw. */
b731bc3b 7787 return 1786;
c0890d26
RS
7788 }
7789 }
7790 }
7791 else
7792 {
7793 if (((word >> 17) & 0x1) == 0)
7794 {
7795 if (((word >> 18) & 0x1) == 0)
7796 {
7797 if (((word >> 19) & 0x1) == 0)
7798 {
7799 /* 33222222222211111111110000000000
7800 10987654321098765432109876543210
7684e580 7801 000001x1xx1x0001100xxxxxxxxxxxxx
c0890d26 7802 compact. */
b731bc3b 7803 return 1331;
c0890d26
RS
7804 }
7805 else
7806 {
7807 /* 33222222222211111111110000000000
7808 10987654321098765432109876543210
7684e580 7809 000001x1xx1x1001100xxxxxxxxxxxxx
c0890d26 7810 clastb. */
b731bc3b 7811 return 1293;
c0890d26
RS
7812 }
7813 }
7814 else
7815 {
7816 /* 33222222222211111111110000000000
7817 10987654321098765432109876543210
7684e580 7818 000001x1xx1xx101100xxxxxxxxxxxxx
c0890d26 7819 revh. */
b731bc3b 7820 return 1785;
c0890d26
RS
7821 }
7822 }
7823 else
7824 {
7825 if (((word >> 18) & 0x1) == 0)
7826 {
7827 if (((word >> 19) & 0x1) == 0)
7828 {
7829 /* 33222222222211111111110000000000
7830 10987654321098765432109876543210
7684e580 7831 000001x1xx1x0011100xxxxxxxxxxxxx
c0890d26 7832 lastb. */
b731bc3b 7833 return 1480;
c0890d26
RS
7834 }
7835 else
7836 {
7837 /* 33222222222211111111110000000000
7838 10987654321098765432109876543210
7684e580 7839 000001x1xx1x1011100xxxxxxxxxxxxx
c0890d26 7840 clastb. */
b731bc3b 7841 return 1294;
c0890d26
RS
7842 }
7843 }
7844 else
7845 {
7846 /* 33222222222211111111110000000000
7847 10987654321098765432109876543210
7684e580 7848 000001x1xx1xx111100xxxxxxxxxxxxx
c0890d26 7849 rbit. */
b731bc3b 7850 return 1777;
c0890d26
RS
7851 }
7852 }
7853 }
7854 }
7855 }
7856 else
7857 {
7858 if (((word >> 15) & 0x1) == 0)
7859 {
7860 if (((word >> 11) & 0x1) == 0)
7861 {
7862 if (((word >> 12) & 0x1) == 0)
7863 {
7864 /* 33222222222211111111110000000000
7865 10987654321098765432109876543210
7684e580 7866 000001x1xx1xxxxx00100xxxxxxxxxxx
c0890d26 7867 dup. */
b731bc3b 7868 return 1347;
c0890d26
RS
7869 }
7870 else
7871 {
7872 /* 33222222222211111111110000000000
7873 10987654321098765432109876543210
7684e580 7874 000001x1xx1xxxxx00110xxxxxxxxxxx
c0890d26 7875 tbl. */
b731bc3b 7876 return 1934;
c0890d26
RS
7877 }
7878 }
7879 else
7880 {
7881 if (((word >> 16) & 0x1) == 0)
7882 {
7883 if (((word >> 17) & 0x1) == 0)
7884 {
7885 if (((word >> 18) & 0x1) == 0)
7886 {
7887 if (((word >> 19) & 0x1) == 0)
7888 {
7889 if (((word >> 20) & 0x1) == 0)
7890 {
7891 /* 33222222222211111111110000000000
7892 10987654321098765432109876543210
7684e580 7893 000001x1xx100000001x1xxxxxxxxxxx
c0890d26 7894 dup. */
b731bc3b 7895 return 1346;
c0890d26
RS
7896 }
7897 else
7898 {
7899 /* 33222222222211111111110000000000
7900 10987654321098765432109876543210
7684e580 7901 000001x1xx110000001x1xxxxxxxxxxx
c0890d26 7902 sunpklo. */
b731bc3b 7903 return 1930;
c0890d26
RS
7904 }
7905 }
7906 else
7907 {
7908 /* 33222222222211111111110000000000
7909 10987654321098765432109876543210
7684e580 7910 000001x1xx1x1000001x1xxxxxxxxxxx
c0890d26 7911 rev. */
b731bc3b 7912 return 1783;
c0890d26
RS
7913 }
7914 }
7915 else
7916 {
7917 if (((word >> 20) & 0x1) == 0)
7918 {
7919 /* 33222222222211111111110000000000
7920 10987654321098765432109876543210
7684e580 7921 000001x1xx10x100001x1xxxxxxxxxxx
c0890d26 7922 insr. */
b731bc3b 7923 return 1475;
c0890d26
RS
7924 }
7925 else
7926 {
7927 /* 33222222222211111111110000000000
7928 10987654321098765432109876543210
7684e580 7929 000001x1xx11x100001x1xxxxxxxxxxx
c0890d26 7930 insr. */
b731bc3b 7931 return 1476;
c0890d26
RS
7932 }
7933 }
7934 }
7935 else
7936 {
7937 /* 33222222222211111111110000000000
7938 10987654321098765432109876543210
7684e580 7939 000001x1xx1xxx10001x1xxxxxxxxxxx
c0890d26 7940 uunpklo. */
b731bc3b 7941 return 1993;
c0890d26
RS
7942 }
7943 }
7944 else
7945 {
7946 if (((word >> 17) & 0x1) == 0)
7947 {
7948 /* 33222222222211111111110000000000
7949 10987654321098765432109876543210
7684e580 7950 000001x1xx1xxx01001x1xxxxxxxxxxx
c0890d26 7951 sunpkhi. */
b731bc3b 7952 return 1929;
c0890d26
RS
7953 }
7954 else
7955 {
7956 /* 33222222222211111111110000000000
7957 10987654321098765432109876543210
7684e580 7958 000001x1xx1xxx11001x1xxxxxxxxxxx
c0890d26 7959 uunpkhi. */
b731bc3b 7960 return 1992;
c0890d26
RS
7961 }
7962 }
7963 }
7964 }
7965 else
7966 {
7967 if (((word >> 16) & 0x1) == 0)
7968 {
7969 if (((word >> 19) & 0x1) == 0)
7970 {
7971 if (((word >> 20) & 0x1) == 0)
7972 {
7973 /* 33222222222211111111110000000000
7974 10987654321098765432109876543210
7684e580 7975 000001x1xx100xx0101xxxxxxxxxxxxx
c0890d26 7976 lasta. */
b731bc3b 7977 return 1477;
c0890d26
RS
7978 }
7979 else
7980 {
7981 /* 33222222222211111111110000000000
7982 10987654321098765432109876543210
7684e580 7983 000001x1xx110xx0101xxxxxxxxxxxxx
c0890d26 7984 clasta. */
b731bc3b 7985 return 1292;
c0890d26
RS
7986 }
7987 }
7988 else
7989 {
7990 /* 33222222222211111111110000000000
7991 10987654321098765432109876543210
7684e580 7992 000001x1xx1x1xx0101xxxxxxxxxxxxx
c0890d26 7993 cpy. */
b731bc3b 7994 return 1333;
c0890d26
RS
7995 }
7996 }
7997 else
7998 {
7999 if (((word >> 20) & 0x1) == 0)
8000 {
8001 /* 33222222222211111111110000000000
8002 10987654321098765432109876543210
7684e580 8003 000001x1xx10xxx1101xxxxxxxxxxxxx
c0890d26 8004 lastb. */
b731bc3b 8005 return 1479;
c0890d26
RS
8006 }
8007 else
8008 {
8009 /* 33222222222211111111110000000000
8010 10987654321098765432109876543210
7684e580 8011 000001x1xx11xxx1101xxxxxxxxxxxxx
c0890d26 8012 clastb. */
b731bc3b 8013 return 1295;
c0890d26
RS
8014 }
8015 }
8016 }
8017 }
8018 }
8019 else
8020 {
8021 if (((word >> 15) & 0x1) == 0)
8022 {
8023 if (((word >> 10) & 0x1) == 0)
8024 {
8025 if (((word >> 11) & 0x1) == 0)
8026 {
8027 if (((word >> 12) & 0x1) == 0)
8028 {
8029 if (((word >> 13) & 0x1) == 0)
8030 {
8031 if (((word >> 20) & 0x1) == 0)
8032 {
8033 /* 33222222222211111111110000000000
8034 10987654321098765432109876543210
7684e580 8035 000001x1xx10xxxx010000xxxxxxxxxx
c0890d26 8036 zip1. */
b731bc3b 8037 return 2010;
c0890d26
RS
8038 }
8039 else
8040 {
8041 if (((word >> 16) & 0x1) == 0)
8042 {
8043 if (((word >> 18) & 0x1) == 0)
8044 {
8045 /* 33222222222211111111110000000000
8046 10987654321098765432109876543210
7684e580 8047 000001x1xx11x0x0010000xxxxxxxxxx
c0890d26 8048 punpklo. */
b731bc3b 8049 return 1776;
c0890d26
RS
8050 }
8051 else
8052 {
8053 /* 33222222222211111111110000000000
8054 10987654321098765432109876543210
7684e580 8055 000001x1xx11x1x0010000xxxxxxxxxx
c0890d26 8056 rev. */
b731bc3b 8057 return 1782;
c0890d26
RS
8058 }
8059 }
8060 else
8061 {
8062 /* 33222222222211111111110000000000
8063 10987654321098765432109876543210
7684e580 8064 000001x1xx11xxx1010000xxxxxxxxxx
c0890d26 8065 punpkhi. */
b731bc3b 8066 return 1775;
c0890d26
RS
8067 }
8068 }
8069 }
8070 else
8071 {
8072 /* 33222222222211111111110000000000
8073 10987654321098765432109876543210
7684e580 8074 000001x1xx1xxxxx011000xxxxxxxxxx
c0890d26 8075 zip1. */
b731bc3b 8076 return 2011;
c0890d26
RS
8077 }
8078 }
8079 else
8080 {
8081 if (((word >> 13) & 0x1) == 0)
8082 {
8083 /* 33222222222211111111110000000000
8084 10987654321098765432109876543210
7684e580 8085 000001x1xx1xxxxx010100xxxxxxxxxx
c0890d26 8086 trn1. */
b731bc3b 8087 return 1935;
c0890d26
RS
8088 }
8089 else
8090 {
8091 /* 33222222222211111111110000000000
8092 10987654321098765432109876543210
7684e580 8093 000001x1xx1xxxxx011100xxxxxxxxxx
c0890d26 8094 trn1. */
b731bc3b 8095 return 1936;
c0890d26
RS
8096 }
8097 }
8098 }
8099 else
8100 {
8101 if (((word >> 13) & 0x1) == 0)
8102 {
8103 /* 33222222222211111111110000000000
8104 10987654321098765432109876543210
7684e580 8105 000001x1xx1xxxxx010x10xxxxxxxxxx
c0890d26 8106 uzp1. */
b731bc3b 8107 return 1997;
c0890d26
RS
8108 }
8109 else
8110 {
8111 /* 33222222222211111111110000000000
8112 10987654321098765432109876543210
7684e580 8113 000001x1xx1xxxxx011x10xxxxxxxxxx
c0890d26 8114 uzp1. */
b731bc3b 8115 return 1998;
c0890d26
RS
8116 }
8117 }
8118 }
8119 else
8120 {
8121 if (((word >> 11) & 0x1) == 0)
8122 {
8123 if (((word >> 12) & 0x1) == 0)
8124 {
8125 if (((word >> 13) & 0x1) == 0)
8126 {
8127 /* 33222222222211111111110000000000
8128 10987654321098765432109876543210
7684e580 8129 000001x1xx1xxxxx010001xxxxxxxxxx
c0890d26 8130 zip2. */
b731bc3b 8131 return 2012;
c0890d26
RS
8132 }
8133 else
8134 {
8135 /* 33222222222211111111110000000000
8136 10987654321098765432109876543210
7684e580 8137 000001x1xx1xxxxx011001xxxxxxxxxx
c0890d26 8138 zip2. */
b731bc3b 8139 return 2013;
c0890d26
RS
8140 }
8141 }
8142 else
8143 {
8144 if (((word >> 13) & 0x1) == 0)
8145 {
8146 /* 33222222222211111111110000000000
8147 10987654321098765432109876543210
7684e580 8148 000001x1xx1xxxxx010101xxxxxxxxxx
c0890d26 8149 trn2. */
b731bc3b 8150 return 1937;
c0890d26
RS
8151 }
8152 else
8153 {
8154 /* 33222222222211111111110000000000
8155 10987654321098765432109876543210
7684e580 8156 000001x1xx1xxxxx011101xxxxxxxxxx
c0890d26 8157 trn2. */
b731bc3b 8158 return 1938;
c0890d26
RS
8159 }
8160 }
8161 }
8162 else
8163 {
8164 if (((word >> 13) & 0x1) == 0)
8165 {
8166 /* 33222222222211111111110000000000
8167 10987654321098765432109876543210
7684e580 8168 000001x1xx1xxxxx010x11xxxxxxxxxx
c0890d26 8169 uzp2. */
b731bc3b 8170 return 1999;
c0890d26
RS
8171 }
8172 else
8173 {
8174 /* 33222222222211111111110000000000
8175 10987654321098765432109876543210
7684e580 8176 000001x1xx1xxxxx011x11xxxxxxxxxx
c0890d26 8177 uzp2. */
b731bc3b 8178 return 2000;
c0890d26
RS
8179 }
8180 }
8181 }
8182 }
8183 else
8184 {
8185 /* 33222222222211111111110000000000
8186 10987654321098765432109876543210
7684e580 8187 000001x1xx1xxxxx11xxxxxxxxxxxxxx
c0890d26 8188 sel. */
b731bc3b 8189 return 1801;
c0890d26
RS
8190 }
8191 }
8192 }
8193 }
8194 else
8195 {
8196 if (((word >> 13) & 0x1) == 0)
8197 {
8198 if (((word >> 14) & 0x1) == 0)
8199 {
8200 if (((word >> 15) & 0x1) == 0)
8201 {
8202 if (((word >> 22) & 0x1) == 0)
8203 {
8204 /* 33222222222211111111110000000000
8205 10987654321098765432109876543210
7684e580 8206 100001x1x0xxxxxx000xxxxxxxxxxxxx
c0890d26 8207 ldr. */
b731bc3b 8208 return 1705;
c0890d26
RS
8209 }
8210 else
8211 {
8212 /* 33222222222211111111110000000000
8213 10987654321098765432109876543210
7684e580 8214 100001x1x1xxxxxx000xxxxxxxxxxxxx
c0890d26 8215 prfb. */
b731bc3b 8216 return 1749;
c0890d26
RS
8217 }
8218 }
8219 else
8220 {
8221 if (((word >> 23) & 0x1) == 0)
8222 {
8223 /* 33222222222211111111110000000000
8224 10987654321098765432109876543210
7684e580 8225 100001x10xxxxxxx100xxxxxxxxxxxxx
c0890d26 8226 ld1rsh. */
b731bc3b 8227 return 1534;
c0890d26
RS
8228 }
8229 else
8230 {
8231 /* 33222222222211111111110000000000
8232 10987654321098765432109876543210
7684e580 8233 100001x11xxxxxxx100xxxxxxxxxxxxx
c0890d26 8234 ld1rsb. */
b731bc3b 8235 return 1531;
c0890d26
RS
8236 }
8237 }
8238 }
8239 else
8240 {
8241 if (((word >> 15) & 0x1) == 0)
8242 {
8243 if (((word >> 23) & 0x1) == 0)
8244 {
8245 if (((word >> 21) & 0x1) == 0)
8246 {
8247 /* 33222222222211111111110000000000
8248 10987654321098765432109876543210
7684e580 8249 100001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8250 ld1w. */
b731bc3b 8251 return 1569;
c0890d26
RS
8252 }
8253 else
8254 {
8255 /* 33222222222211111111110000000000
8256 10987654321098765432109876543210
7684e580 8257 100001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8258 ld1w. */
b731bc3b 8259 return 1570;
c0890d26
RS
8260 }
8261 }
8262 else
8263 {
8264 if (((word >> 22) & 0x1) == 0)
8265 {
8266 /* 33222222222211111111110000000000
8267 10987654321098765432109876543210
7684e580 8268 100001x110xxxxxx010xxxxxxxxxxxxx
c0890d26 8269 ldr. */
b731bc3b 8270 return 1706;
c0890d26
RS
8271 }
8272 else
8273 {
8274 /* 33222222222211111111110000000000
8275 10987654321098765432109876543210
7684e580 8276 100001x111xxxxxx010xxxxxxxxxxxxx
c0890d26 8277 prfw. */
b731bc3b 8278 return 1770;
c0890d26
RS
8279 }
8280 }
8281 }
8282 else
8283 {
8284 if (((word >> 22) & 0x1) == 0)
8285 {
8286 if (((word >> 21) & 0x1) == 0)
8287 {
8288 if (((word >> 23) & 0x1) == 0)
8289 {
8290 /* 33222222222211111111110000000000
8291 10987654321098765432109876543210
7684e580 8292 100001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 8293 prfw. */
b731bc3b 8294 return 1766;
c0890d26
RS
8295 }
8296 else
8297 {
8298 /* 33222222222211111111110000000000
8299 10987654321098765432109876543210
7684e580 8300 100001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 8301 prfd. */
b731bc3b 8302 return 1752;
c0890d26
RS
8303 }
8304 }
8305 else
8306 {
8307 /* 33222222222211111111110000000000
8308 10987654321098765432109876543210
7684e580 8309 100001x1x01xxxxx110xxxxxxxxxxxxx
c0890d26 8310 ld1w. */
b731bc3b 8311 return 1577;
c0890d26
RS
8312 }
8313 }
8314 else
8315 {
8316 if (((word >> 23) & 0x1) == 0)
8317 {
8318 /* 33222222222211111111110000000000
8319 10987654321098765432109876543210
7684e580 8320 100001x101xxxxxx110xxxxxxxxxxxxx
c0890d26 8321 ld1rw. */
b731bc3b 8322 return 1537;
c0890d26
RS
8323 }
8324 else
8325 {
8326 /* 33222222222211111111110000000000
8327 10987654321098765432109876543210
7684e580 8328 100001x111xxxxxx110xxxxxxxxxxxxx
c0890d26 8329 ld1rsb. */
b731bc3b 8330 return 1533;
c0890d26
RS
8331 }
8332 }
8333 }
8334 }
8335 }
8336 else
8337 {
8338 if (((word >> 14) & 0x1) == 0)
8339 {
8340 if (((word >> 15) & 0x1) == 0)
8341 {
8342 /* 33222222222211111111110000000000
8343 10987654321098765432109876543210
7684e580 8344 100001x1xxxxxxxx001xxxxxxxxxxxxx
c0890d26 8345 prfh. */
b731bc3b 8346 return 1763;
c0890d26
RS
8347 }
8348 else
8349 {
8350 if (((word >> 23) & 0x1) == 0)
8351 {
8352 /* 33222222222211111111110000000000
8353 10987654321098765432109876543210
7684e580 8354 100001x10xxxxxxx101xxxxxxxxxxxxx
c0890d26 8355 ld1rsh. */
b731bc3b 8356 return 1535;
c0890d26
RS
8357 }
8358 else
8359 {
8360 /* 33222222222211111111110000000000
8361 10987654321098765432109876543210
7684e580 8362 100001x11xxxxxxx101xxxxxxxxxxxxx
c0890d26 8363 ld1rsb. */
b731bc3b 8364 return 1532;
c0890d26
RS
8365 }
8366 }
8367 }
8368 else
8369 {
8370 if (((word >> 15) & 0x1) == 0)
8371 {
8372 if (((word >> 23) & 0x1) == 0)
8373 {
8374 if (((word >> 21) & 0x1) == 0)
8375 {
8376 /* 33222222222211111111110000000000
8377 10987654321098765432109876543210
7684e580 8378 100001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8379 ldff1w. */
b731bc3b 8380 return 1669;
c0890d26
RS
8381 }
8382 else
8383 {
8384 /* 33222222222211111111110000000000
8385 10987654321098765432109876543210
7684e580 8386 100001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8387 ldff1w. */
b731bc3b 8388 return 1670;
c0890d26
RS
8389 }
8390 }
8391 else
8392 {
8393 /* 33222222222211111111110000000000
8394 10987654321098765432109876543210
7684e580 8395 100001x11xxxxxxx011xxxxxxxxxxxxx
c0890d26 8396 prfd. */
b731bc3b 8397 return 1756;
c0890d26
RS
8398 }
8399 }
8400 else
8401 {
8402 if (((word >> 22) & 0x1) == 0)
8403 {
8404 if (((word >> 21) & 0x1) == 0)
8405 {
8406 if (((word >> 23) & 0x1) == 0)
8407 {
8408 /* 33222222222211111111110000000000
8409 10987654321098765432109876543210
7684e580 8410 100001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8411 prfw. */
b731bc3b 8412 return 1769;
c0890d26
RS
8413 }
8414 else
8415 {
8416 /* 33222222222211111111110000000000
8417 10987654321098765432109876543210
7684e580 8418 100001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8419 prfd. */
b731bc3b 8420 return 1755;
c0890d26
RS
8421 }
8422 }
8423 else
8424 {
8425 /* 33222222222211111111110000000000
8426 10987654321098765432109876543210
7684e580 8427 100001x1x01xxxxx111xxxxxxxxxxxxx
c0890d26 8428 ldff1w. */
b731bc3b 8429 return 1679;
c0890d26
RS
8430 }
8431 }
8432 else
8433 {
8434 if (((word >> 23) & 0x1) == 0)
8435 {
8436 /* 33222222222211111111110000000000
8437 10987654321098765432109876543210
7684e580 8438 100001x101xxxxxx111xxxxxxxxxxxxx
c0890d26 8439 ld1rw. */
b731bc3b 8440 return 1538;
c0890d26
RS
8441 }
8442 else
8443 {
8444 /* 33222222222211111111110000000000
8445 10987654321098765432109876543210
7684e580 8446 100001x111xxxxxx111xxxxxxxxxxxxx
c0890d26 8447 ld1rd. */
b731bc3b 8448 return 1519;
c0890d26
RS
8449 }
8450 }
8451 }
8452 }
8453 }
8454 }
8455 }
8456 else
8457 {
8458 if (((word >> 13) & 0x1) == 0)
8459 {
8460 if (((word >> 14) & 0x1) == 0)
8461 {
8462 if (((word >> 15) & 0x1) == 0)
8463 {
8464 if (((word >> 21) & 0x1) == 0)
8465 {
8466 /* 33222222222211111111110000000000
8467 10987654321098765432109876543210
7684e580 8468 x10001x1xx0xxxxx000xxxxxxxxxxxxx
c0890d26 8469 ld1sw. */
b731bc3b 8470 return 1563;
c0890d26
RS
8471 }
8472 else
8473 {
8474 /* 33222222222211111111110000000000
8475 10987654321098765432109876543210
7684e580 8476 x10001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 8477 ld1sw. */
b731bc3b 8478 return 1564;
c0890d26
RS
8479 }
8480 }
8481 else
8482 {
8483 if (((word >> 21) & 0x1) == 0)
8484 {
8485 /* 33222222222211111111110000000000
8486 10987654321098765432109876543210
7684e580 8487 x10001x1xx0xxxxx100xxxxxxxxxxxxx
c0890d26 8488 ld1sw. */
b731bc3b 8489 return 1565;
c0890d26
RS
8490 }
8491 else
8492 {
8493 if (((word >> 22) & 0x1) == 0)
8494 {
8495 /* 33222222222211111111110000000000
8496 10987654321098765432109876543210
7684e580 8497 x10001x1x01xxxxx100xxxxxxxxxxxxx
c0890d26 8498 ld1sw. */
b731bc3b 8499 return 1568;
c0890d26
RS
8500 }
8501 else
8502 {
8503 /* 33222222222211111111110000000000
8504 10987654321098765432109876543210
7684e580 8505 x10001x1x11xxxxx100xxxxxxxxxxxxx
c0890d26 8506 ld1sw. */
b731bc3b 8507 return 1566;
c0890d26
RS
8508 }
8509 }
8510 }
8511 }
8512 else
8513 {
8514 if (((word >> 15) & 0x1) == 0)
8515 {
8516 if (((word >> 21) & 0x1) == 0)
8517 {
8518 if (((word >> 23) & 0x1) == 0)
8519 {
8520 /* 33222222222211111111110000000000
8521 10987654321098765432109876543210
7684e580 8522 x10001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8523 ld1w. */
b731bc3b 8524 return 1573;
c0890d26
RS
8525 }
8526 else
8527 {
8528 /* 33222222222211111111110000000000
8529 10987654321098765432109876543210
7684e580 8530 x10001x11x0xxxxx010xxxxxxxxxxxxx
c0890d26 8531 ld1d. */
b731bc3b 8532 return 1495;
c0890d26
RS
8533 }
8534 }
8535 else
8536 {
8537 if (((word >> 23) & 0x1) == 0)
8538 {
8539 /* 33222222222211111111110000000000
8540 10987654321098765432109876543210
7684e580 8541 x10001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8542 ld1w. */
b731bc3b 8543 return 1574;
c0890d26
RS
8544 }
8545 else
8546 {
8547 /* 33222222222211111111110000000000
8548 10987654321098765432109876543210
7684e580 8549 x10001x11x1xxxxx010xxxxxxxxxxxxx
c0890d26 8550 ld1d. */
b731bc3b 8551 return 1496;
c0890d26
RS
8552 }
8553 }
8554 }
8555 else
8556 {
8557 if (((word >> 21) & 0x1) == 0)
8558 {
8559 if (((word >> 23) & 0x1) == 0)
8560 {
8561 /* 33222222222211111111110000000000
8562 10987654321098765432109876543210
7684e580 8563 x10001x10x0xxxxx110xxxxxxxxxxxxx
c0890d26 8564 ld1w. */
b731bc3b 8565 return 1575;
c0890d26
RS
8566 }
8567 else
8568 {
8569 /* 33222222222211111111110000000000
8570 10987654321098765432109876543210
7684e580 8571 x10001x11x0xxxxx110xxxxxxxxxxxxx
c0890d26 8572 ld1d. */
b731bc3b 8573 return 1497;
c0890d26
RS
8574 }
8575 }
8576 else
8577 {
8578 if (((word >> 22) & 0x1) == 0)
8579 {
8580 if (((word >> 23) & 0x1) == 0)
8581 {
8582 /* 33222222222211111111110000000000
8583 10987654321098765432109876543210
7684e580 8584 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 8585 ld1w. */
b731bc3b 8586 return 1580;
c0890d26
RS
8587 }
8588 else
8589 {
8590 /* 33222222222211111111110000000000
8591 10987654321098765432109876543210
7684e580 8592 x10001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 8593 ld1d. */
b731bc3b 8594 return 1500;
c0890d26
RS
8595 }
8596 }
8597 else
8598 {
8599 if (((word >> 23) & 0x1) == 0)
8600 {
8601 /* 33222222222211111111110000000000
8602 10987654321098765432109876543210
7684e580 8603 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 8604 ld1w. */
b731bc3b 8605 return 1576;
c0890d26
RS
8606 }
8607 else
8608 {
8609 /* 33222222222211111111110000000000
8610 10987654321098765432109876543210
7684e580 8611 x10001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 8612 ld1d. */
b731bc3b 8613 return 1498;
c0890d26
RS
8614 }
8615 }
8616 }
8617 }
8618 }
8619 }
8620 else
8621 {
8622 if (((word >> 14) & 0x1) == 0)
8623 {
8624 if (((word >> 15) & 0x1) == 0)
8625 {
8626 if (((word >> 21) & 0x1) == 0)
8627 {
8628 /* 33222222222211111111110000000000
8629 10987654321098765432109876543210
7684e580 8630 x10001x1xx0xxxxx001xxxxxxxxxxxxx
c0890d26 8631 ldff1sw. */
b731bc3b 8632 return 1664;
c0890d26
RS
8633 }
8634 else
8635 {
8636 /* 33222222222211111111110000000000
8637 10987654321098765432109876543210
7684e580 8638 x10001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 8639 ldff1sw. */
b731bc3b 8640 return 1665;
c0890d26
RS
8641 }
8642 }
8643 else
8644 {
8645 if (((word >> 21) & 0x1) == 0)
8646 {
8647 /* 33222222222211111111110000000000
8648 10987654321098765432109876543210
7684e580 8649 x10001x1xx0xxxxx101xxxxxxxxxxxxx
c0890d26 8650 ldff1sw. */
b731bc3b 8651 return 1666;
c0890d26
RS
8652 }
8653 else
8654 {
8655 if (((word >> 22) & 0x1) == 0)
8656 {
8657 /* 33222222222211111111110000000000
8658 10987654321098765432109876543210
7684e580 8659 x10001x1x01xxxxx101xxxxxxxxxxxxx
c0890d26 8660 ldff1sw. */
b731bc3b 8661 return 1668;
c0890d26
RS
8662 }
8663 else
8664 {
8665 /* 33222222222211111111110000000000
8666 10987654321098765432109876543210
7684e580 8667 x10001x1x11xxxxx101xxxxxxxxxxxxx
c0890d26 8668 ldff1sw. */
b731bc3b 8669 return 1667;
c0890d26
RS
8670 }
8671 }
8672 }
8673 }
8674 else
8675 {
8676 if (((word >> 15) & 0x1) == 0)
8677 {
8678 if (((word >> 21) & 0x1) == 0)
8679 {
8680 if (((word >> 23) & 0x1) == 0)
8681 {
8682 /* 33222222222211111111110000000000
8683 10987654321098765432109876543210
7684e580 8684 x10001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8685 ldff1w. */
b731bc3b 8686 return 1675;
c0890d26
RS
8687 }
8688 else
8689 {
8690 /* 33222222222211111111110000000000
8691 10987654321098765432109876543210
7684e580 8692 x10001x11x0xxxxx011xxxxxxxxxxxxx
c0890d26 8693 ldff1d. */
b731bc3b 8694 return 1620;
c0890d26
RS
8695 }
8696 }
8697 else
8698 {
8699 if (((word >> 23) & 0x1) == 0)
8700 {
8701 /* 33222222222211111111110000000000
8702 10987654321098765432109876543210
7684e580 8703 x10001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8704 ldff1w. */
b731bc3b 8705 return 1676;
c0890d26
RS
8706 }
8707 else
8708 {
8709 /* 33222222222211111111110000000000
8710 10987654321098765432109876543210
7684e580 8711 x10001x11x1xxxxx011xxxxxxxxxxxxx
c0890d26 8712 ldff1d. */
b731bc3b 8713 return 1621;
c0890d26
RS
8714 }
8715 }
8716 }
8717 else
8718 {
8719 if (((word >> 21) & 0x1) == 0)
8720 {
8721 if (((word >> 22) & 0x1) == 0)
8722 {
8723 if (((word >> 23) & 0x1) == 0)
8724 {
8725 /* 33222222222211111111110000000000
8726 10987654321098765432109876543210
7684e580 8727 x10001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8728 prfw. */
b731bc3b 8729 return 1771;
c0890d26
RS
8730 }
8731 else
8732 {
8733 /* 33222222222211111111110000000000
8734 10987654321098765432109876543210
7684e580 8735 x10001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8736 prfd. */
b731bc3b 8737 return 1757;
c0890d26
RS
8738 }
8739 }
8740 else
8741 {
8742 if (((word >> 23) & 0x1) == 0)
8743 {
8744 /* 33222222222211111111110000000000
8745 10987654321098765432109876543210
7684e580 8746 x10001x1010xxxxx111xxxxxxxxxxxxx
c0890d26 8747 ldff1w. */
b731bc3b 8748 return 1677;
c0890d26
RS
8749 }
8750 else
8751 {
8752 /* 33222222222211111111110000000000
8753 10987654321098765432109876543210
7684e580 8754 x10001x1110xxxxx111xxxxxxxxxxxxx
c0890d26 8755 ldff1d. */
b731bc3b 8756 return 1622;
c0890d26
RS
8757 }
8758 }
8759 }
8760 else
8761 {
8762 if (((word >> 22) & 0x1) == 0)
8763 {
8764 if (((word >> 23) & 0x1) == 0)
8765 {
8766 /* 33222222222211111111110000000000
8767 10987654321098765432109876543210
7684e580 8768 x10001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 8769 ldff1w. */
b731bc3b 8770 return 1680;
c0890d26
RS
8771 }
8772 else
8773 {
8774 /* 33222222222211111111110000000000
8775 10987654321098765432109876543210
7684e580 8776 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 8777 ldff1d. */
b731bc3b 8778 return 1624;
c0890d26
RS
8779 }
8780 }
8781 else
8782 {
8783 if (((word >> 23) & 0x1) == 0)
8784 {
8785 /* 33222222222211111111110000000000
8786 10987654321098765432109876543210
7684e580 8787 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 8788 ldff1w. */
b731bc3b 8789 return 1678;
c0890d26
RS
8790 }
8791 else
8792 {
8793 /* 33222222222211111111110000000000
8794 10987654321098765432109876543210
7684e580 8795 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 8796 ldff1d. */
b731bc3b 8797 return 1623;
c0890d26
RS
8798 }
8799 }
8800 }
8801 }
8802 }
8803 }
8804 }
8805 }
8806 else
8807 {
8808 if (((word >> 15) & 0x1) == 0)
8809 {
8810 if (((word >> 14) & 0x1) == 0)
8811 {
8812 if (((word >> 13) & 0x1) == 0)
8813 {
8814 if (((word >> 30) & 0x1) == 0)
8815 {
582e12bf 8816 if (((word >> 21) & 0x1) == 0)
c0890d26 8817 {
582e12bf 8818 if (((word >> 31) & 0x1) == 0)
c0890d26 8819 {
582e12bf
RS
8820 if (((word >> 4) & 0x1) == 0)
8821 {
8822 /* 33222222222211111111110000000000
8823 10987654321098765432109876543210
7684e580 8824 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf 8825 cmpge. */
b731bc3b 8826 return 1303;
582e12bf
RS
8827 }
8828 else
8829 {
8830 /* 33222222222211111111110000000000
8831 10987654321098765432109876543210
7684e580 8832 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf 8833 cmpgt. */
b731bc3b 8834 return 1306;
582e12bf 8835 }
c0890d26
RS
8836 }
8837 else
582e12bf
RS
8838 {
8839 if (((word >> 23) & 0x1) == 0)
8840 {
8841 /* 33222222222211111111110000000000
8842 10987654321098765432109876543210
7684e580 8843 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf 8844 ld1rqw. */
b731bc3b 8845 return 1530;
582e12bf
RS
8846 }
8847 else
8848 {
8849 /* 33222222222211111111110000000000
8850 10987654321098765432109876543210
7684e580 8851 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf 8852 ld1rqd. */
b731bc3b 8853 return 1526;
582e12bf
RS
8854 }
8855 }
8856 }
8857 else
8858 {
8859 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
8860 {
8861 if (((word >> 11) & 0x1) == 0)
8862 {
8863 if (((word >> 12) & 0x1) == 0)
8864 {
8865 /* 33222222222211111111110000000000
8866 10987654321098765432109876543210
7684e580 8867 x01001x1xx1xxxxx00000xxxxxx0xxxx
c0890d26 8868 whilelt. */
b731bc3b 8869 return 2007;
c0890d26
RS
8870 }
8871 else
8872 {
8873 /* 33222222222211111111110000000000
8874 10987654321098765432109876543210
7684e580 8875 x01001x1xx1xxxxx00010xxxxxx0xxxx
c0890d26 8876 whilelt. */
b731bc3b 8877 return 2008;
c0890d26
RS
8878 }
8879 }
8880 else
8881 {
8882 if (((word >> 12) & 0x1) == 0)
8883 {
8884 /* 33222222222211111111110000000000
8885 10987654321098765432109876543210
7684e580 8886 x01001x1xx1xxxxx00001xxxxxx0xxxx
c0890d26 8887 whilelo. */
b731bc3b 8888 return 2003;
c0890d26
RS
8889 }
8890 else
8891 {
8892 /* 33222222222211111111110000000000
8893 10987654321098765432109876543210
7684e580 8894 x01001x1xx1xxxxx00011xxxxxx0xxxx
c0890d26 8895 whilelo. */
b731bc3b 8896 return 2004;
c0890d26
RS
8897 }
8898 }
8899 }
c0890d26
RS
8900 else
8901 {
8902 if (((word >> 11) & 0x1) == 0)
8903 {
8904 if (((word >> 12) & 0x1) == 0)
8905 {
8906 /* 33222222222211111111110000000000
8907 10987654321098765432109876543210
7684e580 8908 x01001x1xx1xxxxx00000xxxxxx1xxxx
c0890d26 8909 whilele. */
b731bc3b 8910 return 2001;
c0890d26
RS
8911 }
8912 else
8913 {
8914 /* 33222222222211111111110000000000
8915 10987654321098765432109876543210
7684e580 8916 x01001x1xx1xxxxx00010xxxxxx1xxxx
c0890d26 8917 whilele. */
b731bc3b 8918 return 2002;
c0890d26
RS
8919 }
8920 }
8921 else
8922 {
8923 if (((word >> 12) & 0x1) == 0)
8924 {
8925 /* 33222222222211111111110000000000
8926 10987654321098765432109876543210
7684e580 8927 x01001x1xx1xxxxx00001xxxxxx1xxxx
c0890d26 8928 whilels. */
b731bc3b 8929 return 2005;
c0890d26
RS
8930 }
8931 else
8932 {
8933 /* 33222222222211111111110000000000
8934 10987654321098765432109876543210
7684e580 8935 x01001x1xx1xxxxx00011xxxxxx1xxxx
c0890d26 8936 whilels. */
b731bc3b 8937 return 2006;
c0890d26
RS
8938 }
8939 }
8940 }
8941 }
8942 }
8943 else
8944 {
8945 if (((word >> 31) & 0x1) == 0)
8946 {
8947 if (((word >> 21) & 0x1) == 0)
8948 {
8949 if (((word >> 10) & 0x1) == 0)
8950 {
8951 if (((word >> 11) & 0x1) == 0)
8952 {
8953 /* 33222222222211111111110000000000
8954 10987654321098765432109876543210
7684e580 8955 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 8956 fadd. */
b731bc3b 8957 return 1361;
c0890d26
RS
8958 }
8959 else
8960 {
8961 if (((word >> 12) & 0x1) == 0)
8962 {
8963 /* 33222222222211111111110000000000
8964 10987654321098765432109876543210
7684e580 8965 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 8966 fmul. */
b731bc3b 8967 return 1428;
c0890d26
RS
8968 }
8969 else
8970 {
8971 /* 33222222222211111111110000000000
8972 10987654321098765432109876543210
7684e580 8973 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 8974 frecps. */
b731bc3b 8975 return 1441;
c0890d26
RS
8976 }
8977 }
8978 }
8979 else
8980 {
8981 if (((word >> 11) & 0x1) == 0)
8982 {
8983 /* 33222222222211111111110000000000
8984 10987654321098765432109876543210
7684e580 8985 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 8986 fsub. */
b731bc3b 8987 return 1454;
c0890d26
RS
8988 }
8989 else
8990 {
8991 if (((word >> 12) & 0x1) == 0)
8992 {
8993 /* 33222222222211111111110000000000
8994 10987654321098765432109876543210
7684e580 8995 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 8996 ftsmul. */
b731bc3b 8997 return 1460;
c0890d26
RS
8998 }
8999 else
9000 {
9001 /* 33222222222211111111110000000000
9002 10987654321098765432109876543210
7684e580 9003 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 9004 frsqrts. */
b731bc3b 9005 return 1451;
c0890d26
RS
9006 }
9007 }
9008 }
9009 }
9010 else
9011 {
9012 /* 33222222222211111111110000000000
9013 10987654321098765432109876543210
7684e580 9014 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 9015 fmla. */
b731bc3b 9016 return 1419;
c0890d26
RS
9017 }
9018 }
9019 else
9020 {
9021 /* 33222222222211111111110000000000
9022 10987654321098765432109876543210
7684e580 9023 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 9024 str. */
b731bc3b 9025 return 1922;
c0890d26
RS
9026 }
9027 }
9028 }
9029 else
9030 {
9031 if (((word >> 21) & 0x1) == 0)
9032 {
9033 if (((word >> 30) & 0x1) == 0)
9034 {
582e12bf 9035 if (((word >> 31) & 0x1) == 0)
c0890d26 9036 {
582e12bf
RS
9037 if (((word >> 4) & 0x1) == 0)
9038 {
9039 /* 33222222222211111111110000000000
9040 10987654321098765432109876543210
7684e580 9041 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf 9042 cmplt. */
b731bc3b 9043 return 1320;
582e12bf
RS
9044 }
9045 else
9046 {
9047 /* 33222222222211111111110000000000
9048 10987654321098765432109876543210
7684e580 9049 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf 9050 cmple. */
b731bc3b 9051 return 1314;
582e12bf 9052 }
c0890d26
RS
9053 }
9054 else
9055 {
582e12bf
RS
9056 if (((word >> 23) & 0x1) == 0)
9057 {
9058 /* 33222222222211111111110000000000
9059 10987654321098765432109876543210
7684e580 9060 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf 9061 ld1rqw. */
b731bc3b 9062 return 1529;
582e12bf
RS
9063 }
9064 else
9065 {
9066 /* 33222222222211111111110000000000
9067 10987654321098765432109876543210
7684e580 9068 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf 9069 ld1rqd. */
b731bc3b 9070 return 1525;
582e12bf 9071 }
c0890d26
RS
9072 }
9073 }
9074 else
9075 {
9076 if (((word >> 16) & 0x1) == 0)
9077 {
9078 if (((word >> 17) & 0x1) == 0)
9079 {
9080 if (((word >> 18) & 0x1) == 0)
9081 {
9082 if (((word >> 19) & 0x1) == 0)
9083 {
9084 if (((word >> 20) & 0x1) == 0)
9085 {
9086 /* 33222222222211111111110000000000
9087 10987654321098765432109876543210
7684e580 9088 x11001x1xx000000001xxxxxxxxxxxxx
c0890d26 9089 faddv. */
b731bc3b 9090 return 1365;
c0890d26
RS
9091 }
9092 else
9093 {
9094 if (((word >> 4) & 0x1) == 0)
9095 {
9096 /* 33222222222211111111110000000000
9097 10987654321098765432109876543210
7684e580 9098 x11001x1xx010000001xxxxxxxx0xxxx
c0890d26 9099 fcmge. */
b731bc3b 9100 return 1372;
c0890d26
RS
9101 }
9102 else
9103 {
9104 /* 33222222222211111111110000000000
9105 10987654321098765432109876543210
7684e580 9106 x11001x1xx010000001xxxxxxxx1xxxx
c0890d26 9107 fcmgt. */
b731bc3b 9108 return 1374;
c0890d26
RS
9109 }
9110 }
9111 }
9112 else
9113 {
9114 /* 33222222222211111111110000000000
9115 10987654321098765432109876543210
7684e580 9116 x11001x1xx0x1000001xxxxxxxxxxxxx
c0890d26 9117 fadda. */
b731bc3b 9118 return 1364;
c0890d26
RS
9119 }
9120 }
9121 else
9122 {
9123 /* 33222222222211111111110000000000
9124 10987654321098765432109876543210
7684e580 9125 x11001x1xx0xx100001xxxxxxxxxxxxx
c0890d26 9126 fmaxnmv. */
b731bc3b 9127 return 1411;
c0890d26
RS
9128 }
9129 }
9130 else
9131 {
9132 if (((word >> 18) & 0x1) == 0)
9133 {
9134 /* 33222222222211111111110000000000
9135 10987654321098765432109876543210
7684e580 9136 x11001x1xx0xx010001xxxxxxxxxxxxx
c0890d26 9137 fcmeq. */
b731bc3b 9138 return 1370;
c0890d26
RS
9139 }
9140 else
9141 {
9142 if (((word >> 19) & 0x1) == 0)
9143 {
9144 /* 33222222222211111111110000000000
9145 10987654321098765432109876543210
7684e580 9146 x11001x1xx0x0110001xxxxxxxxxxxxx
c0890d26 9147 fmaxv. */
b731bc3b 9148 return 1412;
c0890d26
RS
9149 }
9150 else
9151 {
9152 /* 33222222222211111111110000000000
9153 10987654321098765432109876543210
7684e580 9154 x11001x1xx0x1110001xxxxxxxxxxxxx
c0890d26 9155 frecpe. */
b731bc3b 9156 return 1440;
c0890d26
RS
9157 }
9158 }
9159 }
9160 }
9161 else
9162 {
9163 if (((word >> 17) & 0x1) == 0)
9164 {
9165 if (((word >> 18) & 0x1) == 0)
9166 {
9167 if (((word >> 4) & 0x1) == 0)
9168 {
9169 /* 33222222222211111111110000000000
9170 10987654321098765432109876543210
7684e580 9171 x11001x1xx0xx001001xxxxxxxx0xxxx
c0890d26 9172 fcmlt. */
b731bc3b 9173 return 1377;
c0890d26
RS
9174 }
9175 else
9176 {
9177 /* 33222222222211111111110000000000
9178 10987654321098765432109876543210
7684e580 9179 x11001x1xx0xx001001xxxxxxxx1xxxx
c0890d26 9180 fcmle. */
b731bc3b 9181 return 1376;
c0890d26
RS
9182 }
9183 }
9184 else
9185 {
9186 /* 33222222222211111111110000000000
9187 10987654321098765432109876543210
7684e580 9188 x11001x1xx0xx101001xxxxxxxxxxxxx
c0890d26 9189 fminnmv. */
b731bc3b 9190 return 1417;
c0890d26
RS
9191 }
9192 }
9193 else
9194 {
9195 if (((word >> 18) & 0x1) == 0)
9196 {
9197 /* 33222222222211111111110000000000
9198 10987654321098765432109876543210
7684e580 9199 x11001x1xx0xx011001xxxxxxxxxxxxx
c0890d26 9200 fcmne. */
b731bc3b 9201 return 1378;
c0890d26
RS
9202 }
9203 else
9204 {
9205 if (((word >> 19) & 0x1) == 0)
9206 {
9207 /* 33222222222211111111110000000000
9208 10987654321098765432109876543210
7684e580 9209 x11001x1xx0x0111001xxxxxxxxxxxxx
c0890d26 9210 fminv. */
b731bc3b 9211 return 1418;
c0890d26
RS
9212 }
9213 else
9214 {
9215 /* 33222222222211111111110000000000
9216 10987654321098765432109876543210
7684e580 9217 x11001x1xx0x1111001xxxxxxxxxxxxx
c0890d26 9218 frsqrte. */
b731bc3b 9219 return 1450;
c0890d26
RS
9220 }
9221 }
9222 }
9223 }
9224 }
9225 }
9226 else
9227 {
9228 if (((word >> 30) & 0x1) == 0)
9229 {
9230 if (((word >> 4) & 0x1) == 0)
9231 {
9232 /* 33222222222211111111110000000000
9233 10987654321098765432109876543210
7684e580 9234 x01001x1xx1xxxxx001xxxxxxxx0xxxx
c0890d26 9235 ctermeq. */
b731bc3b 9236 return 1335;
c0890d26
RS
9237 }
9238 else
9239 {
9240 /* 33222222222211111111110000000000
9241 10987654321098765432109876543210
7684e580 9242 x01001x1xx1xxxxx001xxxxxxxx1xxxx
c0890d26 9243 ctermne. */
b731bc3b 9244 return 1336;
c0890d26
RS
9245 }
9246 }
9247 else
9248 {
9249 /* 33222222222211111111110000000000
9250 10987654321098765432109876543210
7684e580 9251 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 9252 fmls. */
b731bc3b 9253 return 1423;
c0890d26
RS
9254 }
9255 }
9256 }
9257 }
9258 else
9259 {
582e12bf 9260 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
9261 {
9262 if (((word >> 21) & 0x1) == 0)
9263 {
9264 if (((word >> 22) & 0x1) == 0)
9265 {
582e12bf 9266 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9267 {
9268 if (((word >> 31) & 0x1) == 0)
9269 {
9270 if (((word >> 9) & 0x1) == 0)
9271 {
9272 if (((word >> 20) & 0x1) == 0)
9273 {
9274 if (((word >> 4) & 0x1) == 0)
9275 {
9276 /* 33222222222211111111110000000000
9277 10987654321098765432109876543210
7684e580 9278 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 9279 and. */
b731bc3b 9280 return 1266;
c0890d26
RS
9281 }
9282 else
9283 {
9284 /* 33222222222211111111110000000000
9285 10987654321098765432109876543210
7684e580 9286 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 9287 bic. */
b731bc3b 9288 return 1278;
c0890d26
RS
9289 }
9290 }
9291 else
9292 {
9293 if (((word >> 19) & 0x1) == 0)
9294 {
9295 /* 33222222222211111111110000000000
9296 10987654321098765432109876543210
7684e580 9297 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 9298 brka. */
b731bc3b 9299 return 1280;
c0890d26
RS
9300 }
9301 else
9302 {
9303 /* 33222222222211111111110000000000
9304 10987654321098765432109876543210
7684e580 9305 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 9306 brkn. */
b731bc3b 9307 return 1284;
c0890d26
RS
9308 }
9309 }
9310 }
9311 else
9312 {
9313 if (((word >> 4) & 0x1) == 0)
9314 {
9315 /* 33222222222211111111110000000000
9316 10987654321098765432109876543210
7684e580 9317 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf 9318 eor. */
b731bc3b 9319 return 1353;
582e12bf
RS
9320 }
9321 else
9322 {
9323 /* 33222222222211111111110000000000
9324 10987654321098765432109876543210
7684e580 9325 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf 9326 sel. */
b731bc3b 9327 return 1802;
582e12bf
RS
9328 }
9329 }
9330 }
9331 else
9332 {
9333 if (((word >> 13) & 0x1) == 0)
9334 {
9335 /* 33222222222211111111110000000000
9336 10987654321098765432109876543210
7684e580 9337 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf 9338 ld1sh. */
b731bc3b 9339 return 1552;
582e12bf
RS
9340 }
9341 else
9342 {
9343 /* 33222222222211111111110000000000
9344 10987654321098765432109876543210
7684e580 9345 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9346 ldff1sh. */
b731bc3b 9347 return 1652;
582e12bf
RS
9348 }
9349 }
9350 }
9351 else
9352 {
9353 if (((word >> 31) & 0x1) == 0)
9354 {
9355 if (((word >> 9) & 0x1) == 0)
9356 {
9357 if (((word >> 20) & 0x1) == 0)
9358 {
9359 if (((word >> 4) & 0x1) == 0)
9360 {
9361 /* 33222222222211111111110000000000
9362 10987654321098765432109876543210
7684e580 9363 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf 9364 orr. */
b731bc3b 9365 return 1738;
582e12bf
RS
9366 }
9367 else
9368 {
9369 /* 33222222222211111111110000000000
9370 10987654321098765432109876543210
7684e580 9371 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf 9372 orn. */
b731bc3b 9373 return 1733;
582e12bf
RS
9374 }
9375 }
9376 else
9377 {
9378 /* 33222222222211111111110000000000
9379 10987654321098765432109876543210
7684e580 9380 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf 9381 brkb. */
b731bc3b 9382 return 1282;
582e12bf
RS
9383 }
9384 }
9385 else
9386 {
9387 if (((word >> 4) & 0x1) == 0)
9388 {
9389 /* 33222222222211111111110000000000
9390 10987654321098765432109876543210
7684e580 9391 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf 9392 nor. */
b731bc3b 9393 return 1730;
c0890d26
RS
9394 }
9395 else
9396 {
9397 /* 33222222222211111111110000000000
9398 10987654321098765432109876543210
7684e580 9399 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf 9400 nand. */
b731bc3b 9401 return 1727;
c0890d26
RS
9402 }
9403 }
9404 }
9405 else
9406 {
9407 if (((word >> 13) & 0x1) == 0)
9408 {
9409 /* 33222222222211111111110000000000
9410 10987654321098765432109876543210
7684e580 9411 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf 9412 ld1sb. */
b731bc3b 9413 return 1540;
c0890d26
RS
9414 }
9415 else
9416 {
9417 /* 33222222222211111111110000000000
9418 10987654321098765432109876543210
7684e580 9419 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9420 ldff1sb. */
b731bc3b 9421 return 1640;
c0890d26
RS
9422 }
9423 }
9424 }
c0890d26
RS
9425 }
9426 else
9427 {
582e12bf 9428 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9429 {
9430 if (((word >> 31) & 0x1) == 0)
9431 {
9432 if (((word >> 4) & 0x1) == 0)
9433 {
9434 if (((word >> 9) & 0x1) == 0)
9435 {
9436 if (((word >> 20) & 0x1) == 0)
9437 {
9438 /* 33222222222211111111110000000000
9439 10987654321098765432109876543210
7684e580 9440 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 9441 ands. */
b731bc3b 9442 return 1267;
c0890d26
RS
9443 }
9444 else
9445 {
9446 if (((word >> 19) & 0x1) == 0)
9447 {
9448 /* 33222222222211111111110000000000
9449 10987654321098765432109876543210
7684e580 9450 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 9451 brkas. */
b731bc3b 9452 return 1281;
c0890d26
RS
9453 }
9454 else
9455 {
9456 /* 33222222222211111111110000000000
9457 10987654321098765432109876543210
7684e580 9458 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 9459 brkns. */
b731bc3b 9460 return 1285;
c0890d26
RS
9461 }
9462 }
9463 }
9464 else
9465 {
9466 /* 33222222222211111111110000000000
9467 10987654321098765432109876543210
7684e580 9468 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 9469 eors. */
b731bc3b 9470 return 1354;
c0890d26
RS
9471 }
9472 }
9473 else
9474 {
9475 /* 33222222222211111111110000000000
9476 10987654321098765432109876543210
7684e580 9477 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 9478 bics. */
b731bc3b 9479 return 1279;
c0890d26
RS
9480 }
9481 }
9482 else
9483 {
9484 if (((word >> 13) & 0x1) == 0)
9485 {
9486 /* 33222222222211111111110000000000
9487 10987654321098765432109876543210
7684e580 9488 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 9489 ld1w. */
b731bc3b 9490 return 1571;
c0890d26
RS
9491 }
9492 else
9493 {
9494 /* 33222222222211111111110000000000
9495 10987654321098765432109876543210
7684e580 9496 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 9497 ldff1w. */
b731bc3b 9498 return 1671;
c0890d26
RS
9499 }
9500 }
9501 }
9502 else
9503 {
9504 if (((word >> 31) & 0x1) == 0)
9505 {
9506 if (((word >> 4) & 0x1) == 0)
9507 {
9508 if (((word >> 9) & 0x1) == 0)
9509 {
9510 if (((word >> 20) & 0x1) == 0)
9511 {
9512 /* 33222222222211111111110000000000
9513 10987654321098765432109876543210
7684e580 9514 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 9515 orrs. */
b731bc3b 9516 return 1739;
c0890d26
RS
9517 }
9518 else
9519 {
9520 /* 33222222222211111111110000000000
9521 10987654321098765432109876543210
7684e580 9522 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 9523 brkbs. */
b731bc3b 9524 return 1283;
c0890d26
RS
9525 }
9526 }
9527 else
9528 {
9529 /* 33222222222211111111110000000000
9530 10987654321098765432109876543210
7684e580 9531 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 9532 nors. */
b731bc3b 9533 return 1731;
c0890d26
RS
9534 }
9535 }
9536 else
9537 {
9538 if (((word >> 9) & 0x1) == 0)
9539 {
9540 /* 33222222222211111111110000000000
9541 10987654321098765432109876543210
7684e580 9542 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 9543 orns. */
b731bc3b 9544 return 1734;
c0890d26
RS
9545 }
9546 else
9547 {
9548 /* 33222222222211111111110000000000
9549 10987654321098765432109876543210
7684e580 9550 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 9551 nands. */
b731bc3b 9552 return 1728;
c0890d26
RS
9553 }
9554 }
9555 }
9556 else
9557 {
9558 if (((word >> 13) & 0x1) == 0)
9559 {
9560 /* 33222222222211111111110000000000
9561 10987654321098765432109876543210
7684e580 9562 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 9563 ld1sb. */
b731bc3b 9564 return 1542;
c0890d26
RS
9565 }
9566 else
9567 {
9568 /* 33222222222211111111110000000000
9569 10987654321098765432109876543210
7684e580 9570 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9571 ldff1sb. */
b731bc3b 9572 return 1644;
c0890d26
RS
9573 }
9574 }
9575 }
9576 }
582e12bf
RS
9577 }
9578 else
9579 {
9580 if (((word >> 13) & 0x1) == 0)
c0890d26 9581 {
582e12bf 9582 if (((word >> 22) & 0x1) == 0)
c0890d26 9583 {
582e12bf
RS
9584 if (((word >> 23) & 0x1) == 0)
9585 {
9586 /* 33222222222211111111110000000000
9587 10987654321098765432109876543210
7684e580 9588 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf 9589 ld1sh. */
b731bc3b 9590 return 1553;
582e12bf
RS
9591 }
9592 else
c0890d26
RS
9593 {
9594 /* 33222222222211111111110000000000
9595 10987654321098765432109876543210
7684e580 9596 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 9597 ld1sb. */
b731bc3b 9598 return 1541;
582e12bf
RS
9599 }
9600 }
9601 else
9602 {
9603 if (((word >> 23) & 0x1) == 0)
9604 {
9605 /* 33222222222211111111110000000000
9606 10987654321098765432109876543210
7684e580 9607 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9608 ld1w. */
b731bc3b 9609 return 1572;
582e12bf
RS
9610 }
9611 else
9612 {
9613 /* 33222222222211111111110000000000
9614 10987654321098765432109876543210
7684e580 9615 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9616 ld1d. */
b731bc3b 9617 return 1494;
582e12bf
RS
9618 }
9619 }
9620 }
9621 else
9622 {
9623 if (((word >> 22) & 0x1) == 0)
9624 {
9625 if (((word >> 23) & 0x1) == 0)
9626 {
9627 /* 33222222222211111111110000000000
9628 10987654321098765432109876543210
7684e580 9629 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9630 ldff1sh. */
b731bc3b 9631 return 1654;
582e12bf
RS
9632 }
9633 else
9634 {
9635 /* 33222222222211111111110000000000
9636 10987654321098765432109876543210
7684e580 9637 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9638 ldff1sb. */
b731bc3b 9639 return 1642;
582e12bf
RS
9640 }
9641 }
9642 else
9643 {
9644 if (((word >> 23) & 0x1) == 0)
9645 {
9646 /* 33222222222211111111110000000000
9647 10987654321098765432109876543210
7684e580 9648 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9649 ldff1w. */
b731bc3b 9650 return 1673;
c0890d26
RS
9651 }
9652 else
9653 {
9654 /* 33222222222211111111110000000000
9655 10987654321098765432109876543210
7684e580 9656 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf 9657 ldff1d. */
b731bc3b 9658 return 1618;
c0890d26
RS
9659 }
9660 }
582e12bf
RS
9661 }
9662 }
9663 }
9664 else
9665 {
9666 if (((word >> 13) & 0x1) == 0)
9667 {
9668 if (((word >> 31) & 0x1) == 0)
9669 {
9670 if (((word >> 21) & 0x1) == 0)
c0890d26 9671 {
582e12bf 9672 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9673 {
9674 /* 33222222222211111111110000000000
9675 10987654321098765432109876543210
7684e580 9676 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf 9677 fcmge. */
b731bc3b 9678 return 1373;
c0890d26
RS
9679 }
9680 else
9681 {
9682 /* 33222222222211111111110000000000
9683 10987654321098765432109876543210
7684e580 9684 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf 9685 fcmgt. */
b731bc3b 9686 return 1375;
c0890d26
RS
9687 }
9688 }
582e12bf
RS
9689 else
9690 {
9691 /* 33222222222211111111110000000000
9692 10987654321098765432109876543210
7684e580 9693 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf 9694 fnmla. */
b731bc3b 9695 return 1437;
582e12bf 9696 }
c0890d26 9697 }
582e12bf 9698 else
c0890d26 9699 {
582e12bf
RS
9700 if (((word >> 22) & 0x1) == 0)
9701 {
9702 /* 33222222222211111111110000000000
9703 10987654321098765432109876543210
7684e580 9704 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf 9705 str. */
b731bc3b 9706 return 1923;
582e12bf
RS
9707 }
9708 else
c0890d26
RS
9709 {
9710 if (((word >> 21) & 0x1) == 0)
9711 {
582e12bf
RS
9712 /* 33222222222211111111110000000000
9713 10987654321098765432109876543210
7684e580 9714 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf 9715 st1w. */
b731bc3b 9716 return 1882;
582e12bf
RS
9717 }
9718 else
9719 {
9720 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9721 {
9722 /* 33222222222211111111110000000000
9723 10987654321098765432109876543210
7684e580 9724 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 9725 st1w. */
b731bc3b 9726 return 1884;
c0890d26
RS
9727 }
9728 else
9729 {
9730 /* 33222222222211111111110000000000
9731 10987654321098765432109876543210
7684e580 9732 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 9733 st1d. */
b731bc3b 9734 return 1861;
c0890d26
RS
9735 }
9736 }
c0890d26 9737 }
582e12bf
RS
9738 }
9739 }
9740 else
9741 {
9742 if (((word >> 21) & 0x1) == 0)
9743 {
9744 if (((word >> 31) & 0x1) == 0)
c0890d26 9745 {
582e12bf 9746 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9747 {
9748 /* 33222222222211111111110000000000
9749 10987654321098765432109876543210
7684e580 9750 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf 9751 fcmeq. */
b731bc3b 9752 return 1371;
c0890d26
RS
9753 }
9754 else
9755 {
9756 /* 33222222222211111111110000000000
9757 10987654321098765432109876543210
7684e580 9758 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf 9759 fcmne. */
b731bc3b 9760 return 1379;
c0890d26
RS
9761 }
9762 }
582e12bf 9763 else
c0890d26 9764 {
582e12bf 9765 if (((word >> 22) & 0x1) == 0)
c0890d26 9766 {
582e12bf 9767 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9768 {
9769 /* 33222222222211111111110000000000
9770 10987654321098765432109876543210
7684e580 9771 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 9772 stnt1w. */
b731bc3b 9773 return 1920;
c0890d26
RS
9774 }
9775 else
9776 {
9777 /* 33222222222211111111110000000000
9778 10987654321098765432109876543210
7684e580 9779 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 9780 stnt1d. */
b731bc3b 9781 return 1916;
c0890d26
RS
9782 }
9783 }
9784 else
9785 {
582e12bf 9786 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9787 {
9788 /* 33222222222211111111110000000000
9789 10987654321098765432109876543210
7684e580 9790 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf 9791 st3w. */
b731bc3b 9792 return 1904;
c0890d26
RS
9793 }
9794 else
9795 {
9796 /* 33222222222211111111110000000000
9797 10987654321098765432109876543210
7684e580 9798 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9799 st3d. */
b731bc3b 9800 return 1900;
c0890d26
RS
9801 }
9802 }
9803 }
582e12bf
RS
9804 }
9805 else
9806 {
9807 if (((word >> 31) & 0x1) == 0)
9808 {
9809 /* 33222222222211111111110000000000
9810 10987654321098765432109876543210
7684e580 9811 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf 9812 fnmls. */
b731bc3b 9813 return 1438;
582e12bf 9814 }
c0890d26
RS
9815 else
9816 {
582e12bf 9817 if (((word >> 22) & 0x1) == 0)
c0890d26 9818 {
582e12bf
RS
9819 if (((word >> 23) & 0x1) == 0)
9820 {
9821 /* 33222222222211111111110000000000
9822 10987654321098765432109876543210
7684e580 9823 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 9824 st2w. */
b731bc3b 9825 return 1896;
582e12bf
RS
9826 }
9827 else
9828 {
9829 /* 33222222222211111111110000000000
9830 10987654321098765432109876543210
7684e580 9831 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 9832 st2d. */
b731bc3b 9833 return 1892;
582e12bf 9834 }
c0890d26
RS
9835 }
9836 else
9837 {
582e12bf 9838 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9839 {
9840 /* 33222222222211111111110000000000
9841 10987654321098765432109876543210
7684e580 9842 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 9843 st4w. */
b731bc3b 9844 return 1912;
c0890d26
RS
9845 }
9846 else
9847 {
9848 /* 33222222222211111111110000000000
9849 10987654321098765432109876543210
7684e580 9850 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 9851 st4d. */
b731bc3b 9852 return 1908;
c0890d26
RS
9853 }
9854 }
9855 }
9856 }
9857 }
9858 }
9859 }
9860 }
9861 else
9862 {
9863 if (((word >> 21) & 0x1) == 0)
9864 {
9865 if (((word >> 30) & 0x1) == 0)
9866 {
9867 if (((word >> 14) & 0x1) == 0)
9868 {
9869 if (((word >> 13) & 0x1) == 0)
9870 {
9871 if (((word >> 4) & 0x1) == 0)
9872 {
9873 /* 33222222222211111111110000000000
9874 10987654321098765432109876543210
7684e580 9875 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 9876 cmpeq. */
b731bc3b 9877 return 1300;
c0890d26
RS
9878 }
9879 else
9880 {
9881 /* 33222222222211111111110000000000
9882 10987654321098765432109876543210
7684e580 9883 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 9884 cmpne. */
b731bc3b 9885 return 1323;
c0890d26
RS
9886 }
9887 }
9888 else
9889 {
9890 if (((word >> 20) & 0x1) == 0)
9891 {
9892 if (((word >> 22) & 0x1) == 0)
9893 {
9894 if (((word >> 23) & 0x1) == 0)
9895 {
9896 /* 33222222222211111111110000000000
9897 10987654321098765432109876543210
7684e580 9898 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 9899 ld1sh. */
b731bc3b 9900 return 1559;
c0890d26
RS
9901 }
9902 else
9903 {
9904 /* 33222222222211111111110000000000
9905 10987654321098765432109876543210
7684e580 9906 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 9907 ld1sb. */
b731bc3b 9908 return 1546;
c0890d26
RS
9909 }
9910 }
9911 else
9912 {
9913 if (((word >> 23) & 0x1) == 0)
9914 {
9915 /* 33222222222211111111110000000000
9916 10987654321098765432109876543210
7684e580 9917 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 9918 ld1w. */
b731bc3b 9919 return 1578;
c0890d26
RS
9920 }
9921 else
9922 {
9923 /* 33222222222211111111110000000000
9924 10987654321098765432109876543210
7684e580 9925 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 9926 ld1sb. */
b731bc3b 9927 return 1548;
c0890d26
RS
9928 }
9929 }
9930 }
9931 else
9932 {
9933 if (((word >> 22) & 0x1) == 0)
9934 {
9935 if (((word >> 23) & 0x1) == 0)
9936 {
9937 /* 33222222222211111111110000000000
9938 10987654321098765432109876543210
7684e580 9939 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 9940 ldnf1sh. */
b731bc3b 9941 return 1692;
c0890d26
RS
9942 }
9943 else
9944 {
9945 /* 33222222222211111111110000000000
9946 10987654321098765432109876543210
7684e580 9947 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 9948 ldnf1sb. */
b731bc3b 9949 return 1689;
c0890d26
RS
9950 }
9951 }
9952 else
9953 {
9954 if (((word >> 23) & 0x1) == 0)
9955 {
9956 /* 33222222222211111111110000000000
9957 10987654321098765432109876543210
7684e580 9958 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 9959 ldnf1w. */
b731bc3b 9960 return 1695;
c0890d26
RS
9961 }
9962 else
9963 {
9964 /* 33222222222211111111110000000000
9965 10987654321098765432109876543210
7684e580 9966 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 9967 ldnf1sb. */
b731bc3b 9968 return 1691;
c0890d26
RS
9969 }
9970 }
9971 }
9972 }
9973 }
9974 else
9975 {
9976 if (((word >> 31) & 0x1) == 0)
9977 {
9978 if (((word >> 4) & 0x1) == 0)
9979 {
9980 if (((word >> 20) & 0x1) == 0)
9981 {
9982 if (((word >> 22) & 0x1) == 0)
9983 {
9984 /* 33222222222211111111110000000000
9985 10987654321098765432109876543210
7684e580 9986 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 9987 brkpa. */
b731bc3b 9988 return 1286;
c0890d26
RS
9989 }
9990 else
9991 {
9992 /* 33222222222211111111110000000000
9993 10987654321098765432109876543210
7684e580 9994 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 9995 brkpas. */
b731bc3b 9996 return 1287;
c0890d26
RS
9997 }
9998 }
9999 else
10000 {
10001 if (((word >> 16) & 0x1) == 0)
10002 {
10003 if (((word >> 19) & 0x1) == 0)
10004 {
10005 /* 33222222222211111111110000000000
10006 10987654321098765432109876543210
7684e580 10007 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 10008 ptest. */
b731bc3b 10009 return 1772;
c0890d26
RS
10010 }
10011 else
10012 {
10013 if (((word >> 10) & 0x1) == 0)
10014 {
10015 if (((word >> 12) & 0x1) == 0)
10016 {
10017 if (((word >> 13) & 0x1) == 0)
10018 {
10019 /* 33222222222211111111110000000000
10020 10987654321098765432109876543210
7684e580 10021 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 10022 pfirst. */
b731bc3b 10023 return 1742;
c0890d26
RS
10024 }
10025 else
10026 {
10027 /* 33222222222211111111110000000000
10028 10987654321098765432109876543210
7684e580 10029 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 10030 ptrue. */
b731bc3b 10031 return 1773;
c0890d26
RS
10032 }
10033 }
10034 else
10035 {
10036 if (((word >> 22) & 0x1) == 0)
10037 {
10038 /* 33222222222211111111110000000000
10039 10987654321098765432109876543210
7684e580 10040 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 10041 rdffr. */
b731bc3b 10042 return 1779;
c0890d26
RS
10043 }
10044 else
10045 {
10046 /* 33222222222211111111110000000000
10047 10987654321098765432109876543210
7684e580 10048 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 10049 rdffrs. */
b731bc3b 10050 return 1780;
c0890d26
RS
10051 }
10052 }
10053 }
10054 else
10055 {
10056 /* 33222222222211111111110000000000
10057 10987654321098765432109876543210
7684e580 10058 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 10059 pfalse. */
b731bc3b 10060 return 1741;
c0890d26
RS
10061 }
10062 }
10063 }
10064 else
10065 {
10066 if (((word >> 10) & 0x1) == 0)
10067 {
10068 if (((word >> 12) & 0x1) == 0)
10069 {
10070 /* 33222222222211111111110000000000
10071 10987654321098765432109876543210
7684e580 10072 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 10073 ptrues. */
b731bc3b 10074 return 1774;
c0890d26
RS
10075 }
10076 else
10077 {
10078 /* 33222222222211111111110000000000
10079 10987654321098765432109876543210
7684e580 10080 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 10081 rdffr. */
b731bc3b 10082 return 1778;
c0890d26
RS
10083 }
10084 }
10085 else
10086 {
10087 /* 33222222222211111111110000000000
10088 10987654321098765432109876543210
7684e580 10089 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 10090 pnext. */
b731bc3b 10091 return 1743;
c0890d26
RS
10092 }
10093 }
10094 }
10095 }
10096 else
10097 {
10098 if (((word >> 22) & 0x1) == 0)
10099 {
10100 /* 33222222222211111111110000000000
10101 10987654321098765432109876543210
7684e580 10102 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 10103 brkpb. */
b731bc3b 10104 return 1288;
c0890d26
RS
10105 }
10106 else
10107 {
10108 /* 33222222222211111111110000000000
10109 10987654321098765432109876543210
7684e580 10110 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 10111 brkpbs. */
b731bc3b 10112 return 1289;
c0890d26
RS
10113 }
10114 }
10115 }
10116 else
10117 {
10118 if (((word >> 13) & 0x1) == 0)
10119 {
10120 if (((word >> 22) & 0x1) == 0)
10121 {
10122 if (((word >> 23) & 0x1) == 0)
10123 {
10124 /* 33222222222211111111110000000000
10125 10987654321098765432109876543210
7684e580 10126 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 10127 ldnt1w. */
b731bc3b 10128 return 1703;
c0890d26
RS
10129 }
10130 else
10131 {
10132 /* 33222222222211111111110000000000
10133 10987654321098765432109876543210
7684e580 10134 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 10135 ldnt1d. */
b731bc3b 10136 return 1699;
c0890d26
RS
10137 }
10138 }
10139 else
10140 {
10141 if (((word >> 23) & 0x1) == 0)
10142 {
10143 /* 33222222222211111111110000000000
10144 10987654321098765432109876543210
7684e580 10145 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 10146 ld3w. */
b731bc3b 10147 return 1595;
c0890d26
RS
10148 }
10149 else
10150 {
10151 /* 33222222222211111111110000000000
10152 10987654321098765432109876543210
7684e580 10153 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 10154 ld3d. */
b731bc3b 10155 return 1591;
c0890d26
RS
10156 }
10157 }
10158 }
10159 else
10160 {
10161 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
10162 {
10163 if (((word >> 23) & 0x1) == 0)
10164 {
10165 /* 33222222222211111111110000000000
10166 10987654321098765432109876543210
7684e580 10167 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf 10168 ldnt1w. */
b731bc3b 10169 return 1704;
582e12bf
RS
10170 }
10171 else
10172 {
10173 /* 33222222222211111111110000000000
10174 10987654321098765432109876543210
7684e580 10175 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf 10176 ldnt1d. */
b731bc3b 10177 return 1700;
582e12bf
RS
10178 }
10179 }
10180 else
10181 {
10182 if (((word >> 23) & 0x1) == 0)
10183 {
10184 /* 33222222222211111111110000000000
10185 10987654321098765432109876543210
7684e580 10186 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf 10187 ld3w. */
b731bc3b 10188 return 1596;
c0890d26
RS
10189 }
10190 else
582e12bf
RS
10191 {
10192 /* 33222222222211111111110000000000
10193 10987654321098765432109876543210
7684e580 10194 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf 10195 ld3d. */
b731bc3b 10196 return 1592;
582e12bf
RS
10197 }
10198 }
10199 }
10200 }
10201 }
10202 }
10203 else
10204 {
10205 if (((word >> 13) & 0x1) == 0)
10206 {
10207 if (((word >> 31) & 0x1) == 0)
10208 {
10209 if (((word >> 14) & 0x1) == 0)
10210 {
10211 if (((word >> 19) & 0x1) == 0)
10212 {
10213 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10214 {
10215 if (((word >> 16) & 0x1) == 0)
10216 {
10217 if (((word >> 17) & 0x1) == 0)
10218 {
10219 if (((word >> 18) & 0x1) == 0)
10220 {
582e12bf
RS
10221 /* 33222222222211111111110000000000
10222 10987654321098765432109876543210
7684e580 10223 011001x1xx000000100xxxxxxxxxxxxx
582e12bf 10224 fadd. */
b731bc3b 10225 return 1362;
c0890d26
RS
10226 }
10227 else
10228 {
582e12bf
RS
10229 /* 33222222222211111111110000000000
10230 10987654321098765432109876543210
7684e580 10231 011001x1xx000100100xxxxxxxxxxxxx
582e12bf 10232 fmaxnm. */
b731bc3b 10233 return 1409;
c0890d26
RS
10234 }
10235 }
10236 else
10237 {
10238 if (((word >> 18) & 0x1) == 0)
10239 {
582e12bf
RS
10240 /* 33222222222211111111110000000000
10241 10987654321098765432109876543210
7684e580 10242 011001x1xx000010100xxxxxxxxxxxxx
582e12bf 10243 fmul. */
b731bc3b 10244 return 1429;
c0890d26
RS
10245 }
10246 else
10247 {
10248 /* 33222222222211111111110000000000
10249 10987654321098765432109876543210
7684e580 10250 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 10251 fmax. */
b731bc3b 10252 return 1407;
c0890d26
RS
10253 }
10254 }
10255 }
10256 else
10257 {
10258 if (((word >> 17) & 0x1) == 0)
10259 {
10260 if (((word >> 18) & 0x1) == 0)
10261 {
582e12bf
RS
10262 /* 33222222222211111111110000000000
10263 10987654321098765432109876543210
7684e580 10264 011001x1xx000001100xxxxxxxxxxxxx
582e12bf 10265 fsub. */
b731bc3b 10266 return 1455;
c0890d26
RS
10267 }
10268 else
10269 {
582e12bf
RS
10270 /* 33222222222211111111110000000000
10271 10987654321098765432109876543210
7684e580 10272 011001x1xx000101100xxxxxxxxxxxxx
582e12bf 10273 fminnm. */
b731bc3b 10274 return 1415;
c0890d26
RS
10275 }
10276 }
10277 else
10278 {
10279 if (((word >> 18) & 0x1) == 0)
10280 {
10281 /* 33222222222211111111110000000000
10282 10987654321098765432109876543210
7684e580 10283 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 10284 fsubr. */
b731bc3b 10285 return 1457;
c0890d26
RS
10286 }
10287 else
10288 {
10289 /* 33222222222211111111110000000000
10290 10987654321098765432109876543210
7684e580 10291 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 10292 fmin. */
b731bc3b 10293 return 1413;
c0890d26
RS
10294 }
10295 }
10296 }
10297 }
582e12bf
RS
10298 else
10299 {
10300 /* 33222222222211111111110000000000
10301 10987654321098765432109876543210
7684e580 10302 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf 10303 ftmad. */
b731bc3b 10304 return 1459;
582e12bf 10305 }
c0890d26
RS
10306 }
10307 else
10308 {
582e12bf 10309 if (((word >> 16) & 0x1) == 0)
c0890d26 10310 {
582e12bf
RS
10311 if (((word >> 17) & 0x1) == 0)
10312 {
10313 if (((word >> 18) & 0x1) == 0)
10314 {
10315 if (((word >> 20) & 0x1) == 0)
10316 {
10317 /* 33222222222211111111110000000000
10318 10987654321098765432109876543210
7684e580 10319 011001x1xx001000100xxxxxxxxxxxxx
582e12bf 10320 fabd. */
b731bc3b 10321 return 1357;
582e12bf
RS
10322 }
10323 else
10324 {
10325 /* 33222222222211111111110000000000
10326 10987654321098765432109876543210
7684e580 10327 011001x1xx011000100xxxxxxxxxxxxx
582e12bf 10328 fadd. */
b731bc3b 10329 return 1363;
582e12bf
RS
10330 }
10331 }
10332 else
10333 {
10334 if (((word >> 20) & 0x1) == 0)
10335 {
10336 /* 33222222222211111111110000000000
10337 10987654321098765432109876543210
7684e580 10338 011001x1xx001100100xxxxxxxxxxxxx
582e12bf 10339 fdivr. */
b731bc3b 10340 return 1403;
582e12bf
RS
10341 }
10342 else
10343 {
10344 /* 33222222222211111111110000000000
10345 10987654321098765432109876543210
7684e580 10346 011001x1xx011100100xxxxxxxxxxxxx
582e12bf 10347 fmaxnm. */
b731bc3b 10348 return 1410;
582e12bf
RS
10349 }
10350 }
10351 }
10352 else
10353 {
10354 if (((word >> 18) & 0x1) == 0)
10355 {
10356 if (((word >> 20) & 0x1) == 0)
10357 {
10358 /* 33222222222211111111110000000000
10359 10987654321098765432109876543210
7684e580 10360 011001x1xx001010100xxxxxxxxxxxxx
582e12bf 10361 fmulx. */
b731bc3b 10362 return 1434;
582e12bf
RS
10363 }
10364 else
10365 {
10366 /* 33222222222211111111110000000000
10367 10987654321098765432109876543210
7684e580 10368 011001x1xx011010100xxxxxxxxxxxxx
582e12bf 10369 fmul. */
b731bc3b 10370 return 1430;
582e12bf
RS
10371 }
10372 }
10373 else
10374 {
10375 /* 33222222222211111111110000000000
10376 10987654321098765432109876543210
7684e580 10377 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf 10378 fmax. */
b731bc3b 10379 return 1408;
582e12bf
RS
10380 }
10381 }
c0890d26
RS
10382 }
10383 else
10384 {
582e12bf
RS
10385 if (((word >> 17) & 0x1) == 0)
10386 {
10387 if (((word >> 18) & 0x1) == 0)
10388 {
10389 if (((word >> 20) & 0x1) == 0)
10390 {
10391 /* 33222222222211111111110000000000
10392 10987654321098765432109876543210
7684e580 10393 011001x1xx001001100xxxxxxxxxxxxx
582e12bf 10394 fscale. */
b731bc3b 10395 return 1452;
582e12bf
RS
10396 }
10397 else
10398 {
10399 /* 33222222222211111111110000000000
10400 10987654321098765432109876543210
7684e580 10401 011001x1xx011001100xxxxxxxxxxxxx
582e12bf 10402 fsub. */
b731bc3b 10403 return 1456;
582e12bf
RS
10404 }
10405 }
10406 else
10407 {
10408 if (((word >> 20) & 0x1) == 0)
10409 {
10410 /* 33222222222211111111110000000000
10411 10987654321098765432109876543210
7684e580 10412 011001x1xx001101100xxxxxxxxxxxxx
582e12bf 10413 fdiv. */
b731bc3b 10414 return 1402;
582e12bf
RS
10415 }
10416 else
10417 {
10418 /* 33222222222211111111110000000000
10419 10987654321098765432109876543210
7684e580 10420 011001x1xx011101100xxxxxxxxxxxxx
582e12bf 10421 fminnm. */
b731bc3b 10422 return 1416;
582e12bf
RS
10423 }
10424 }
10425 }
10426 else
10427 {
10428 if (((word >> 18) & 0x1) == 0)
10429 {
10430 /* 33222222222211111111110000000000
10431 10987654321098765432109876543210
7684e580 10432 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf 10433 fsubr. */
b731bc3b 10434 return 1458;
582e12bf
RS
10435 }
10436 else
10437 {
10438 /* 33222222222211111111110000000000
10439 10987654321098765432109876543210
7684e580 10440 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf 10441 fmin. */
b731bc3b 10442 return 1414;
582e12bf
RS
10443 }
10444 }
c0890d26
RS
10445 }
10446 }
10447 }
10448 else
10449 {
582e12bf
RS
10450 if (((word >> 4) & 0x1) == 0)
10451 {
10452 /* 33222222222211111111110000000000
10453 10987654321098765432109876543210
7684e580 10454 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf 10455 fcmuo. */
b731bc3b 10456 return 1380;
582e12bf
RS
10457 }
10458 else
10459 {
10460 /* 33222222222211111111110000000000
10461 10987654321098765432109876543210
7684e580 10462 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf 10463 facge. */
b731bc3b 10464 return 1359;
582e12bf 10465 }
c0890d26
RS
10466 }
10467 }
582e12bf 10468 else
c0890d26 10469 {
582e12bf 10470 if (((word >> 22) & 0x1) == 0)
c0890d26 10471 {
582e12bf 10472 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10473 {
10474 /* 33222222222211111111110000000000
10475 10987654321098765432109876543210
7684e580 10476 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 10477 st1w. */
b731bc3b 10478 return 1878;
c0890d26
RS
10479 }
10480 else
10481 {
10482 /* 33222222222211111111110000000000
10483 10987654321098765432109876543210
7684e580 10484 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf 10485 st1d. */
b731bc3b 10486 return 1857;
c0890d26
RS
10487 }
10488 }
10489 else
10490 {
582e12bf
RS
10491 /* 33222222222211111111110000000000
10492 10987654321098765432109876543210
7684e580 10493 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf 10494 st1w. */
b731bc3b 10495 return 1883;
582e12bf
RS
10496 }
10497 }
10498 }
10499 else
10500 {
10501 if (((word >> 14) & 0x1) == 0)
10502 {
10503 if (((word >> 31) & 0x1) == 0)
10504 {
10505 if (((word >> 16) & 0x1) == 0)
c0890d26 10506 {
582e12bf 10507 if (((word >> 17) & 0x1) == 0)
c0890d26 10508 {
582e12bf 10509 if (((word >> 18) & 0x1) == 0)
c0890d26 10510 {
582e12bf 10511 if (((word >> 19) & 0x1) == 0)
c0890d26 10512 {
582e12bf 10513 if (((word >> 20) & 0x1) == 0)
c0890d26 10514 {
582e12bf
RS
10515 /* 33222222222211111111110000000000
10516 10987654321098765432109876543210
7684e580 10517 011001x1xx000000101xxxxxxxxxxxxx
582e12bf 10518 frintn. */
b731bc3b 10519 return 1446;
582e12bf
RS
10520 }
10521 else
10522 {
10523 /* 33222222222211111111110000000000
10524 10987654321098765432109876543210
7684e580 10525 011001x1xx010000101xxxxxxxxxxxxx
582e12bf 10526 scvtf. */
b731bc3b 10527 return 1792;
582e12bf
RS
10528 }
10529 }
10530 else
10531 {
10532 if (((word >> 20) & 0x1) == 0)
10533 {
10534 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10535 {
10536 /* 33222222222211111111110000000000
10537 10987654321098765432109876543210
7684e580 10538 011001x1x0001000101xxxxxxxxxxxxx
582e12bf 10539 fcvt. */
b731bc3b 10540 return 1382;
c0890d26
RS
10541 }
10542 else
10543 {
10544 /* 33222222222211111111110000000000
10545 10987654321098765432109876543210
7684e580 10546 011001x1x1001000101xxxxxxxxxxxxx
582e12bf 10547 fcvt. */
b731bc3b 10548 return 1384;
c0890d26
RS
10549 }
10550 }
10551 else
10552 {
582e12bf
RS
10553 /* 33222222222211111111110000000000
10554 10987654321098765432109876543210
7684e580 10555 011001x1xx011000101xxxxxxxxxxxxx
582e12bf 10556 fcvtzs. */
b731bc3b 10557 return 1392;
c0890d26
RS
10558 }
10559 }
582e12bf
RS
10560 }
10561 else
10562 {
10563 if (((word >> 19) & 0x1) == 0)
c0890d26 10564 {
582e12bf 10565 if (((word >> 20) & 0x1) == 0)
c0890d26 10566 {
582e12bf
RS
10567 /* 33222222222211111111110000000000
10568 10987654321098765432109876543210
7684e580 10569 011001x1xx000100101xxxxxxxxxxxxx
582e12bf 10570 frinta. */
b731bc3b 10571 return 1443;
582e12bf
RS
10572 }
10573 else
10574 {
10575 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10576 {
10577 /* 33222222222211111111110000000000
10578 10987654321098765432109876543210
7684e580 10579 011001x1x0010100101xxxxxxxxxxxxx
582e12bf 10580 scvtf. */
b731bc3b 10581 return 1791;
c0890d26
RS
10582 }
10583 else
10584 {
582e12bf 10585 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10586 {
10587 /* 33222222222211111111110000000000
10588 10987654321098765432109876543210
7684e580 10589 011001x101010100101xxxxxxxxxxxxx
c0890d26 10590 scvtf. */
b731bc3b 10591 return 1790;
c0890d26
RS
10592 }
10593 else
10594 {
10595 /* 33222222222211111111110000000000
10596 10987654321098765432109876543210
7684e580 10597 011001x111010100101xxxxxxxxxxxxx
c0890d26 10598 scvtf. */
b731bc3b 10599 return 1794;
c0890d26
RS
10600 }
10601 }
10602 }
582e12bf
RS
10603 }
10604 else
10605 {
10606 if (((word >> 20) & 0x1) == 0)
10607 {
10608 /* 33222222222211111111110000000000
10609 10987654321098765432109876543210
7684e580 10610 011001x1xx001100101xxxxxxxxxxxxx
582e12bf 10611 frecpx. */
b731bc3b 10612 return 1442;
582e12bf 10613 }
c0890d26
RS
10614 else
10615 {
582e12bf 10616 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10617 {
10618 /* 33222222222211111111110000000000
10619 10987654321098765432109876543210
7684e580 10620 011001x1x0011100101xxxxxxxxxxxxx
582e12bf 10621 fcvtzs. */
b731bc3b 10622 return 1391;
c0890d26
RS
10623 }
10624 else
10625 {
582e12bf 10626 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10627 {
10628 /* 33222222222211111111110000000000
10629 10987654321098765432109876543210
7684e580 10630 011001x101011100101xxxxxxxxxxxxx
c0890d26 10631 fcvtzs. */
b731bc3b 10632 return 1389;
c0890d26
RS
10633 }
10634 else
10635 {
10636 /* 33222222222211111111110000000000
10637 10987654321098765432109876543210
7684e580 10638 011001x111011100101xxxxxxxxxxxxx
c0890d26 10639 fcvtzs. */
b731bc3b 10640 return 1393;
c0890d26
RS
10641 }
10642 }
10643 }
10644 }
10645 }
582e12bf
RS
10646 }
10647 else
10648 {
10649 if (((word >> 18) & 0x1) == 0)
c0890d26 10650 {
582e12bf 10651 if (((word >> 19) & 0x1) == 0)
c0890d26 10652 {
582e12bf 10653 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10654 {
10655 /* 33222222222211111111110000000000
10656 10987654321098765432109876543210
7684e580 10657 011001x1xx000010101xxxxxxxxxxxxx
c0890d26 10658 frintm. */
b731bc3b 10659 return 1445;
c0890d26
RS
10660 }
10661 else
10662 {
10663 /* 33222222222211111111110000000000
10664 10987654321098765432109876543210
7684e580 10665 011001x1xx010010101xxxxxxxxxxxxx
582e12bf 10666 scvtf. */
b731bc3b 10667 return 1789;
c0890d26
RS
10668 }
10669 }
10670 else
10671 {
582e12bf 10672 if (((word >> 20) & 0x1) == 0)
c0890d26 10673 {
582e12bf
RS
10674 /* 33222222222211111111110000000000
10675 10987654321098765432109876543210
7684e580 10676 011001x1xx001010101xxxxxxxxxxxxx
582e12bf 10677 fcvt. */
b731bc3b 10678 return 1386;
582e12bf
RS
10679 }
10680 else
10681 {
10682 /* 33222222222211111111110000000000
10683 10987654321098765432109876543210
7684e580 10684 011001x1xx011010101xxxxxxxxxxxxx
582e12bf 10685 fcvtzs. */
b731bc3b 10686 return 1388;
582e12bf
RS
10687 }
10688 }
10689 }
10690 else
10691 {
10692 if (((word >> 19) & 0x1) == 0)
10693 {
10694 if (((word >> 20) & 0x1) == 0)
10695 {
10696 /* 33222222222211111111110000000000
10697 10987654321098765432109876543210
7684e580 10698 011001x1xx000110101xxxxxxxxxxxxx
582e12bf 10699 frintx. */
b731bc3b 10700 return 1448;
582e12bf
RS
10701 }
10702 else
10703 {
10704 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10705 {
10706 /* 33222222222211111111110000000000
10707 10987654321098765432109876543210
7684e580 10708 011001x10x010110101xxxxxxxxxxxxx
582e12bf 10709 scvtf. */
b731bc3b 10710 return 1793;
c0890d26
RS
10711 }
10712 else
10713 {
10714 /* 33222222222211111111110000000000
10715 10987654321098765432109876543210
7684e580 10716 011001x11x010110101xxxxxxxxxxxxx
c0890d26 10717 scvtf. */
b731bc3b 10718 return 1795;
c0890d26
RS
10719 }
10720 }
582e12bf
RS
10721 }
10722 else
10723 {
10724 if (((word >> 23) & 0x1) == 0)
10725 {
10726 /* 33222222222211111111110000000000
10727 10987654321098765432109876543210
7684e580 10728 011001x10x0x1110101xxxxxxxxxxxxx
582e12bf 10729 fcvtzs. */
b731bc3b 10730 return 1390;
582e12bf 10731 }
c0890d26
RS
10732 else
10733 {
10734 /* 33222222222211111111110000000000
10735 10987654321098765432109876543210
7684e580 10736 011001x11x0x1110101xxxxxxxxxxxxx
c0890d26 10737 fcvtzs. */
b731bc3b 10738 return 1394;
c0890d26
RS
10739 }
10740 }
10741 }
10742 }
582e12bf
RS
10743 }
10744 else
10745 {
10746 if (((word >> 17) & 0x1) == 0)
c0890d26 10747 {
582e12bf 10748 if (((word >> 18) & 0x1) == 0)
c0890d26 10749 {
582e12bf 10750 if (((word >> 19) & 0x1) == 0)
c0890d26 10751 {
582e12bf 10752 if (((word >> 20) & 0x1) == 0)
c0890d26 10753 {
582e12bf
RS
10754 /* 33222222222211111111110000000000
10755 10987654321098765432109876543210
7684e580 10756 011001x1xx000001101xxxxxxxxxxxxx
582e12bf 10757 frintp. */
b731bc3b 10758 return 1447;
582e12bf
RS
10759 }
10760 else
10761 {
10762 /* 33222222222211111111110000000000
10763 10987654321098765432109876543210
7684e580 10764 011001x1xx010001101xxxxxxxxxxxxx
582e12bf 10765 ucvtf. */
b731bc3b 10766 return 1944;
582e12bf
RS
10767 }
10768 }
10769 else
10770 {
10771 if (((word >> 20) & 0x1) == 0)
10772 {
10773 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10774 {
10775 /* 33222222222211111111110000000000
10776 10987654321098765432109876543210
7684e580 10777 011001x1x0001001101xxxxxxxxxxxxx
582e12bf 10778 fcvt. */
b731bc3b 10779 return 1383;
c0890d26
RS
10780 }
10781 else
10782 {
10783 /* 33222222222211111111110000000000
10784 10987654321098765432109876543210
7684e580 10785 011001x1x1001001101xxxxxxxxxxxxx
582e12bf 10786 fcvt. */
b731bc3b 10787 return 1385;
c0890d26
RS
10788 }
10789 }
10790 else
10791 {
582e12bf
RS
10792 /* 33222222222211111111110000000000
10793 10987654321098765432109876543210
7684e580 10794 011001x1xx011001101xxxxxxxxxxxxx
582e12bf 10795 fcvtzu. */
b731bc3b 10796 return 1399;
c0890d26
RS
10797 }
10798 }
582e12bf
RS
10799 }
10800 else
10801 {
10802 if (((word >> 19) & 0x1) == 0)
c0890d26 10803 {
582e12bf 10804 if (((word >> 22) & 0x1) == 0)
c0890d26 10805 {
582e12bf
RS
10806 /* 33222222222211111111110000000000
10807 10987654321098765432109876543210
7684e580 10808 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf 10809 ucvtf. */
b731bc3b 10810 return 1943;
582e12bf
RS
10811 }
10812 else
10813 {
10814 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10815 {
10816 /* 33222222222211111111110000000000
10817 10987654321098765432109876543210
7684e580 10818 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 10819 ucvtf. */
b731bc3b 10820 return 1942;
c0890d26
RS
10821 }
10822 else
10823 {
10824 /* 33222222222211111111110000000000
10825 10987654321098765432109876543210
7684e580 10826 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 10827 ucvtf. */
b731bc3b 10828 return 1946;
c0890d26
RS
10829 }
10830 }
582e12bf
RS
10831 }
10832 else
10833 {
10834 if (((word >> 20) & 0x1) == 0)
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
7684e580 10838 011001x1xx001101101xxxxxxxxxxxxx
582e12bf 10839 fsqrt. */
b731bc3b 10840 return 1453;
582e12bf 10841 }
c0890d26
RS
10842 else
10843 {
582e12bf 10844 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10845 {
10846 /* 33222222222211111111110000000000
10847 10987654321098765432109876543210
7684e580 10848 011001x1x0011101101xxxxxxxxxxxxx
582e12bf 10849 fcvtzu. */
b731bc3b 10850 return 1398;
c0890d26
RS
10851 }
10852 else
10853 {
582e12bf 10854 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10855 {
10856 /* 33222222222211111111110000000000
10857 10987654321098765432109876543210
7684e580 10858 011001x101011101101xxxxxxxxxxxxx
c0890d26 10859 fcvtzu. */
b731bc3b 10860 return 1396;
c0890d26
RS
10861 }
10862 else
10863 {
10864 /* 33222222222211111111110000000000
10865 10987654321098765432109876543210
7684e580 10866 011001x111011101101xxxxxxxxxxxxx
c0890d26 10867 fcvtzu. */
b731bc3b 10868 return 1400;
c0890d26
RS
10869 }
10870 }
10871 }
10872 }
10873 }
582e12bf
RS
10874 }
10875 else
10876 {
10877 if (((word >> 18) & 0x1) == 0)
c0890d26 10878 {
582e12bf 10879 if (((word >> 19) & 0x1) == 0)
c0890d26 10880 {
582e12bf 10881 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10882 {
10883 /* 33222222222211111111110000000000
10884 10987654321098765432109876543210
7684e580 10885 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 10886 frintz. */
b731bc3b 10887 return 1449;
c0890d26
RS
10888 }
10889 else
10890 {
10891 /* 33222222222211111111110000000000
10892 10987654321098765432109876543210
7684e580 10893 011001x1xx010011101xxxxxxxxxxxxx
582e12bf 10894 ucvtf. */
b731bc3b 10895 return 1941;
c0890d26
RS
10896 }
10897 }
10898 else
10899 {
582e12bf 10900 if (((word >> 20) & 0x1) == 0)
c0890d26 10901 {
582e12bf
RS
10902 /* 33222222222211111111110000000000
10903 10987654321098765432109876543210
7684e580 10904 011001x1xx001011101xxxxxxxxxxxxx
582e12bf 10905 fcvt. */
b731bc3b 10906 return 1387;
582e12bf
RS
10907 }
10908 else
10909 {
10910 /* 33222222222211111111110000000000
10911 10987654321098765432109876543210
7684e580 10912 011001x1xx011011101xxxxxxxxxxxxx
582e12bf 10913 fcvtzu. */
b731bc3b 10914 return 1395;
582e12bf
RS
10915 }
10916 }
10917 }
10918 else
10919 {
10920 if (((word >> 19) & 0x1) == 0)
10921 {
10922 if (((word >> 20) & 0x1) == 0)
10923 {
10924 /* 33222222222211111111110000000000
10925 10987654321098765432109876543210
7684e580 10926 011001x1xx000111101xxxxxxxxxxxxx
582e12bf 10927 frinti. */
b731bc3b 10928 return 1444;
582e12bf
RS
10929 }
10930 else
10931 {
10932 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10933 {
10934 /* 33222222222211111111110000000000
10935 10987654321098765432109876543210
7684e580 10936 011001x10x010111101xxxxxxxxxxxxx
582e12bf 10937 ucvtf. */
b731bc3b 10938 return 1945;
c0890d26
RS
10939 }
10940 else
10941 {
10942 /* 33222222222211111111110000000000
10943 10987654321098765432109876543210
7684e580 10944 011001x11x010111101xxxxxxxxxxxxx
c0890d26 10945 ucvtf. */
b731bc3b 10946 return 1947;
c0890d26
RS
10947 }
10948 }
582e12bf
RS
10949 }
10950 else
10951 {
10952 if (((word >> 23) & 0x1) == 0)
10953 {
10954 /* 33222222222211111111110000000000
10955 10987654321098765432109876543210
7684e580 10956 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf 10957 fcvtzu. */
b731bc3b 10958 return 1397;
582e12bf 10959 }
c0890d26
RS
10960 else
10961 {
10962 /* 33222222222211111111110000000000
10963 10987654321098765432109876543210
7684e580 10964 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 10965 fcvtzu. */
b731bc3b 10966 return 1401;
c0890d26
RS
10967 }
10968 }
10969 }
10970 }
10971 }
582e12bf
RS
10972 }
10973 else
10974 {
10975 if (((word >> 22) & 0x1) == 0)
c0890d26 10976 {
582e12bf 10977 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10978 {
10979 /* 33222222222211111111110000000000
10980 10987654321098765432109876543210
7684e580 10981 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf 10982 st1w. */
b731bc3b 10983 return 1879;
c0890d26
RS
10984 }
10985 else
10986 {
10987 /* 33222222222211111111110000000000
10988 10987654321098765432109876543210
7684e580 10989 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 10990 st1d. */
b731bc3b 10991 return 1858;
c0890d26
RS
10992 }
10993 }
c0890d26
RS
10994 else
10995 {
582e12bf 10996 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10997 {
10998 /* 33222222222211111111110000000000
10999 10987654321098765432109876543210
7684e580 11000 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf 11001 st1w. */
b731bc3b 11002 return 1886;
c0890d26
RS
11003 }
11004 else
11005 {
11006 /* 33222222222211111111110000000000
11007 10987654321098765432109876543210
7684e580 11008 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf 11009 st1d. */
b731bc3b 11010 return 1862;
c0890d26
RS
11011 }
11012 }
11013 }
582e12bf
RS
11014 }
11015 else
11016 {
11017 if (((word >> 31) & 0x1) == 0)
11018 {
11019 /* 33222222222211111111110000000000
11020 10987654321098765432109876543210
7684e580 11021 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf 11022 facgt. */
b731bc3b 11023 return 1360;
582e12bf 11024 }
c0890d26
RS
11025 else
11026 {
582e12bf 11027 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
11028 {
11029 /* 33222222222211111111110000000000
11030 10987654321098765432109876543210
7684e580 11031 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf 11032 st1w. */
b731bc3b 11033 return 1887;
c0890d26
RS
11034 }
11035 else
11036 {
11037 if (((word >> 22) & 0x1) == 0)
11038 {
582e12bf
RS
11039 if (((word >> 23) & 0x1) == 0)
11040 {
11041 /* 33222222222211111111110000000000
11042 10987654321098765432109876543210
7684e580 11043 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf 11044 stnt1w. */
b731bc3b 11045 return 1921;
582e12bf
RS
11046 }
11047 else
11048 {
11049 /* 33222222222211111111110000000000
11050 10987654321098765432109876543210
7684e580 11051 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf 11052 stnt1d. */
b731bc3b 11053 return 1917;
582e12bf 11054 }
c0890d26
RS
11055 }
11056 else
11057 {
582e12bf
RS
11058 if (((word >> 23) & 0x1) == 0)
11059 {
11060 /* 33222222222211111111110000000000
11061 10987654321098765432109876543210
7684e580 11062 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf 11063 st3w. */
b731bc3b 11064 return 1905;
582e12bf
RS
11065 }
11066 else
11067 {
11068 /* 33222222222211111111110000000000
11069 10987654321098765432109876543210
7684e580 11070 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf 11071 st3d. */
b731bc3b 11072 return 1901;
582e12bf 11073 }
c0890d26
RS
11074 }
11075 }
11076 }
11077 }
11078 }
11079 }
11080 }
11081 else
11082 {
11083 if (((word >> 30) & 0x1) == 0)
11084 {
11085 if (((word >> 14) & 0x1) == 0)
11086 {
11087 if (((word >> 20) & 0x1) == 0)
11088 {
11089 if (((word >> 31) & 0x1) == 0)
11090 {
11091 if (((word >> 16) & 0x1) == 0)
11092 {
11093 if (((word >> 17) & 0x1) == 0)
11094 {
11095 if (((word >> 18) & 0x1) == 0)
11096 {
11097 if (((word >> 19) & 0x1) == 0)
11098 {
11099 /* 33222222222211111111110000000000
11100 10987654321098765432109876543210
7684e580 11101 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 11102 cntp. */
b731bc3b 11103 return 1329;
c0890d26
RS
11104 }
11105 else
11106 {
11107 if (((word >> 10) & 0x1) == 0)
11108 {
11109 if (((word >> 11) & 0x1) == 0)
11110 {
11111 if (((word >> 12) & 0x1) == 0)
11112 {
11113 /* 33222222222211111111110000000000
11114 10987654321098765432109876543210
7684e580 11115 001001x1xx10100010x000xxxxxxxxxx
c0890d26 11116 sqincp. */
b731bc3b 11117 return 1836;
c0890d26
RS
11118 }
11119 else
11120 {
11121 /* 33222222222211111111110000000000
11122 10987654321098765432109876543210
7684e580 11123 001001x1xx10100010x100xxxxxxxxxx
c0890d26 11124 wrffr. */
b731bc3b 11125 return 2009;
c0890d26
RS
11126 }
11127 }
11128 else
11129 {
11130 /* 33222222222211111111110000000000
11131 10987654321098765432109876543210
7684e580 11132 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 11133 sqincp. */
b731bc3b 11134 return 1838;
c0890d26
RS
11135 }
11136 }
11137 else
11138 {
11139 /* 33222222222211111111110000000000
11140 10987654321098765432109876543210
7684e580 11141 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 11142 sqincp. */
b731bc3b 11143 return 1837;
c0890d26
RS
11144 }
11145 }
11146 }
11147 else
11148 {
11149 if (((word >> 11) & 0x1) == 0)
11150 {
11151 if (((word >> 12) & 0x1) == 0)
11152 {
11153 /* 33222222222211111111110000000000
11154 10987654321098765432109876543210
7684e580 11155 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 11156 incp. */
b731bc3b 11157 return 1467;
c0890d26
RS
11158 }
11159 else
11160 {
11161 /* 33222222222211111111110000000000
11162 10987654321098765432109876543210
7684e580 11163 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 11164 setffr. */
b731bc3b 11165 return 1803;
c0890d26
RS
11166 }
11167 }
11168 else
11169 {
11170 /* 33222222222211111111110000000000
11171 10987654321098765432109876543210
7684e580 11172 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 11173 incp. */
b731bc3b 11174 return 1468;
c0890d26
RS
11175 }
11176 }
11177 }
11178 else
11179 {
11180 if (((word >> 10) & 0x1) == 0)
11181 {
11182 if (((word >> 11) & 0x1) == 0)
11183 {
11184 /* 33222222222211111111110000000000
11185 10987654321098765432109876543210
7684e580 11186 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 11187 sqdecp. */
b731bc3b 11188 return 1822;
c0890d26
RS
11189 }
11190 else
11191 {
11192 /* 33222222222211111111110000000000
11193 10987654321098765432109876543210
7684e580 11194 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 11195 sqdecp. */
b731bc3b 11196 return 1824;
c0890d26
RS
11197 }
11198 }
11199 else
11200 {
11201 /* 33222222222211111111110000000000
11202 10987654321098765432109876543210
7684e580 11203 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 11204 sqdecp. */
b731bc3b 11205 return 1823;
c0890d26
RS
11206 }
11207 }
11208 }
11209 else
11210 {
11211 if (((word >> 10) & 0x1) == 0)
11212 {
11213 if (((word >> 11) & 0x1) == 0)
11214 {
11215 if (((word >> 17) & 0x1) == 0)
11216 {
11217 if (((word >> 18) & 0x1) == 0)
11218 {
11219 /* 33222222222211111111110000000000
11220 10987654321098765432109876543210
7684e580 11221 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 11222 uqincp. */
b731bc3b 11223 return 1984;
c0890d26
RS
11224 }
11225 else
11226 {
11227 /* 33222222222211111111110000000000
11228 10987654321098765432109876543210
7684e580 11229 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 11230 decp. */
b731bc3b 11231 return 1342;
c0890d26
RS
11232 }
11233 }
11234 else
11235 {
11236 /* 33222222222211111111110000000000
11237 10987654321098765432109876543210
7684e580 11238 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 11239 uqdecp. */
b731bc3b 11240 return 1970;
c0890d26
RS
11241 }
11242 }
11243 else
11244 {
11245 if (((word >> 17) & 0x1) == 0)
11246 {
11247 if (((word >> 18) & 0x1) == 0)
11248 {
11249 /* 33222222222211111111110000000000
11250 10987654321098765432109876543210
7684e580 11251 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 11252 uqincp. */
b731bc3b 11253 return 1985;
c0890d26
RS
11254 }
11255 else
11256 {
11257 /* 33222222222211111111110000000000
11258 10987654321098765432109876543210
7684e580 11259 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 11260 decp. */
b731bc3b 11261 return 1343;
c0890d26
RS
11262 }
11263 }
11264 else
11265 {
11266 /* 33222222222211111111110000000000
11267 10987654321098765432109876543210
7684e580 11268 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 11269 uqdecp. */
b731bc3b 11270 return 1971;
c0890d26
RS
11271 }
11272 }
11273 }
11274 else
11275 {
11276 if (((word >> 17) & 0x1) == 0)
11277 {
11278 /* 33222222222211111111110000000000
11279 10987654321098765432109876543210
7684e580 11280 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 11281 uqincp. */
b731bc3b 11282 return 1986;
c0890d26
RS
11283 }
11284 else
11285 {
11286 /* 33222222222211111111110000000000
11287 10987654321098765432109876543210
7684e580 11288 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 11289 uqdecp. */
b731bc3b 11290 return 1972;
c0890d26
RS
11291 }
11292 }
11293 }
11294 }
11295 else
11296 {
11297 if (((word >> 22) & 0x1) == 0)
11298 {
11299 if (((word >> 23) & 0x1) == 0)
11300 {
11301 /* 33222222222211111111110000000000
11302 10987654321098765432109876543210
7684e580 11303 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 11304 ld1sh. */
b731bc3b 11305 return 1560;
c0890d26
RS
11306 }
11307 else
11308 {
11309 /* 33222222222211111111110000000000
11310 10987654321098765432109876543210
7684e580 11311 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 11312 ld1sb. */
b731bc3b 11313 return 1547;
c0890d26
RS
11314 }
11315 }
11316 else
11317 {
11318 if (((word >> 23) & 0x1) == 0)
11319 {
11320 /* 33222222222211111111110000000000
11321 10987654321098765432109876543210
7684e580 11322 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 11323 ld1w. */
b731bc3b 11324 return 1579;
c0890d26
RS
11325 }
11326 else
11327 {
11328 /* 33222222222211111111110000000000
11329 10987654321098765432109876543210
7684e580 11330 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 11331 ld1d. */
b731bc3b 11332 return 1499;
c0890d26
RS
11333 }
11334 }
11335 }
11336 }
11337 else
11338 {
11339 if (((word >> 22) & 0x1) == 0)
11340 {
11341 if (((word >> 23) & 0x1) == 0)
11342 {
11343 /* 33222222222211111111110000000000
11344 10987654321098765432109876543210
7684e580 11345 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 11346 ldnf1sh. */
b731bc3b 11347 return 1693;
c0890d26
RS
11348 }
11349 else
11350 {
11351 /* 33222222222211111111110000000000
11352 10987654321098765432109876543210
7684e580 11353 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 11354 ldnf1sb. */
b731bc3b 11355 return 1690;
c0890d26
RS
11356 }
11357 }
11358 else
11359 {
11360 if (((word >> 23) & 0x1) == 0)
11361 {
11362 /* 33222222222211111111110000000000
11363 10987654321098765432109876543210
7684e580 11364 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 11365 ldnf1w. */
b731bc3b 11366 return 1696;
c0890d26
RS
11367 }
11368 else
11369 {
11370 /* 33222222222211111111110000000000
11371 10987654321098765432109876543210
7684e580 11372 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 11373 ldnf1d. */
b731bc3b 11374 return 1685;
c0890d26
RS
11375 }
11376 }
11377 }
11378 }
11379 else
11380 {
11381 if (((word >> 31) & 0x1) == 0)
11382 {
11383 if (((word >> 16) & 0x1) == 0)
11384 {
11385 if (((word >> 17) & 0x1) == 0)
11386 {
11387 if (((word >> 18) & 0x1) == 0)
11388 {
11389 if (((word >> 19) & 0x1) == 0)
11390 {
11391 if (((word >> 20) & 0x1) == 0)
11392 {
11393 /* 33222222222211111111110000000000
11394 10987654321098765432109876543210
7684e580 11395 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 11396 add. */
b731bc3b 11397 return 1256;
c0890d26
RS
11398 }
11399 else
11400 {
11401 /* 33222222222211111111110000000000
11402 10987654321098765432109876543210
7684e580 11403 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 11404 mul. */
b731bc3b 11405 return 1725;
c0890d26
RS
11406 }
11407 }
11408 else
11409 {
11410 if (((word >> 20) & 0x1) == 0)
11411 {
11412 /* 33222222222211111111110000000000
11413 10987654321098765432109876543210
7684e580 11414 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 11415 smax. */
b731bc3b 11416 return 1804;
c0890d26
RS
11417 }
11418 else
11419 {
11420 /* 33222222222211111111110000000000
11421 10987654321098765432109876543210
7684e580 11422 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 11423 dup. */
b731bc3b 11424 return 1348;
c0890d26
RS
11425 }
11426 }
11427 }
11428 else
11429 {
11430 /* 33222222222211111111110000000000
11431 10987654321098765432109876543210
7684e580 11432 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 11433 sqadd. */
b731bc3b 11434 return 1813;
c0890d26
RS
11435 }
11436 }
11437 else
11438 {
11439 if (((word >> 18) & 0x1) == 0)
11440 {
11441 /* 33222222222211111111110000000000
11442 10987654321098765432109876543210
7684e580 11443 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 11444 smin. */
b731bc3b 11445 return 1807;
c0890d26
RS
11446 }
11447 else
11448 {
11449 /* 33222222222211111111110000000000
11450 10987654321098765432109876543210
7684e580 11451 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 11452 sqsub. */
b731bc3b 11453 return 1843;
c0890d26
RS
11454 }
11455 }
11456 }
11457 else
11458 {
11459 if (((word >> 17) & 0x1) == 0)
11460 {
11461 if (((word >> 18) & 0x1) == 0)
11462 {
11463 if (((word >> 19) & 0x1) == 0)
11464 {
11465 /* 33222222222211111111110000000000
11466 10987654321098765432109876543210
7684e580 11467 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 11468 sub. */
b731bc3b 11469 return 1925;
c0890d26
RS
11470 }
11471 else
11472 {
11473 if (((word >> 20) & 0x1) == 0)
11474 {
11475 /* 33222222222211111111110000000000
11476 10987654321098765432109876543210
7684e580 11477 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 11478 umax. */
b731bc3b 11479 return 1953;
c0890d26
RS
11480 }
11481 else
11482 {
11483 /* 33222222222211111111110000000000
11484 10987654321098765432109876543210
7684e580 11485 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 11486 fdup. */
b731bc3b 11487 return 1404;
c0890d26
RS
11488 }
11489 }
11490 }
11491 else
11492 {
11493 /* 33222222222211111111110000000000
11494 10987654321098765432109876543210
7684e580 11495 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 11496 uqadd. */
b731bc3b 11497 return 1961;
c0890d26
RS
11498 }
11499 }
11500 else
11501 {
11502 if (((word >> 18) & 0x1) == 0)
11503 {
11504 if (((word >> 19) & 0x1) == 0)
11505 {
11506 /* 33222222222211111111110000000000
11507 10987654321098765432109876543210
7684e580 11508 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 11509 subr. */
b731bc3b 11510 return 1927;
c0890d26
RS
11511 }
11512 else
11513 {
11514 /* 33222222222211111111110000000000
11515 10987654321098765432109876543210
7684e580 11516 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 11517 umin. */
b731bc3b 11518 return 1956;
c0890d26
RS
11519 }
11520 }
11521 else
11522 {
11523 /* 33222222222211111111110000000000
11524 10987654321098765432109876543210
7684e580 11525 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 11526 uqsub. */
b731bc3b 11527 return 1991;
c0890d26
RS
11528 }
11529 }
11530 }
11531 }
11532 else
11533 {
11534 if (((word >> 13) & 0x1) == 0)
11535 {
11536 if (((word >> 22) & 0x1) == 0)
11537 {
11538 if (((word >> 23) & 0x1) == 0)
11539 {
11540 /* 33222222222211111111110000000000
11541 10987654321098765432109876543210
7684e580 11542 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11543 ld2w. */
b731bc3b 11544 return 1587;
c0890d26
RS
11545 }
11546 else
11547 {
11548 /* 33222222222211111111110000000000
11549 10987654321098765432109876543210
7684e580 11550 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 11551 ld2d. */
b731bc3b 11552 return 1583;
c0890d26
RS
11553 }
11554 }
11555 else
11556 {
11557 if (((word >> 23) & 0x1) == 0)
11558 {
11559 /* 33222222222211111111110000000000
11560 10987654321098765432109876543210
7684e580 11561 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 11562 ld4w. */
b731bc3b 11563 return 1603;
c0890d26
RS
11564 }
11565 else
11566 {
11567 /* 33222222222211111111110000000000
11568 10987654321098765432109876543210
7684e580 11569 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 11570 ld4d. */
b731bc3b 11571 return 1599;
c0890d26
RS
11572 }
11573 }
11574 }
11575 else
11576 {
11577 if (((word >> 22) & 0x1) == 0)
11578 {
11579 if (((word >> 23) & 0x1) == 0)
11580 {
11581 /* 33222222222211111111110000000000
11582 10987654321098765432109876543210
7684e580 11583 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 11584 ld2w. */
b731bc3b 11585 return 1588;
c0890d26
RS
11586 }
11587 else
11588 {
11589 /* 33222222222211111111110000000000
11590 10987654321098765432109876543210
7684e580 11591 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 11592 ld2d. */
b731bc3b 11593 return 1584;
c0890d26
RS
11594 }
11595 }
11596 else
11597 {
11598 if (((word >> 23) & 0x1) == 0)
11599 {
11600 /* 33222222222211111111110000000000
11601 10987654321098765432109876543210
7684e580 11602 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 11603 ld4w. */
b731bc3b 11604 return 1604;
c0890d26
RS
11605 }
11606 else
11607 {
11608 /* 33222222222211111111110000000000
11609 10987654321098765432109876543210
7684e580 11610 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 11611 ld4d. */
b731bc3b 11612 return 1600;
c0890d26
RS
11613 }
11614 }
11615 }
11616 }
11617 }
11618 }
11619 else
11620 {
11621 if (((word >> 13) & 0x1) == 0)
11622 {
582e12bf 11623 if (((word >> 31) & 0x1) == 0)
c0890d26 11624 {
582e12bf 11625 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
11626 {
11627 /* 33222222222211111111110000000000
11628 10987654321098765432109876543210
7684e580 11629 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf 11630 fmad. */
b731bc3b 11631 return 1406;
c0890d26
RS
11632 }
11633 else
11634 {
11635 /* 33222222222211111111110000000000
11636 10987654321098765432109876543210
7684e580 11637 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf 11638 fnmad. */
b731bc3b 11639 return 1436;
c0890d26
RS
11640 }
11641 }
11642 else
11643 {
582e12bf 11644 if (((word >> 22) & 0x1) == 0)
c0890d26 11645 {
582e12bf 11646 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11647 {
11648 /* 33222222222211111111110000000000
11649 10987654321098765432109876543210
7684e580 11650 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf 11651 st1w. */
b731bc3b 11652 return 1880;
c0890d26
RS
11653 }
11654 else
11655 {
11656 /* 33222222222211111111110000000000
11657 10987654321098765432109876543210
7684e580 11658 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf 11659 st1d. */
b731bc3b 11660 return 1859;
c0890d26
RS
11661 }
11662 }
11663 else
11664 {
11665 /* 33222222222211111111110000000000
11666 10987654321098765432109876543210
7684e580 11667 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 11668 st1w. */
b731bc3b 11669 return 1885;
c0890d26
RS
11670 }
11671 }
11672 }
11673 else
11674 {
11675 if (((word >> 14) & 0x1) == 0)
11676 {
582e12bf 11677 if (((word >> 31) & 0x1) == 0)
c0890d26 11678 {
582e12bf
RS
11679 /* 33222222222211111111110000000000
11680 10987654321098765432109876543210
7684e580 11681 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf 11682 fmsb. */
b731bc3b 11683 return 1427;
c0890d26
RS
11684 }
11685 else
11686 {
582e12bf 11687 if (((word >> 22) & 0x1) == 0)
c0890d26 11688 {
582e12bf
RS
11689 if (((word >> 23) & 0x1) == 0)
11690 {
11691 /* 33222222222211111111110000000000
11692 10987654321098765432109876543210
7684e580 11693 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf 11694 st1w. */
b731bc3b 11695 return 1881;
582e12bf
RS
11696 }
11697 else
11698 {
11699 /* 33222222222211111111110000000000
11700 10987654321098765432109876543210
7684e580 11701 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf 11702 st1d. */
b731bc3b 11703 return 1860;
582e12bf 11704 }
c0890d26
RS
11705 }
11706 else
11707 {
11708 /* 33222222222211111111110000000000
11709 10987654321098765432109876543210
7684e580 11710 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf 11711 st1w. */
b731bc3b 11712 return 1888;
c0890d26
RS
11713 }
11714 }
11715 }
11716 else
11717 {
582e12bf
RS
11718 if (((word >> 31) & 0x1) == 0)
11719 {
11720 /* 33222222222211111111110000000000
11721 10987654321098765432109876543210
7684e580 11722 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf 11723 fnmsb. */
b731bc3b 11724 return 1439;
582e12bf
RS
11725 }
11726 else
c0890d26
RS
11727 {
11728 if (((word >> 20) & 0x1) == 0)
11729 {
582e12bf 11730 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11731 {
11732 /* 33222222222211111111110000000000
11733 10987654321098765432109876543210
7684e580 11734 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf 11735 st1w. */
b731bc3b 11736 return 1889;
c0890d26
RS
11737 }
11738 else
11739 {
11740 /* 33222222222211111111110000000000
11741 10987654321098765432109876543210
7684e580 11742 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf 11743 st1d. */
b731bc3b 11744 return 1863;
c0890d26
RS
11745 }
11746 }
c0890d26
RS
11747 else
11748 {
582e12bf 11749 if (((word >> 22) & 0x1) == 0)
c0890d26 11750 {
582e12bf
RS
11751 if (((word >> 23) & 0x1) == 0)
11752 {
11753 /* 33222222222211111111110000000000
11754 10987654321098765432109876543210
7684e580 11755 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf 11756 st2w. */
b731bc3b 11757 return 1897;
582e12bf
RS
11758 }
11759 else
11760 {
11761 /* 33222222222211111111110000000000
11762 10987654321098765432109876543210
7684e580 11763 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf 11764 st2d. */
b731bc3b 11765 return 1893;
582e12bf 11766 }
c0890d26
RS
11767 }
11768 else
11769 {
582e12bf 11770 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11771 {
11772 /* 33222222222211111111110000000000
11773 10987654321098765432109876543210
7684e580 11774 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf 11775 st4w. */
b731bc3b 11776 return 1913;
c0890d26
RS
11777 }
11778 else
11779 {
11780 /* 33222222222211111111110000000000
11781 10987654321098765432109876543210
7684e580 11782 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 11783 st4d. */
b731bc3b 11784 return 1909;
c0890d26
RS
11785 }
11786 }
11787 }
11788 }
11789 }
11790 }
11791 }
11792 }
11793 }
11794 }
11795 }
11796 }
11797 else
11798 {
11799 if (((word >> 29) & 0x1) == 0)
a06ea964 11800 {
c0890d26 11801 if (((word >> 30) & 0x1) == 0)
a06ea964 11802 {
c0890d26
RS
11803 if (((word >> 31) & 0x1) == 0)
11804 {
11805 /* 33222222222211111111110000000000
11806 10987654321098765432109876543210
7684e580 11807 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11808 b. */
193614f2 11809 return 636;
c0890d26
RS
11810 }
11811 else
11812 {
11813 /* 33222222222211111111110000000000
11814 10987654321098765432109876543210
7684e580 11815 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11816 bl. */
193614f2 11817 return 637;
c0890d26 11818 }
a06ea964
NC
11819 }
11820 else
11821 {
c0890d26 11822 if (((word >> 24) & 0x1) == 0)
a06ea964 11823 {
74f5402d 11824 if (((word >> 4) & 0x1) == 0)
a06ea964 11825 {
74f5402d 11826 if (((word >> 25) & 0x1) == 0)
c0890d26 11827 {
74f5402d
SN
11828 if (((word >> 31) & 0x1) == 0)
11829 {
11830 /* 33222222222211111111110000000000
11831 10987654321098765432109876543210
7684e580 11832 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 11833 b.c. */
193614f2 11834 return 657;
74f5402d
SN
11835 }
11836 else
a06ea964 11837 {
74f5402d 11838 if (((word >> 0) & 0x1) == 0)
a06ea964 11839 {
74f5402d 11840 if (((word >> 1) & 0x1) == 0)
c0890d26 11841 {
74f5402d
SN
11842 if (((word >> 21) & 0x1) == 0)
11843 {
11844 /* 33222222222211111111110000000000
11845 10987654321098765432109876543210
7684e580 11846 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 11847 hlt. */
b731bc3b 11848 return 753;
74f5402d
SN
11849 }
11850 else
11851 {
11852 /* 33222222222211111111110000000000
11853 10987654321098765432109876543210
7684e580 11854 11010100xx1xxxxxxxxxxxxxxxx0xx00
74f5402d 11855 brk. */
b731bc3b 11856 return 752;
74f5402d 11857 }
c0890d26
RS
11858 }
11859 else
11860 {
74f5402d
SN
11861 if (((word >> 21) & 0x1) == 0)
11862 {
11863 /* 33222222222211111111110000000000
11864 10987654321098765432109876543210
7684e580 11865 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 11866 hvc. */
b731bc3b 11867 return 750;
74f5402d
SN
11868 }
11869 else
11870 {
11871 /* 33222222222211111111110000000000
11872 10987654321098765432109876543210
7684e580 11873 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 11874 dcps2. */
b731bc3b 11875 return 755;
74f5402d 11876 }
c0890d26 11877 }
a06ea964
NC
11878 }
11879 else
11880 {
74f5402d 11881 if (((word >> 1) & 0x1) == 0)
c0890d26 11882 {
74f5402d
SN
11883 if (((word >> 21) & 0x1) == 0)
11884 {
11885 /* 33222222222211111111110000000000
11886 10987654321098765432109876543210
7684e580 11887 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 11888 svc. */
b731bc3b 11889 return 749;
74f5402d
SN
11890 }
11891 else
11892 {
11893 /* 33222222222211111111110000000000
11894 10987654321098765432109876543210
7684e580 11895 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 11896 dcps1. */
b731bc3b 11897 return 754;
74f5402d 11898 }
c0890d26
RS
11899 }
11900 else
11901 {
74f5402d
SN
11902 if (((word >> 21) & 0x1) == 0)
11903 {
11904 /* 33222222222211111111110000000000
11905 10987654321098765432109876543210
7684e580 11906 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 11907 smc. */
b731bc3b 11908 return 751;
74f5402d
SN
11909 }
11910 else
11911 {
11912 /* 33222222222211111111110000000000
11913 10987654321098765432109876543210
7684e580 11914 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 11915 dcps3. */
b731bc3b 11916 return 756;
74f5402d 11917 }
c0890d26 11918 }
a06ea964
NC
11919 }
11920 }
74f5402d
SN
11921 }
11922 else
11923 {
11924 if (((word >> 21) & 0x1) == 0)
a06ea964 11925 {
74f5402d 11926 if (((word >> 22) & 0x1) == 0)
a06ea964 11927 {
74f5402d 11928 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11929 {
11930 /* 33222222222211111111110000000000
11931 10987654321098765432109876543210
7684e580 11932 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 11933 br. */
193614f2 11934 return 638;
c0890d26
RS
11935 }
11936 else
11937 {
11938 /* 33222222222211111111110000000000
11939 10987654321098765432109876543210
7684e580 11940 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 11941 eret. */
193614f2 11942 return 641;
c0890d26 11943 }
a06ea964
NC
11944 }
11945 else
11946 {
74f5402d
SN
11947 /* 33222222222211111111110000000000
11948 10987654321098765432109876543210
7684e580 11949 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 11950 ret. */
193614f2 11951 return 640;
74f5402d
SN
11952 }
11953 }
11954 else
11955 {
11956 if (((word >> 23) & 0x1) == 0)
11957 {
11958 /* 33222222222211111111110000000000
11959 10987654321098765432109876543210
7684e580 11960 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 11961 blr. */
193614f2 11962 return 639;
74f5402d
SN
11963 }
11964 else
11965 {
11966 /* 33222222222211111111110000000000
11967 10987654321098765432109876543210
7684e580 11968 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 11969 drps. */
193614f2 11970 return 642;
74f5402d
SN
11971 }
11972 }
11973 }
11974 }
11975 else
11976 {
11977 if (((word >> 10) & 0x1) == 0)
11978 {
11979 if (((word >> 21) & 0x1) == 0)
11980 {
11981 if (((word >> 22) & 0x1) == 0)
11982 {
11983 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11984 {
11985 /* 33222222222211111111110000000000
11986 10987654321098765432109876543210
7684e580 11987 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 11988 braaz. */
193614f2 11989 return 647;
c0890d26
RS
11990 }
11991 else
11992 {
11993 /* 33222222222211111111110000000000
11994 10987654321098765432109876543210
7684e580 11995 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 11996 eretaa. */
193614f2 11997 return 653;
c0890d26 11998 }
a06ea964 11999 }
74f5402d
SN
12000 else
12001 {
12002 /* 33222222222211111111110000000000
12003 10987654321098765432109876543210
7684e580 12004 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 12005 retaa. */
193614f2 12006 return 651;
74f5402d
SN
12007 }
12008 }
12009 else
12010 {
12011 /* 33222222222211111111110000000000
12012 10987654321098765432109876543210
7684e580 12013 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 12014 blraaz. */
193614f2 12015 return 649;
a06ea964
NC
12016 }
12017 }
74f5402d 12018 else
a06ea964 12019 {
74f5402d 12020 if (((word >> 21) & 0x1) == 0)
a06ea964 12021 {
74f5402d 12022 if (((word >> 22) & 0x1) == 0)
a06ea964 12023 {
74f5402d
SN
12024 if (((word >> 23) & 0x1) == 0)
12025 {
12026 /* 33222222222211111111110000000000
12027 10987654321098765432109876543210
7684e580 12028 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 12029 brabz. */
193614f2 12030 return 648;
74f5402d
SN
12031 }
12032 else
12033 {
12034 /* 33222222222211111111110000000000
12035 10987654321098765432109876543210
7684e580 12036 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 12037 eretab. */
193614f2 12038 return 654;
74f5402d 12039 }
a06ea964
NC
12040 }
12041 else
12042 {
12043 /* 33222222222211111111110000000000
12044 10987654321098765432109876543210
7684e580 12045 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 12046 retab. */
193614f2 12047 return 652;
a06ea964
NC
12048 }
12049 }
12050 else
12051 {
c0890d26
RS
12052 /* 33222222222211111111110000000000
12053 10987654321098765432109876543210
7684e580 12054 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 12055 blrabz. */
193614f2 12056 return 650;
a06ea964
NC
12057 }
12058 }
74f5402d
SN
12059 }
12060 }
12061 else
12062 {
12063 if (((word >> 21) & 0x1) == 0)
12064 {
12065 if (((word >> 25) & 0x1) == 0)
12066 {
12067 /* 33222222222211111111110000000000
12068 10987654321098765432109876543210
7684e580 12069 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
13c60ad7 12070 xaflag. */
b731bc3b 12071 return 810;
74f5402d 12072 }
c0890d26 12073 else
a06ea964 12074 {
74f5402d 12075 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
12076 {
12077 /* 33222222222211111111110000000000
12078 10987654321098765432109876543210
7684e580 12079 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 12080 braa. */
193614f2 12081 return 643;
a06ea964
NC
12082 }
12083 else
12084 {
12085 /* 33222222222211111111110000000000
12086 10987654321098765432109876543210
7684e580 12087 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 12088 brab. */
193614f2 12089 return 644;
a06ea964
NC
12090 }
12091 }
c0890d26 12092 }
a06ea964
NC
12093 else
12094 {
74f5402d
SN
12095 if (((word >> 25) & 0x1) == 0)
12096 {
12097 /* 33222222222211111111110000000000
12098 10987654321098765432109876543210
7684e580 12099 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
74f5402d 12100 sysl. */
b731bc3b 12101 return 1207;
74f5402d
SN
12102 }
12103 else
12104 {
12105 if (((word >> 10) & 0x1) == 0)
12106 {
12107 /* 33222222222211111111110000000000
12108 10987654321098765432109876543210
7684e580 12109 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 12110 blraa. */
193614f2 12111 return 645;
74f5402d
SN
12112 }
12113 else
12114 {
12115 /* 33222222222211111111110000000000
12116 10987654321098765432109876543210
7684e580 12117 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 12118 blrab. */
193614f2 12119 return 646;
74f5402d
SN
12120 }
12121 }
a06ea964
NC
12122 }
12123 }
12124 }
c0890d26
RS
12125 }
12126 else
12127 {
12128 if (((word >> 24) & 0x1) == 0)
a06ea964 12129 {
c0890d26 12130 if (((word >> 25) & 0x1) == 0)
a06ea964 12131 {
df7b4545
JW
12132 /* 33222222222211111111110000000000
12133 10987654321098765432109876543210
7684e580 12134 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12135 cbz. */
193614f2 12136 return 655;
a06ea964
NC
12137 }
12138 else
12139 {
df7b4545
JW
12140 /* 33222222222211111111110000000000
12141 10987654321098765432109876543210
7684e580 12142 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12143 tbz. */
b731bc3b 12144 return 1217;
a06ea964
NC
12145 }
12146 }
a06ea964
NC
12147 else
12148 {
c0890d26
RS
12149 if (((word >> 25) & 0x1) == 0)
12150 {
12151 /* 33222222222211111111110000000000
12152 10987654321098765432109876543210
7684e580 12153 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12154 cbnz. */
193614f2 12155 return 656;
c0890d26
RS
12156 }
12157 else
12158 {
12159 /* 33222222222211111111110000000000
12160 10987654321098765432109876543210
7684e580 12161 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 12162 tbnz. */
b731bc3b 12163 return 1218;
c0890d26 12164 }
a06ea964
NC
12165 }
12166 }
12167 }
12168 }
12169 else
12170 {
12171 if (((word >> 25) & 0x1) == 0)
12172 {
12173 if (((word >> 28) & 0x1) == 0)
12174 {
12175 if (((word >> 22) & 0x1) == 0)
12176 {
12177 if (((word >> 23) & 0x1) == 0)
12178 {
12179 if (((word >> 24) & 0x1) == 0)
12180 {
12181 if (((word >> 29) & 0x1) == 0)
12182 {
12183 /* 33222222222211111111110000000000
12184 10987654321098765432109876543210
7684e580 12185 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12186 st4. */
193614f2 12187 return 440;
a06ea964
NC
12188 }
12189 else
12190 {
12191 /* 33222222222211111111110000000000
12192 10987654321098765432109876543210
7684e580 12193 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12194 stnp. */
b731bc3b 12195 return 960;
a06ea964
NC
12196 }
12197 }
12198 else
12199 {
12200 if (((word >> 29) & 0x1) == 0)
12201 {
12202 if (((word >> 13) & 0x1) == 0)
12203 {
12204 if (((word >> 21) & 0x1) == 0)
12205 {
12206 /* 33222222222211111111110000000000
12207 10987654321098765432109876543210
7684e580 12208 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 12209 st1. */
193614f2 12210 return 456;
a06ea964
NC
12211 }
12212 else
12213 {
12214 /* 33222222222211111111110000000000
12215 10987654321098765432109876543210
7684e580 12216 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 12217 st2. */
193614f2 12218 return 458;
a06ea964
NC
12219 }
12220 }
12221 else
12222 {
12223 if (((word >> 21) & 0x1) == 0)
12224 {
12225 /* 33222222222211111111110000000000
12226 10987654321098765432109876543210
7684e580 12227 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 12228 st3. */
193614f2 12229 return 457;
a06ea964
NC
12230 }
12231 else
12232 {
12233 /* 33222222222211111111110000000000
12234 10987654321098765432109876543210
7684e580 12235 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 12236 st4. */
193614f2 12237 return 459;
a06ea964
NC
12238 }
12239 }
12240 }
12241 else
12242 {
12243 /* 33222222222211111111110000000000
12244 10987654321098765432109876543210
7684e580 12245 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12246 stp. */
b731bc3b 12247 return 964;
a06ea964
NC
12248 }
12249 }
12250 }
12251 else
12252 {
12253 if (((word >> 29) & 0x1) == 0)
12254 {
12255 if (((word >> 21) & 0x1) == 0)
12256 {
12257 if (((word >> 24) & 0x1) == 0)
12258 {
12259 /* 33222222222211111111110000000000
12260 10987654321098765432109876543210
7684e580 12261 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 12262 st4. */
193614f2 12263 return 448;
a06ea964
NC
12264 }
12265 else
12266 {
12267 if (((word >> 13) & 0x1) == 0)
12268 {
12269 /* 33222222222211111111110000000000
12270 10987654321098765432109876543210
7684e580 12271 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 12272 st1. */
193614f2 12273 return 468;
a06ea964
NC
12274 }
12275 else
12276 {
12277 /* 33222222222211111111110000000000
12278 10987654321098765432109876543210
7684e580 12279 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 12280 st3. */
193614f2 12281 return 469;
a06ea964
NC
12282 }
12283 }
12284 }
12285 else
12286 {
12287 if (((word >> 13) & 0x1) == 0)
12288 {
12289 /* 33222222222211111111110000000000
12290 10987654321098765432109876543210
7684e580 12291 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 12292 st2. */
193614f2 12293 return 470;
a06ea964
NC
12294 }
12295 else
12296 {
12297 /* 33222222222211111111110000000000
12298 10987654321098765432109876543210
7684e580 12299 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 12300 st4. */
193614f2 12301 return 471;
a06ea964
NC
12302 }
12303 }
12304 }
12305 else
12306 {
12307 /* 33222222222211111111110000000000
12308 10987654321098765432109876543210
7684e580 12309 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12310 stp. */
b731bc3b 12311 return 969;
a06ea964
NC
12312 }
12313 }
12314 }
12315 else
12316 {
12317 if (((word >> 23) & 0x1) == 0)
12318 {
12319 if (((word >> 24) & 0x1) == 0)
12320 {
12321 if (((word >> 29) & 0x1) == 0)
12322 {
12323 /* 33222222222211111111110000000000
12324 10987654321098765432109876543210
7684e580 12325 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12326 ld4. */
193614f2 12327 return 444;
a06ea964
NC
12328 }
12329 else
12330 {
12331 /* 33222222222211111111110000000000
12332 10987654321098765432109876543210
7684e580 12333 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12334 ldnp. */
b731bc3b 12335 return 961;
a06ea964
NC
12336 }
12337 }
12338 else
12339 {
12340 if (((word >> 29) & 0x1) == 0)
12341 {
12342 if (((word >> 13) & 0x1) == 0)
12343 {
12344 if (((word >> 21) & 0x1) == 0)
12345 {
12346 /* 33222222222211111111110000000000
12347 10987654321098765432109876543210
7684e580 12348 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 12349 ld1. */
193614f2 12350 return 460;
a06ea964
NC
12351 }
12352 else
12353 {
12354 /* 33222222222211111111110000000000
12355 10987654321098765432109876543210
7684e580 12356 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 12357 ld2. */
193614f2 12358 return 464;
a06ea964
NC
12359 }
12360 }
12361 else
12362 {
12363 if (((word >> 21) & 0x1) == 0)
12364 {
12365 /* 33222222222211111111110000000000
12366 10987654321098765432109876543210
7684e580 12367 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 12368 ld3. */
193614f2 12369 return 461;
a06ea964
NC
12370 }
12371 else
12372 {
12373 /* 33222222222211111111110000000000
12374 10987654321098765432109876543210
7684e580 12375 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 12376 ld4. */
193614f2 12377 return 465;
a06ea964
NC
12378 }
12379 }
12380 }
12381 else
12382 {
12383 /* 33222222222211111111110000000000
12384 10987654321098765432109876543210
7684e580 12385 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12386 ldp. */
b731bc3b 12387 return 965;
a06ea964
NC
12388 }
12389 }
12390 }
12391 else
12392 {
12393 if (((word >> 29) & 0x1) == 0)
12394 {
12395 if (((word >> 21) & 0x1) == 0)
12396 {
12397 if (((word >> 24) & 0x1) == 0)
12398 {
12399 /* 33222222222211111111110000000000
12400 10987654321098765432109876543210
7684e580 12401 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 12402 ld4. */
193614f2 12403 return 452;
a06ea964
NC
12404 }
12405 else
12406 {
12407 if (((word >> 13) & 0x1) == 0)
12408 {
12409 /* 33222222222211111111110000000000
12410 10987654321098765432109876543210
7684e580 12411 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 12412 ld1. */
193614f2 12413 return 472;
a06ea964
NC
12414 }
12415 else
12416 {
12417 /* 33222222222211111111110000000000
12418 10987654321098765432109876543210
7684e580 12419 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 12420 ld3. */
193614f2 12421 return 473;
a06ea964
NC
12422 }
12423 }
12424 }
12425 else
12426 {
12427 if (((word >> 13) & 0x1) == 0)
12428 {
12429 /* 33222222222211111111110000000000
12430 10987654321098765432109876543210
7684e580 12431 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 12432 ld2. */
193614f2 12433 return 476;
a06ea964
NC
12434 }
12435 else
12436 {
12437 /* 33222222222211111111110000000000
12438 10987654321098765432109876543210
7684e580 12439 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 12440 ld4. */
193614f2 12441 return 477;
a06ea964
NC
12442 }
12443 }
12444 }
12445 else
12446 {
12447 /* 33222222222211111111110000000000
12448 10987654321098765432109876543210
7684e580 12449 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12450 ldp. */
b731bc3b 12451 return 970;
a06ea964
NC
12452 }
12453 }
12454 }
12455 }
12456 else
12457 {
12458 if (((word >> 24) & 0x1) == 0)
12459 {
12460 if (((word >> 29) & 0x1) == 0)
12461 {
12462 /* 33222222222211111111110000000000
12463 10987654321098765432109876543210
7684e580 12464 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 12465 ldr. */
b731bc3b 12466 return 973;
a06ea964
NC
12467 }
12468 else
12469 {
12470 if (((word >> 10) & 0x1) == 0)
12471 {
12472 if (((word >> 11) & 0x1) == 0)
12473 {
12474 if (((word >> 22) & 0x1) == 0)
12475 {
12476 /* 33222222222211111111110000000000
12477 10987654321098765432109876543210
7684e580 12478 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 12479 stur. */
b731bc3b 12480 return 916;
a06ea964
NC
12481 }
12482 else
12483 {
12484 /* 33222222222211111111110000000000
12485 10987654321098765432109876543210
7684e580 12486 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 12487 ldur. */
b731bc3b 12488 return 917;
a06ea964
NC
12489 }
12490 }
12491 else
12492 {
12493 if (((word >> 22) & 0x1) == 0)
12494 {
12495 /* 33222222222211111111110000000000
12496 10987654321098765432109876543210
7684e580 12497 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 12498 str. */
b731bc3b 12499 return 895;
a06ea964
NC
12500 }
12501 else
12502 {
12503 /* 33222222222211111111110000000000
12504 10987654321098765432109876543210
7684e580 12505 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 12506 ldr. */
b731bc3b 12507 return 896;
a06ea964
NC
12508 }
12509 }
12510 }
12511 else
12512 {
12513 if (((word >> 22) & 0x1) == 0)
12514 {
12515 /* 33222222222211111111110000000000
12516 10987654321098765432109876543210
7684e580 12517 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12518 str. */
b731bc3b 12519 return 872;
a06ea964
NC
12520 }
12521 else
12522 {
12523 /* 33222222222211111111110000000000
12524 10987654321098765432109876543210
7684e580 12525 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12526 ldr. */
b731bc3b 12527 return 873;
a06ea964
NC
12528 }
12529 }
12530 }
12531 }
12532 else
12533 {
12534 if (((word >> 22) & 0x1) == 0)
12535 {
12536 /* 33222222222211111111110000000000
12537 10987654321098765432109876543210
7684e580 12538 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12539 str. */
b731bc3b 12540 return 883;
a06ea964
NC
12541 }
12542 else
12543 {
12544 /* 33222222222211111111110000000000
12545 10987654321098765432109876543210
7684e580 12546 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12547 ldr. */
b731bc3b 12548 return 884;
a06ea964
NC
12549 }
12550 }
12551 }
12552 }
12553 else
12554 {
12555 if (((word >> 24) & 0x1) == 0)
12556 {
12557 if (((word >> 21) & 0x1) == 0)
12558 {
12559 if (((word >> 28) & 0x1) == 0)
12560 {
e9dbdd80 12561 if (((word >> 29) & 0x1) == 0)
a06ea964 12562 {
e9dbdd80 12563 if (((word >> 31) & 0x1) == 0)
a06ea964 12564 {
e9dbdd80 12565 if (((word >> 10) & 0x1) == 0)
a06ea964 12566 {
e9dbdd80 12567 if (((word >> 11) & 0x1) == 0)
a06ea964 12568 {
e9dbdd80 12569 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12570 {
12571 /* 33222222222211111111110000000000
12572 10987654321098765432109876543210
e9dbdd80
TC
12573 0x001110xx0xxxxxxxx000xxxxxxxxxx
12574 tbl. */
193614f2 12575 return 420;
a06ea964
NC
12576 }
12577 else
12578 {
12579 /* 33222222222211111111110000000000
12580 10987654321098765432109876543210
e9dbdd80
TC
12581 0x001110xx0xxxxxxxx100xxxxxxxxxx
12582 tbx. */
193614f2 12583 return 421;
a06ea964
NC
12584 }
12585 }
12586 else
12587 {
e9dbdd80 12588 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
12589 {
12590 if (((word >> 14) & 0x1) == 0)
12591 {
12592 /* 33222222222211111111110000000000
12593 10987654321098765432109876543210
e9dbdd80
TC
12594 0x001110xx0xxxxxx0x010xxxxxxxxxx
12595 trn1. */
193614f2 12596 return 263;
a06ea964
NC
12597 }
12598 else
12599 {
12600 /* 33222222222211111111110000000000
12601 10987654321098765432109876543210
e9dbdd80
TC
12602 0x001110xx0xxxxxx1x010xxxxxxxxxx
12603 trn2. */
193614f2 12604 return 266;
a06ea964
NC
12605 }
12606 }
51d543ed
MW
12607 else
12608 {
e9dbdd80 12609 if (((word >> 13) & 0x1) == 0)
51d543ed 12610 {
e9dbdd80 12611 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12612 {
12613 /* 33222222222211111111110000000000
12614 10987654321098765432109876543210
e9dbdd80
TC
12615 0x001110xx0xxxxxx00110xxxxxxxxxx
12616 uzp1. */
193614f2 12617 return 262;
51d543ed
MW
12618 }
12619 else
12620 {
12621 /* 33222222222211111111110000000000
12622 10987654321098765432109876543210
e9dbdd80
TC
12623 0x001110xx0xxxxxx10110xxxxxxxxxx
12624 uzp2. */
193614f2 12625 return 265;
51d543ed
MW
12626 }
12627 }
12628 else
12629 {
e9dbdd80 12630 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
12631 {
12632 /* 33222222222211111111110000000000
12633 10987654321098765432109876543210
e9dbdd80
TC
12634 0x001110xx0xxxxxx01110xxxxxxxxxx
12635 zip1. */
193614f2 12636 return 264;
51d543ed
MW
12637 }
12638 else
12639 {
12640 /* 33222222222211111111110000000000
12641 10987654321098765432109876543210
e9dbdd80
TC
12642 0x001110xx0xxxxxx11110xxxxxxxxxx
12643 zip2. */
193614f2 12644 return 267;
51d543ed
MW
12645 }
12646 }
12647 }
12648 }
51d543ed
MW
12649 }
12650 else
12651 {
12652 if (((word >> 11) & 0x1) == 0)
12653 {
12654 if (((word >> 12) & 0x1) == 0)
12655 {
12656 if (((word >> 13) & 0x1) == 0)
12657 {
e9dbdd80 12658 if (((word >> 22) & 0x1) == 0)
51d543ed 12659 {
e9dbdd80
TC
12660 /* 33222222222211111111110000000000
12661 10987654321098765432109876543210
12662 0x001110x00xxxxxxx0001xxxxxxxxxx
12663 dup. */
193614f2 12664 return 149;
e9dbdd80
TC
12665 }
12666 else
12667 {
12668 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12669 {
12670 /* 33222222222211111111110000000000
12671 10987654321098765432109876543210
e9dbdd80 12672 0x001110010xxxxxxx0001xxxxxxxxxx
51d543ed 12673 fmaxnm. */
193614f2 12674 return 292;
51d543ed
MW
12675 }
12676 else
12677 {
12678 /* 33222222222211111111110000000000
12679 10987654321098765432109876543210
e9dbdd80 12680 0x001110110xxxxxxx0001xxxxxxxxxx
51d543ed 12681 fminnm. */
193614f2 12682 return 308;
51d543ed 12683 }
51d543ed
MW
12684 }
12685 }
12686 else
12687 {
e9dbdd80
TC
12688 /* 33222222222211111111110000000000
12689 10987654321098765432109876543210
12690 0x001110xx0xxxxxxx1001xxxxxxxxxx
12691 fcmeq. */
193614f2 12692 return 300;
51d543ed
MW
12693 }
12694 }
12695 else
12696 {
12697 if (((word >> 13) & 0x1) == 0)
12698 {
e9dbdd80 12699 if (((word >> 15) & 0x1) == 0)
51d543ed 12700 {
e9dbdd80 12701 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12702 {
12703 /* 33222222222211111111110000000000
12704 10987654321098765432109876543210
e9dbdd80 12705 0x0011100x0xxxxx0x0101xxxxxxxxxx
51d543ed 12706 fadd. */
193614f2 12707 return 296;
51d543ed
MW
12708 }
12709 else
12710 {
12711 /* 33222222222211111111110000000000
12712 10987654321098765432109876543210
e9dbdd80
TC
12713 0x0011101x0xxxxx0x0101xxxxxxxxxx
12714 fsub. */
193614f2 12715 return 312;
51d543ed
MW
12716 }
12717 }
12718 else
12719 {
e9dbdd80
TC
12720 /* 33222222222211111111110000000000
12721 10987654321098765432109876543210
12722 0x001110xx0xxxxx1x0101xxxxxxxxxx
12723 sdot. */
b731bc3b 12724 return 2028;
51d543ed
MW
12725 }
12726 }
12727 else
12728 {
12729 if (((word >> 23) & 0x1) == 0)
12730 {
e9dbdd80
TC
12731 /* 33222222222211111111110000000000
12732 10987654321098765432109876543210
12733 0x0011100x0xxxxxxx1101xxxxxxxxxx
12734 fmax. */
193614f2 12735 return 302;
51d543ed
MW
12736 }
12737 else
12738 {
e9dbdd80
TC
12739 /* 33222222222211111111110000000000
12740 10987654321098765432109876543210
12741 0x0011101x0xxxxxxx1101xxxxxxxxxx
12742 fmin. */
193614f2 12743 return 314;
51d543ed
MW
12744 }
12745 }
12746 }
a06ea964
NC
12747 }
12748 else
12749 {
9e1f0fa7 12750 if (((word >> 12) & 0x1) == 0)
a06ea964 12751 {
9e1f0fa7
MW
12752 if (((word >> 13) & 0x1) == 0)
12753 {
e9dbdd80 12754 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12755 {
12756 /* 33222222222211111111110000000000
12757 10987654321098765432109876543210
e9dbdd80
TC
12758 0x001110x00xxxxxxx0011xxxxxxxxxx
12759 dup. */
193614f2 12760 return 150;
51d543ed
MW
12761 }
12762 else
12763 {
e9dbdd80
TC
12764 if (((word >> 23) & 0x1) == 0)
12765 {
12766 /* 33222222222211111111110000000000
12767 10987654321098765432109876543210
12768 0x001110010xxxxxxx0011xxxxxxxxxx
12769 fmla. */
193614f2 12770 return 294;
e9dbdd80
TC
12771 }
12772 else
12773 {
12774 /* 33222222222211111111110000000000
12775 10987654321098765432109876543210
12776 0x001110110xxxxxxx0011xxxxxxxxxx
12777 fmls. */
193614f2 12778 return 310;
e9dbdd80 12779 }
51d543ed 12780 }
9e1f0fa7
MW
12781 }
12782 else
12783 {
e9dbdd80
TC
12784 /* 33222222222211111111110000000000
12785 10987654321098765432109876543210
12786 0x001110xx0xxxxxxx1011xxxxxxxxxx
12787 smov. */
193614f2 12788 return 151;
9e1f0fa7 12789 }
a06ea964
NC
12790 }
12791 else
12792 {
9e1f0fa7
MW
12793 if (((word >> 13) & 0x1) == 0)
12794 {
e9dbdd80 12795 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
12796 {
12797 /* 33222222222211111111110000000000
12798 10987654321098765432109876543210
e9dbdd80
TC
12799 0x001110x00xxxxxxx0111xxxxxxxxxx
12800 ins. */
193614f2 12801 return 154;
51d543ed
MW
12802 }
12803 else
12804 {
12805 /* 33222222222211111111110000000000
12806 10987654321098765432109876543210
e9dbdd80
TC
12807 0x001110x10xxxxxxx0111xxxxxxxxxx
12808 fmulx. */
193614f2 12809 return 298;
51d543ed 12810 }
9e1f0fa7
MW
12811 }
12812 else
12813 {
e9dbdd80 12814 if (((word >> 22) & 0x1) == 0)
51d543ed 12815 {
e9dbdd80
TC
12816 /* 33222222222211111111110000000000
12817 10987654321098765432109876543210
12818 0x001110x00xxxxxxx1111xxxxxxxxxx
12819 umov. */
193614f2 12820 return 152;
e9dbdd80
TC
12821 }
12822 else
12823 {
12824 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
12825 {
12826 /* 33222222222211111111110000000000
12827 10987654321098765432109876543210
e9dbdd80 12828 0x001110010xxxxxxx1111xxxxxxxxxx
51d543ed 12829 frecps. */
193614f2 12830 return 304;
51d543ed
MW
12831 }
12832 else
12833 {
12834 /* 33222222222211111111110000000000
12835 10987654321098765432109876543210
e9dbdd80
TC
12836 0x001110110xxxxxxx1111xxxxxxxxxx
12837 frsqrts. */
193614f2 12838 return 316;
51d543ed
MW
12839 }
12840 }
9e1f0fa7 12841 }
a06ea964
NC
12842 }
12843 }
12844 }
a06ea964
NC
12845 }
12846 else
12847 {
e9dbdd80 12848 if (((word >> 22) & 0x1) == 0)
a06ea964 12849 {
e9dbdd80 12850 if (((word >> 23) & 0x1) == 0)
a06ea964 12851 {
9e1f0fa7
MW
12852 /* 33222222222211111111110000000000
12853 10987654321098765432109876543210
e9dbdd80
TC
12854 1x001110000xxxxxxxxxxxxxxxxxxxxx
12855 eor3. */
b731bc3b 12856 return 2035;
a06ea964
NC
12857 }
12858 else
12859 {
9e1f0fa7
MW
12860 /* 33222222222211111111110000000000
12861 10987654321098765432109876543210
e9dbdd80
TC
12862 1x001110100xxxxxxxxxxxxxxxxxxxxx
12863 xar. */
b731bc3b 12864 return 2037;
9e1f0fa7
MW
12865 }
12866 }
12867 else
12868 {
e9dbdd80 12869 if (((word >> 15) & 0x1) == 0)
9e1f0fa7
MW
12870 {
12871 /* 33222222222211111111110000000000
12872 10987654321098765432109876543210
e9dbdd80
TC
12873 1x001110x10xxxxx0xxxxxxxxxxxxxxx
12874 sm3ss1. */
b731bc3b 12875 return 2039;
9e1f0fa7
MW
12876 }
12877 else
12878 {
e9dbdd80 12879 if (((word >> 10) & 0x1) == 0)
a06ea964 12880 {
e9dbdd80 12881 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 12882 {
e9dbdd80
TC
12883 if (((word >> 23) & 0x1) == 0)
12884 {
12885 /* 33222222222211111111110000000000
12886 10987654321098765432109876543210
12887 1x001110010xxxxx1xxx00xxxxxxxxxx
12888 sm3tt1a. */
b731bc3b 12889 return 2040;
e9dbdd80
TC
12890 }
12891 else
12892 {
12893 /* 33222222222211111111110000000000
12894 10987654321098765432109876543210
12895 1x001110110xxxxx1xxx00xxxxxxxxxx
12896 sha512su0. */
b731bc3b 12897 return 2033;
e9dbdd80 12898 }
9e1f0fa7
MW
12899 }
12900 else
12901 {
12902 /* 33222222222211111111110000000000
12903 10987654321098765432109876543210
e9dbdd80
TC
12904 1x001110x10xxxxx1xxx10xxxxxxxxxx
12905 sm3tt2a. */
b731bc3b 12906 return 2042;
9e1f0fa7 12907 }
a06ea964
NC
12908 }
12909 else
12910 {
e9dbdd80 12911 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 12912 {
e9dbdd80
TC
12913 if (((word >> 23) & 0x1) == 0)
12914 {
12915 /* 33222222222211111111110000000000
12916 10987654321098765432109876543210
12917 1x001110010xxxxx1xxx01xxxxxxxxxx
12918 sm3tt1b. */
b731bc3b 12919 return 2041;
e9dbdd80
TC
12920 }
12921 else
12922 {
12923 /* 33222222222211111111110000000000
12924 10987654321098765432109876543210
12925 1x001110110xxxxx1xxx01xxxxxxxxxx
12926 sm4e. */
b731bc3b 12927 return 2046;
e9dbdd80 12928 }
9e1f0fa7
MW
12929 }
12930 else
12931 {
12932 /* 33222222222211111111110000000000
12933 10987654321098765432109876543210
e9dbdd80
TC
12934 1x001110x10xxxxx1xxx11xxxxxxxxxx
12935 sm3tt2b. */
b731bc3b 12936 return 2043;
9e1f0fa7 12937 }
a06ea964 12938 }
a06ea964 12939 }
9e1f0fa7 12940 }
e9dbdd80
TC
12941 }
12942 }
12943 else
12944 {
12945 if (((word >> 10) & 0x1) == 0)
12946 {
12947 /* 33222222222211111111110000000000
12948 10987654321098765432109876543210
12949 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
12950 ext. */
193614f2 12951 return 132;
e9dbdd80
TC
12952 }
12953 else
12954 {
12955 if (((word >> 15) & 0x1) == 0)
9e1f0fa7 12956 {
e9dbdd80 12957 if (((word >> 22) & 0x1) == 0)
6b4680fb 12958 {
e9dbdd80
TC
12959 /* 33222222222211111111110000000000
12960 10987654321098765432109876543210
12961 xx101110x00xxxxx0xxxx1xxxxxxxxxx
12962 ins. */
193614f2 12963 return 156;
6b4680fb
MW
12964 }
12965 else
12966 {
e9dbdd80 12967 if (((word >> 11) & 0x1) == 0)
6b4680fb 12968 {
e9dbdd80 12969 if (((word >> 12) & 0x1) == 0)
6b4680fb 12970 {
e9dbdd80 12971 if (((word >> 13) & 0x1) == 0)
a06ea964 12972 {
e9dbdd80 12973 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
12974 {
12975 /* 33222222222211111111110000000000
12976 10987654321098765432109876543210
e9dbdd80
TC
12977 xx101110010xxxxx0x0001xxxxxxxxxx
12978 fmaxnmp. */
193614f2 12979 return 343;
a06ea964
NC
12980 }
12981 else
12982 {
12983 /* 33222222222211111111110000000000
12984 10987654321098765432109876543210
e9dbdd80
TC
12985 xx101110110xxxxx0x0001xxxxxxxxxx
12986 fminnmp. */
193614f2 12987 return 359;
a06ea964
NC
12988 }
12989 }
12990 else
12991 {
e9dbdd80 12992 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
12993 {
12994 /* 33222222222211111111110000000000
12995 10987654321098765432109876543210
e9dbdd80
TC
12996 xx101110010xxxxx0x1001xxxxxxxxxx
12997 fcmge. */
193614f2 12998 return 349;
a06ea964
NC
12999 }
13000 else
13001 {
13002 /* 33222222222211111111110000000000
13003 10987654321098765432109876543210
e9dbdd80
TC
13004 xx101110110xxxxx0x1001xxxxxxxxxx
13005 fcmgt. */
193614f2 13006 return 363;
a06ea964
NC
13007 }
13008 }
13009 }
13010 else
13011 {
e9dbdd80 13012 if (((word >> 13) & 0x1) == 0)
a06ea964 13013 {
e9dbdd80 13014 if (((word >> 23) & 0x1) == 0)
a06ea964 13015 {
e9dbdd80
TC
13016 /* 33222222222211111111110000000000
13017 10987654321098765432109876543210
13018 xx101110010xxxxx0x0101xxxxxxxxxx
13019 faddp. */
193614f2 13020 return 345;
a06ea964
NC
13021 }
13022 else
13023 {
e9dbdd80
TC
13024 /* 33222222222211111111110000000000
13025 10987654321098765432109876543210
13026 xx101110110xxxxx0x0101xxxxxxxxxx
13027 fabd. */
193614f2 13028 return 361;
a06ea964
NC
13029 }
13030 }
13031 else
13032 {
e9dbdd80 13033 if (((word >> 23) & 0x1) == 0)
a06ea964 13034 {
e9dbdd80
TC
13035 /* 33222222222211111111110000000000
13036 10987654321098765432109876543210
13037 xx101110010xxxxx0x1101xxxxxxxxxx
13038 fmaxp. */
193614f2 13039 return 353;
a06ea964
NC
13040 }
13041 else
13042 {
e9dbdd80
TC
13043 /* 33222222222211111111110000000000
13044 10987654321098765432109876543210
13045 xx101110110xxxxx0x1101xxxxxxxxxx
13046 fminp. */
193614f2 13047 return 367;
a06ea964
NC
13048 }
13049 }
13050 }
13051 }
13052 else
13053 {
e9dbdd80 13054 if (((word >> 12) & 0x1) == 0)
a06ea964 13055 {
e9dbdd80 13056 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
13057 {
13058 /* 33222222222211111111110000000000
13059 10987654321098765432109876543210
e9dbdd80
TC
13060 xx101110010xxxxx0xx011xxxxxxxxxx
13061 facge. */
193614f2 13062 return 351;
a06ea964
NC
13063 }
13064 else
13065 {
13066 /* 33222222222211111111110000000000
13067 10987654321098765432109876543210
e9dbdd80
TC
13068 xx101110110xxxxx0xx011xxxxxxxxxx
13069 facgt. */
193614f2 13070 return 365;
a06ea964
NC
13071 }
13072 }
13073 else
13074 {
e9dbdd80 13075 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
13076 {
13077 /* 33222222222211111111110000000000
13078 10987654321098765432109876543210
e9dbdd80
TC
13079 xx101110x10xxxxx0x0111xxxxxxxxxx
13080 fmul. */
193614f2 13081 return 347;
a06ea964
NC
13082 }
13083 else
13084 {
13085 /* 33222222222211111111110000000000
13086 10987654321098765432109876543210
e9dbdd80
TC
13087 xx101110x10xxxxx0x1111xxxxxxxxxx
13088 fdiv. */
193614f2 13089 return 355;
a06ea964
NC
13090 }
13091 }
13092 }
13093 }
e9dbdd80
TC
13094 }
13095 else
13096 {
13097 if (((word >> 13) & 0x1) == 0)
a06ea964 13098 {
e9dbdd80 13099 if (((word >> 14) & 0x1) == 0)
a06ea964 13100 {
e9dbdd80 13101 if (((word >> 11) & 0x1) == 0)
a06ea964 13102 {
e9dbdd80 13103 if (((word >> 12) & 0x1) == 0)
a06ea964 13104 {
e9dbdd80
TC
13105 /* 33222222222211111111110000000000
13106 10987654321098765432109876543210
13107 xx101110xx0xxxxx100001xxxxxxxxxx
13108 sqrdmlah. */
193614f2 13109 return 370;
a06ea964
NC
13110 }
13111 else
13112 {
e9dbdd80
TC
13113 /* 33222222222211111111110000000000
13114 10987654321098765432109876543210
13115 xx101110xx0xxxxx100101xxxxxxxxxx
13116 udot. */
b731bc3b 13117 return 2027;
a06ea964
NC
13118 }
13119 }
13120 else
13121 {
e9dbdd80
TC
13122 /* 33222222222211111111110000000000
13123 10987654321098765432109876543210
13124 xx101110xx0xxxxx100x11xxxxxxxxxx
13125 sqrdmlsh. */
193614f2 13126 return 371;
a06ea964
NC
13127 }
13128 }
13129 else
13130 {
e9dbdd80
TC
13131 /* 33222222222211111111110000000000
13132 10987654321098765432109876543210
13133 xx101110xx0xxxxx110xx1xxxxxxxxxx
13134 fcmla. */
193614f2 13135 return 372;
a06ea964
NC
13136 }
13137 }
e9dbdd80
TC
13138 else
13139 {
13140 /* 33222222222211111111110000000000
13141 10987654321098765432109876543210
13142 xx101110xx0xxxxx1x1xx1xxxxxxxxxx
13143 fcadd. */
193614f2 13144 return 373;
e9dbdd80 13145 }
a06ea964 13146 }
e9dbdd80
TC
13147 }
13148 }
13149 }
13150 else
13151 {
13152 if (((word >> 29) & 0x1) == 0)
13153 {
13154 if (((word >> 30) & 0x1) == 0)
13155 {
13156 if (((word >> 16) & 0x1) == 0)
a06ea964 13157 {
e9dbdd80 13158 if (((word >> 17) & 0x1) == 0)
a06ea964 13159 {
e9dbdd80
TC
13160 /* 33222222222211111111110000000000
13161 10987654321098765432109876543210
13162 x0011110xx0xxx00xxxxxxxxxxxxxxxx
13163 fcvtzs. */
b731bc3b 13164 return 763;
e9dbdd80
TC
13165 }
13166 else
13167 {
13168 /* 33222222222211111111110000000000
13169 10987654321098765432109876543210
13170 x0011110xx0xxx10xxxxxxxxxxxxxxxx
13171 scvtf. */
b731bc3b 13172 return 759;
e9dbdd80
TC
13173 }
13174 }
13175 else
13176 {
13177 if (((word >> 17) & 0x1) == 0)
13178 {
13179 /* 33222222222211111111110000000000
13180 10987654321098765432109876543210
13181 x0011110xx0xxx01xxxxxxxxxxxxxxxx
13182 fcvtzu. */
b731bc3b 13183 return 765;
e9dbdd80
TC
13184 }
13185 else
13186 {
13187 /* 33222222222211111111110000000000
13188 10987654321098765432109876543210
13189 x0011110xx0xxx11xxxxxxxxxxxxxxxx
13190 ucvtf. */
b731bc3b 13191 return 761;
e9dbdd80
TC
13192 }
13193 }
13194 }
13195 else
13196 {
13197 if (((word >> 10) & 0x1) == 0)
13198 {
13199 if (((word >> 12) & 0x1) == 0)
13200 {
13201 if (((word >> 13) & 0x1) == 0)
a06ea964 13202 {
e9dbdd80 13203 if (((word >> 14) & 0x1) == 0)
a06ea964 13204 {
e9dbdd80
TC
13205 /* 33222222222211111111110000000000
13206 10987654321098765432109876543210
13207 x1011110xx0xxxxxx000x0xxxxxxxxxx
13208 sha1c. */
193614f2 13209 return 678;
a06ea964
NC
13210 }
13211 else
13212 {
e9dbdd80
TC
13213 /* 33222222222211111111110000000000
13214 10987654321098765432109876543210
13215 x1011110xx0xxxxxx100x0xxxxxxxxxx
13216 sha256h. */
193614f2 13217 return 682;
a06ea964
NC
13218 }
13219 }
13220 else
13221 {
e9dbdd80 13222 if (((word >> 14) & 0x1) == 0)
a06ea964 13223 {
e9dbdd80
TC
13224 /* 33222222222211111111110000000000
13225 10987654321098765432109876543210
13226 x1011110xx0xxxxxx010x0xxxxxxxxxx
13227 sha1m. */
193614f2 13228 return 680;
a06ea964
NC
13229 }
13230 else
13231 {
e9dbdd80
TC
13232 /* 33222222222211111111110000000000
13233 10987654321098765432109876543210
13234 x1011110xx0xxxxxx110x0xxxxxxxxxx
13235 sha256su1. */
193614f2 13236 return 684;
a06ea964
NC
13237 }
13238 }
13239 }
13240 else
13241 {
e9dbdd80 13242 if (((word >> 13) & 0x1) == 0)
a06ea964 13243 {
e9dbdd80 13244 if (((word >> 14) & 0x1) == 0)
a06ea964 13245 {
e9dbdd80
TC
13246 /* 33222222222211111111110000000000
13247 10987654321098765432109876543210
13248 x1011110xx0xxxxxx001x0xxxxxxxxxx
13249 sha1p. */
193614f2 13250 return 679;
a06ea964
NC
13251 }
13252 else
13253 {
e9dbdd80
TC
13254 /* 33222222222211111111110000000000
13255 10987654321098765432109876543210
13256 x1011110xx0xxxxxx101x0xxxxxxxxxx
13257 sha256h2. */
193614f2 13258 return 683;
a06ea964
NC
13259 }
13260 }
13261 else
13262 {
e9dbdd80
TC
13263 /* 33222222222211111111110000000000
13264 10987654321098765432109876543210
13265 x1011110xx0xxxxxxx11x0xxxxxxxxxx
13266 sha1su0. */
193614f2 13267 return 681;
e9dbdd80
TC
13268 }
13269 }
13270 }
13271 else
13272 {
13273 if (((word >> 11) & 0x1) == 0)
13274 {
13275 if (((word >> 13) & 0x1) == 0)
13276 {
13277 /* 33222222222211111111110000000000
13278 10987654321098765432109876543210
13279 x1011110xx0xxxxxxx0x01xxxxxxxxxx
13280 dup. */
193614f2 13281 return 535;
e9dbdd80
TC
13282 }
13283 else
13284 {
13285 /* 33222222222211111111110000000000
13286 10987654321098765432109876543210
13287 x1011110xx0xxxxxxx1x01xxxxxxxxxx
13288 fcmeq. */
193614f2 13289 return 556;
e9dbdd80
TC
13290 }
13291 }
13292 else
13293 {
13294 if (((word >> 13) & 0x1) == 0)
13295 {
13296 /* 33222222222211111111110000000000
13297 10987654321098765432109876543210
13298 x1011110xx0xxxxxxx0x11xxxxxxxxxx
13299 fmulx. */
193614f2 13300 return 554;
e9dbdd80
TC
13301 }
13302 else
13303 {
13304 if (((word >> 23) & 0x1) == 0)
a06ea964 13305 {
e9dbdd80
TC
13306 /* 33222222222211111111110000000000
13307 10987654321098765432109876543210
13308 x10111100x0xxxxxxx1x11xxxxxxxxxx
13309 frecps. */
193614f2 13310 return 558;
a06ea964
NC
13311 }
13312 else
13313 {
e9dbdd80
TC
13314 /* 33222222222211111111110000000000
13315 10987654321098765432109876543210
13316 x10111101x0xxxxxxx1x11xxxxxxxxxx
13317 frsqrts. */
193614f2 13318 return 560;
a06ea964
NC
13319 }
13320 }
13321 }
13322 }
13323 }
e9dbdd80
TC
13324 }
13325 else
13326 {
13327 if (((word >> 11) & 0x1) == 0)
a06ea964 13328 {
e9dbdd80 13329 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
13330 {
13331 if (((word >> 13) & 0x1) == 0)
13332 {
e9dbdd80
TC
13333 /* 33222222222211111111110000000000
13334 10987654321098765432109876543210
13335 xx111110xx0xxxxxxx000xxxxxxxxxxx
13336 sqrdmlah. */
193614f2 13337 return 588;
e9dbdd80
TC
13338 }
13339 else
13340 {
13341 if (((word >> 23) & 0x1) == 0)
a06ea964 13342 {
e9dbdd80
TC
13343 /* 33222222222211111111110000000000
13344 10987654321098765432109876543210
13345 xx1111100x0xxxxxxx100xxxxxxxxxxx
13346 fcmge. */
193614f2 13347 return 573;
e9dbdd80
TC
13348 }
13349 else
13350 {
13351 /* 33222222222211111111110000000000
13352 10987654321098765432109876543210
13353 xx1111101x0xxxxxxx100xxxxxxxxxxx
13354 fcmgt. */
193614f2 13355 return 579;
e9dbdd80
TC
13356 }
13357 }
13358 }
13359 else
13360 {
13361 /* 33222222222211111111110000000000
13362 10987654321098765432109876543210
13363 xx111110xx0xxxxxxxx10xxxxxxxxxxx
13364 fabd. */
193614f2 13365 return 577;
e9dbdd80
TC
13366 }
13367 }
13368 else
13369 {
13370 if (((word >> 13) & 0x1) == 0)
13371 {
13372 /* 33222222222211111111110000000000
13373 10987654321098765432109876543210
13374 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
13375 sqrdmlsh. */
193614f2 13376 return 589;
e9dbdd80
TC
13377 }
13378 else
13379 {
13380 if (((word >> 23) & 0x1) == 0)
13381 {
13382 /* 33222222222211111111110000000000
13383 10987654321098765432109876543210
13384 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
13385 facge. */
193614f2 13386 return 575;
e9dbdd80
TC
13387 }
13388 else
13389 {
13390 /* 33222222222211111111110000000000
13391 10987654321098765432109876543210
13392 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
13393 facgt. */
193614f2 13394 return 581;
e9dbdd80
TC
13395 }
13396 }
13397 }
13398 }
13399 }
13400 }
13401 else
13402 {
13403 if (((word >> 28) & 0x1) == 0)
13404 {
13405 if (((word >> 15) & 0x1) == 0)
13406 {
13407 if (((word >> 29) & 0x1) == 0)
13408 {
13409 if (((word >> 31) & 0x1) == 0)
13410 {
13411 if (((word >> 10) & 0x1) == 0)
13412 {
13413 if (((word >> 11) & 0x1) == 0)
13414 {
13415 if (((word >> 12) & 0x1) == 0)
a06ea964 13416 {
e9dbdd80 13417 if (((word >> 13) & 0x1) == 0)
a06ea964 13418 {
e9dbdd80 13419 if (((word >> 14) & 0x1) == 0)
a06ea964 13420 {
e9dbdd80
TC
13421 if (((word >> 30) & 0x1) == 0)
13422 {
13423 /* 33222222222211111111110000000000
13424 10987654321098765432109876543210
13425 00001110xx1xxxxx000000xxxxxxxxxx
13426 saddl. */
193614f2 13427 return 44;
e9dbdd80
TC
13428 }
13429 else
13430 {
13431 /* 33222222222211111111110000000000
13432 10987654321098765432109876543210
13433 01001110xx1xxxxx000000xxxxxxxxxx
13434 saddl2. */
193614f2 13435 return 45;
e9dbdd80 13436 }
a06ea964
NC
13437 }
13438 else
13439 {
e9dbdd80
TC
13440 if (((word >> 30) & 0x1) == 0)
13441 {
13442 /* 33222222222211111111110000000000
13443 10987654321098765432109876543210
13444 00001110xx1xxxxx010000xxxxxxxxxx
13445 addhn. */
193614f2 13446 return 52;
e9dbdd80
TC
13447 }
13448 else
13449 {
13450 /* 33222222222211111111110000000000
13451 10987654321098765432109876543210
13452 01001110xx1xxxxx010000xxxxxxxxxx
13453 addhn2. */
193614f2 13454 return 53;
e9dbdd80 13455 }
a06ea964
NC
13456 }
13457 }
13458 else
13459 {
e9dbdd80 13460 if (((word >> 14) & 0x1) == 0)
a06ea964 13461 {
e9dbdd80
TC
13462 if (((word >> 30) & 0x1) == 0)
13463 {
13464 /* 33222222222211111111110000000000
13465 10987654321098765432109876543210
13466 00001110xx1xxxxx001000xxxxxxxxxx
13467 ssubl. */
193614f2 13468 return 48;
e9dbdd80
TC
13469 }
13470 else
13471 {
13472 /* 33222222222211111111110000000000
13473 10987654321098765432109876543210
13474 01001110xx1xxxxx001000xxxxxxxxxx
13475 ssubl2. */
193614f2 13476 return 49;
e9dbdd80 13477 }
a06ea964
NC
13478 }
13479 else
13480 {
e9dbdd80
TC
13481 if (((word >> 30) & 0x1) == 0)
13482 {
13483 /* 33222222222211111111110000000000
13484 10987654321098765432109876543210
13485 00001110xx1xxxxx011000xxxxxxxxxx
13486 subhn. */
193614f2 13487 return 56;
e9dbdd80
TC
13488 }
13489 else
13490 {
13491 /* 33222222222211111111110000000000
13492 10987654321098765432109876543210
13493 01001110xx1xxxxx011000xxxxxxxxxx
13494 subhn2. */
193614f2 13495 return 57;
e9dbdd80 13496 }
a06ea964
NC
13497 }
13498 }
13499 }
13500 else
13501 {
e9dbdd80 13502 if (((word >> 13) & 0x1) == 0)
a06ea964 13503 {
e9dbdd80 13504 if (((word >> 14) & 0x1) == 0)
a06ea964 13505 {
e9dbdd80
TC
13506 if (((word >> 30) & 0x1) == 0)
13507 {
13508 /* 33222222222211111111110000000000
13509 10987654321098765432109876543210
13510 00001110xx1xxxxx000100xxxxxxxxxx
13511 saddw. */
193614f2 13512 return 46;
e9dbdd80
TC
13513 }
13514 else
13515 {
13516 /* 33222222222211111111110000000000
13517 10987654321098765432109876543210
13518 01001110xx1xxxxx000100xxxxxxxxxx
13519 saddw2. */
193614f2 13520 return 47;
e9dbdd80 13521 }
a06ea964
NC
13522 }
13523 else
13524 {
e9dbdd80
TC
13525 if (((word >> 30) & 0x1) == 0)
13526 {
13527 /* 33222222222211111111110000000000
13528 10987654321098765432109876543210
13529 00001110xx1xxxxx010100xxxxxxxxxx
13530 sabal. */
193614f2 13531 return 54;
e9dbdd80
TC
13532 }
13533 else
13534 {
13535 /* 33222222222211111111110000000000
13536 10987654321098765432109876543210
13537 01001110xx1xxxxx010100xxxxxxxxxx
13538 sabal2. */
193614f2 13539 return 55;
e9dbdd80 13540 }
a06ea964
NC
13541 }
13542 }
13543 else
13544 {
e9dbdd80 13545 if (((word >> 14) & 0x1) == 0)
a06ea964 13546 {
e9dbdd80
TC
13547 if (((word >> 30) & 0x1) == 0)
13548 {
13549 /* 33222222222211111111110000000000
13550 10987654321098765432109876543210
13551 00001110xx1xxxxx001100xxxxxxxxxx
13552 ssubw. */
193614f2 13553 return 50;
e9dbdd80
TC
13554 }
13555 else
13556 {
13557 /* 33222222222211111111110000000000
13558 10987654321098765432109876543210
13559 01001110xx1xxxxx001100xxxxxxxxxx
13560 ssubw2. */
193614f2 13561 return 51;
e9dbdd80 13562 }
a06ea964
NC
13563 }
13564 else
13565 {
e9dbdd80
TC
13566 if (((word >> 30) & 0x1) == 0)
13567 {
13568 /* 33222222222211111111110000000000
13569 10987654321098765432109876543210
13570 00001110xx1xxxxx011100xxxxxxxxxx
13571 sabdl. */
193614f2 13572 return 58;
e9dbdd80
TC
13573 }
13574 else
13575 {
13576 /* 33222222222211111111110000000000
13577 10987654321098765432109876543210
13578 01001110xx1xxxxx011100xxxxxxxxxx
13579 sabdl2. */
193614f2 13580 return 59;
e9dbdd80 13581 }
a06ea964
NC
13582 }
13583 }
13584 }
a06ea964 13585 }
e9dbdd80 13586 else
a06ea964 13587 {
e9dbdd80 13588 if (((word >> 12) & 0x1) == 0)
a06ea964 13589 {
e9dbdd80 13590 if (((word >> 13) & 0x1) == 0)
a06ea964 13591 {
e9dbdd80 13592 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13593 {
13594 /* 33222222222211111111110000000000
13595 10987654321098765432109876543210
e9dbdd80
TC
13596 0x001110xx1xxxxx000010xxxxxxxxxx
13597 rev64. */
193614f2 13598 return 162;
a06ea964
NC
13599 }
13600 else
13601 {
e9dbdd80 13602 if (((word >> 16) & 0x1) == 0)
a06ea964 13603 {
e9dbdd80 13604 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13605 {
13606 /* 33222222222211111111110000000000
13607 10987654321098765432109876543210
e9dbdd80
TC
13608 0x001110xx1x0xx0010010xxxxxxxxxx
13609 cls. */
193614f2 13610 return 166;
f3aa142b
MW
13611 }
13612 else
13613 {
13614 /* 33222222222211111111110000000000
13615 10987654321098765432109876543210
e9dbdd80
TC
13616 0x001110xx1x1xx0010010xxxxxxxxxx
13617 aese. */
193614f2 13618 return 671;
f3aa142b 13619 }
a06ea964
NC
13620 }
13621 else
e9dbdd80
TC
13622 {
13623 if (((word >> 30) & 0x1) == 0)
13624 {
13625 /* 33222222222211111111110000000000
13626 10987654321098765432109876543210
13627 00001110xx1xxxx1010010xxxxxxxxxx
13628 sqxtn. */
193614f2 13629 return 176;
e9dbdd80
TC
13630 }
13631 else
13632 {
13633 /* 33222222222211111111110000000000
13634 10987654321098765432109876543210
13635 01001110xx1xxxx1010010xxxxxxxxxx
13636 sqxtn2. */
193614f2 13637 return 177;
e9dbdd80
TC
13638 }
13639 }
13640 }
13641 }
13642 else
13643 {
13644 if (((word >> 14) & 0x1) == 0)
13645 {
13646 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
13647 {
13648 /* 33222222222211111111110000000000
13649 10987654321098765432109876543210
e9dbdd80
TC
13650 0x001110xx1xxxx0001010xxxxxxxxxx
13651 saddlp. */
193614f2 13652 return 164;
e9dbdd80
TC
13653 }
13654 else
13655 {
13656 if (((word >> 30) & 0x1) == 0)
13657 {
13658 /* 33222222222211111111110000000000
13659 10987654321098765432109876543210
13660 00001110xx1xxxx1001010xxxxxxxxxx
13661 xtn. */
193614f2 13662 return 174;
e9dbdd80
TC
13663 }
13664 else
13665 {
13666 /* 33222222222211111111110000000000
13667 10987654321098765432109876543210
13668 01001110xx1xxxx1001010xxxxxxxxxx
13669 xtn2. */
193614f2 13670 return 175;
e9dbdd80 13671 }
a06ea964
NC
13672 }
13673 }
13674 else
13675 {
e9dbdd80 13676 if (((word >> 16) & 0x1) == 0)
f3aa142b 13677 {
e9dbdd80 13678 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
13679 {
13680 /* 33222222222211111111110000000000
13681 10987654321098765432109876543210
e9dbdd80
TC
13682 0x001110xx1x0xx0011010xxxxxxxxxx
13683 sadalp. */
193614f2 13684 return 168;
f3aa142b
MW
13685 }
13686 else
13687 {
13688 /* 33222222222211111111110000000000
13689 10987654321098765432109876543210
e9dbdd80
TC
13690 0x001110xx1x1xx0011010xxxxxxxxxx
13691 aesmc. */
193614f2 13692 return 673;
f3aa142b
MW
13693 }
13694 }
13695 else
13696 {
e9dbdd80
TC
13697 if (((word >> 30) & 0x1) == 0)
13698 {
13699 /* 33222222222211111111110000000000
13700 10987654321098765432109876543210
13701 00001110xx1xxxx1011010xxxxxxxxxx
13702 fcvtn. */
193614f2 13703 return 178;
e9dbdd80
TC
13704 }
13705 else
13706 {
13707 /* 33222222222211111111110000000000
13708 10987654321098765432109876543210
13709 01001110xx1xxxx1011010xxxxxxxxxx
13710 fcvtn2. */
193614f2 13711 return 179;
e9dbdd80 13712 }
f3aa142b 13713 }
a06ea964
NC
13714 }
13715 }
13716 }
13717 else
13718 {
e9dbdd80 13719 if (((word >> 13) & 0x1) == 0)
a06ea964 13720 {
e9dbdd80 13721 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13722 {
13723 /* 33222222222211111111110000000000
13724 10987654321098765432109876543210
e9dbdd80
TC
13725 0x001110xx1xxxxx000110xxxxxxxxxx
13726 rev16. */
193614f2 13727 return 163;
a06ea964
NC
13728 }
13729 else
13730 {
e9dbdd80
TC
13731 if (((word >> 19) & 0x1) == 0)
13732 {
13733 /* 33222222222211111111110000000000
13734 10987654321098765432109876543210
13735 0x001110xx1x0xxx010110xxxxxxxxxx
13736 cnt. */
193614f2 13737 return 167;
e9dbdd80
TC
13738 }
13739 else
13740 {
13741 /* 33222222222211111111110000000000
13742 10987654321098765432109876543210
13743 0x001110xx1x1xxx010110xxxxxxxxxx
13744 aesd. */
193614f2 13745 return 672;
e9dbdd80 13746 }
a06ea964
NC
13747 }
13748 }
13749 else
13750 {
e9dbdd80 13751 if (((word >> 14) & 0x1) == 0)
a06ea964 13752 {
e9dbdd80 13753 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
13754 {
13755 /* 33222222222211111111110000000000
13756 10987654321098765432109876543210
e9dbdd80
TC
13757 0x001110xx10xxxx001110xxxxxxxxxx
13758 suqadd. */
193614f2 13759 return 165;
a06ea964
NC
13760 }
13761 else
13762 {
13763 /* 33222222222211111111110000000000
13764 10987654321098765432109876543210
e9dbdd80
TC
13765 0x001110xx11xxxx001110xxxxxxxxxx
13766 saddlv. */
193614f2 13767 return 29;
e9dbdd80
TC
13768 }
13769 }
13770 else
13771 {
13772 if (((word >> 16) & 0x1) == 0)
13773 {
13774 if (((word >> 19) & 0x1) == 0)
13775 {
13776 /* 33222222222211111111110000000000
13777 10987654321098765432109876543210
13778 0x001110xx1x0xx0011110xxxxxxxxxx
13779 sqabs. */
193614f2 13780 return 169;
e9dbdd80
TC
13781 }
13782 else
13783 {
13784 /* 33222222222211111111110000000000
13785 10987654321098765432109876543210
13786 0x001110xx1x1xx0011110xxxxxxxxxx
13787 aesimc. */
193614f2 13788 return 674;
e9dbdd80
TC
13789 }
13790 }
13791 else
13792 {
13793 if (((word >> 30) & 0x1) == 0)
13794 {
13795 /* 33222222222211111111110000000000
13796 10987654321098765432109876543210
13797 00001110xx1xxxx1011110xxxxxxxxxx
13798 fcvtl. */
193614f2 13799 return 180;
e9dbdd80
TC
13800 }
13801 else
13802 {
13803 /* 33222222222211111111110000000000
13804 10987654321098765432109876543210
13805 01001110xx1xxxx1011110xxxxxxxxxx
13806 fcvtl2. */
193614f2 13807 return 181;
e9dbdd80 13808 }
a06ea964
NC
13809 }
13810 }
e9dbdd80
TC
13811 }
13812 }
13813 }
13814 }
13815 else
13816 {
13817 if (((word >> 11) & 0x1) == 0)
13818 {
13819 if (((word >> 12) & 0x1) == 0)
13820 {
13821 if (((word >> 13) & 0x1) == 0)
13822 {
13823 if (((word >> 14) & 0x1) == 0)
13824 {
13825 /* 33222222222211111111110000000000
13826 10987654321098765432109876543210
13827 0x001110xx1xxxxx000001xxxxxxxxxx
13828 shadd. */
193614f2 13829 return 268;
e9dbdd80 13830 }
a06ea964
NC
13831 else
13832 {
13833 /* 33222222222211111111110000000000
13834 10987654321098765432109876543210
e9dbdd80
TC
13835 0x001110xx1xxxxx010001xxxxxxxxxx
13836 sshl. */
193614f2 13837 return 275;
a06ea964
NC
13838 }
13839 }
13840 else
13841 {
e9dbdd80 13842 if (((word >> 14) & 0x1) == 0)
a06ea964 13843 {
e9dbdd80
TC
13844 /* 33222222222211111111110000000000
13845 10987654321098765432109876543210
13846 0x001110xx1xxxxx001001xxxxxxxxxx
13847 shsub. */
193614f2 13848 return 271;
a06ea964
NC
13849 }
13850 else
13851 {
e9dbdd80
TC
13852 /* 33222222222211111111110000000000
13853 10987654321098765432109876543210
13854 0x001110xx1xxxxx011001xxxxxxxxxx
13855 smax. */
193614f2 13856 return 279;
a06ea964
NC
13857 }
13858 }
13859 }
13860 else
13861 {
e9dbdd80 13862 if (((word >> 13) & 0x1) == 0)
a06ea964 13863 {
e9dbdd80 13864 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
13865 {
13866 /* 33222222222211111111110000000000
13867 10987654321098765432109876543210
e9dbdd80
TC
13868 0x001110xx1xxxxx000101xxxxxxxxxx
13869 srhadd. */
193614f2 13870 return 270;
a06ea964
NC
13871 }
13872 else
13873 {
13874 /* 33222222222211111111110000000000
13875 10987654321098765432109876543210
e9dbdd80
TC
13876 0x001110xx1xxxxx010101xxxxxxxxxx
13877 srshl. */
193614f2 13878 return 277;
a06ea964
NC
13879 }
13880 }
13881 else
13882 {
e9dbdd80
TC
13883 if (((word >> 14) & 0x1) == 0)
13884 {
13885 /* 33222222222211111111110000000000
13886 10987654321098765432109876543210
13887 0x001110xx1xxxxx001101xxxxxxxxxx
13888 cmgt. */
193614f2 13889 return 273;
e9dbdd80
TC
13890 }
13891 else
13892 {
13893 /* 33222222222211111111110000000000
13894 10987654321098765432109876543210
13895 0x001110xx1xxxxx011101xxxxxxxxxx
13896 sabd. */
193614f2 13897 return 281;
e9dbdd80 13898 }
a06ea964
NC
13899 }
13900 }
13901 }
13902 else
13903 {
e9dbdd80 13904 if (((word >> 12) & 0x1) == 0)
a06ea964 13905 {
e9dbdd80 13906 if (((word >> 13) & 0x1) == 0)
a06ea964 13907 {
e9dbdd80
TC
13908 if (((word >> 14) & 0x1) == 0)
13909 {
13910 /* 33222222222211111111110000000000
13911 10987654321098765432109876543210
13912 0x001110xx1xxxxx000011xxxxxxxxxx
13913 sqadd. */
193614f2 13914 return 269;
e9dbdd80
TC
13915 }
13916 else
13917 {
13918 /* 33222222222211111111110000000000
13919 10987654321098765432109876543210
13920 0x001110xx1xxxxx010011xxxxxxxxxx
13921 sqshl. */
193614f2 13922 return 276;
e9dbdd80
TC
13923 }
13924 }
13925 else
13926 {
13927 if (((word >> 14) & 0x1) == 0)
13928 {
13929 /* 33222222222211111111110000000000
13930 10987654321098765432109876543210
13931 0x001110xx1xxxxx001011xxxxxxxxxx
13932 sqsub. */
193614f2 13933 return 272;
e9dbdd80
TC
13934 }
13935 else
13936 {
13937 /* 33222222222211111111110000000000
13938 10987654321098765432109876543210
13939 0x001110xx1xxxxx011011xxxxxxxxxx
13940 smin. */
193614f2 13941 return 280;
e9dbdd80
TC
13942 }
13943 }
13944 }
13945 else
13946 {
13947 if (((word >> 13) & 0x1) == 0)
13948 {
13949 if (((word >> 14) & 0x1) == 0)
a06ea964 13950 {
e9dbdd80 13951 if (((word >> 22) & 0x1) == 0)
a06ea964 13952 {
e9dbdd80 13953 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
13954 {
13955 /* 33222222222211111111110000000000
13956 10987654321098765432109876543210
e9dbdd80
TC
13957 0x001110001xxxxx000111xxxxxxxxxx
13958 and. */
193614f2 13959 return 305;
f3aa142b
MW
13960 }
13961 else
13962 {
13963 /* 33222222222211111111110000000000
13964 10987654321098765432109876543210
e9dbdd80
TC
13965 0x001110101xxxxx000111xxxxxxxxxx
13966 orr. */
193614f2 13967 return 317;
f3aa142b 13968 }
a06ea964
NC
13969 }
13970 else
13971 {
e9dbdd80 13972 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
13973 {
13974 /* 33222222222211111111110000000000
13975 10987654321098765432109876543210
e9dbdd80
TC
13976 0x001110011xxxxx000111xxxxxxxxxx
13977 bic. */
193614f2 13978 return 306;
f3aa142b
MW
13979 }
13980 else
13981 {
13982 /* 33222222222211111111110000000000
13983 10987654321098765432109876543210
e9dbdd80
TC
13984 0x001110111xxxxx000111xxxxxxxxxx
13985 orn. */
193614f2 13986 return 319;
f3aa142b 13987 }
a06ea964
NC
13988 }
13989 }
13990 else
13991 {
e9dbdd80
TC
13992 /* 33222222222211111111110000000000
13993 10987654321098765432109876543210
13994 0x001110xx1xxxxx010111xxxxxxxxxx
13995 sqrshl. */
193614f2 13996 return 278;
a06ea964
NC
13997 }
13998 }
13999 else
f3aa142b 14000 {
e9dbdd80 14001 if (((word >> 14) & 0x1) == 0)
f3aa142b 14002 {
e9dbdd80
TC
14003 /* 33222222222211111111110000000000
14004 10987654321098765432109876543210
14005 0x001110xx1xxxxx001111xxxxxxxxxx
14006 cmge. */
193614f2 14007 return 274;
a06ea964
NC
14008 }
14009 else
14010 {
e9dbdd80
TC
14011 /* 33222222222211111111110000000000
14012 10987654321098765432109876543210
14013 0x001110xx1xxxxx011111xxxxxxxxxx
14014 saba. */
193614f2 14015 return 282;
a06ea964
NC
14016 }
14017 }
14018 }
14019 }
14020 }
14021 }
14022 else
14023 {
e9dbdd80
TC
14024 /* 33222222222211111111110000000000
14025 10987654321098765432109876543210
14026 1x001110xx1xxxxx0xxxxxxxxxxxxxxx
14027 bcax. */
b731bc3b 14028 return 2038;
e9dbdd80
TC
14029 }
14030 }
14031 else
14032 {
14033 if (((word >> 10) & 0x1) == 0)
14034 {
14035 if (((word >> 11) & 0x1) == 0)
a06ea964 14036 {
e9dbdd80 14037 if (((word >> 12) & 0x1) == 0)
a06ea964 14038 {
e9dbdd80 14039 if (((word >> 13) & 0x1) == 0)
a06ea964 14040 {
e9dbdd80 14041 if (((word >> 14) & 0x1) == 0)
a06ea964 14042 {
e9dbdd80 14043 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14044 {
14045 /* 33222222222211111111110000000000
14046 10987654321098765432109876543210
e9dbdd80
TC
14047 x0101110xx1xxxxx000000xxxxxxxxxx
14048 uaddl. */
193614f2 14049 return 76;
a06ea964
NC
14050 }
14051 else
14052 {
14053 /* 33222222222211111111110000000000
14054 10987654321098765432109876543210
e9dbdd80
TC
14055 x1101110xx1xxxxx000000xxxxxxxxxx
14056 uaddl2. */
193614f2 14057 return 77;
a06ea964
NC
14058 }
14059 }
14060 else
14061 {
e9dbdd80 14062 if (((word >> 30) & 0x1) == 0)
a06ea964 14063 {
e9dbdd80
TC
14064 /* 33222222222211111111110000000000
14065 10987654321098765432109876543210
14066 x0101110xx1xxxxx010000xxxxxxxxxx
14067 raddhn. */
193614f2 14068 return 84;
a06ea964
NC
14069 }
14070 else
14071 {
e9dbdd80
TC
14072 /* 33222222222211111111110000000000
14073 10987654321098765432109876543210
14074 x1101110xx1xxxxx010000xxxxxxxxxx
14075 raddhn2. */
193614f2 14076 return 85;
a06ea964
NC
14077 }
14078 }
14079 }
14080 else
14081 {
e9dbdd80 14082 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14083 {
14084 if (((word >> 30) & 0x1) == 0)
14085 {
14086 /* 33222222222211111111110000000000
14087 10987654321098765432109876543210
e9dbdd80
TC
14088 x0101110xx1xxxxx001000xxxxxxxxxx
14089 usubl. */
193614f2 14090 return 80;
a06ea964
NC
14091 }
14092 else
14093 {
14094 /* 33222222222211111111110000000000
14095 10987654321098765432109876543210
e9dbdd80
TC
14096 x1101110xx1xxxxx001000xxxxxxxxxx
14097 usubl2. */
193614f2 14098 return 81;
a06ea964
NC
14099 }
14100 }
14101 else
14102 {
e9dbdd80
TC
14103 if (((word >> 30) & 0x1) == 0)
14104 {
14105 /* 33222222222211111111110000000000
14106 10987654321098765432109876543210
14107 x0101110xx1xxxxx011000xxxxxxxxxx
14108 rsubhn. */
193614f2 14109 return 88;
e9dbdd80
TC
14110 }
14111 else
14112 {
14113 /* 33222222222211111111110000000000
14114 10987654321098765432109876543210
14115 x1101110xx1xxxxx011000xxxxxxxxxx
14116 rsubhn2. */
193614f2 14117 return 89;
e9dbdd80 14118 }
a06ea964
NC
14119 }
14120 }
14121 }
14122 else
14123 {
e9dbdd80 14124 if (((word >> 13) & 0x1) == 0)
a06ea964 14125 {
e9dbdd80 14126 if (((word >> 14) & 0x1) == 0)
a06ea964 14127 {
e9dbdd80 14128 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14129 {
14130 /* 33222222222211111111110000000000
14131 10987654321098765432109876543210
e9dbdd80
TC
14132 x0101110xx1xxxxx000100xxxxxxxxxx
14133 uaddw. */
193614f2 14134 return 78;
a06ea964
NC
14135 }
14136 else
14137 {
14138 /* 33222222222211111111110000000000
14139 10987654321098765432109876543210
e9dbdd80
TC
14140 x1101110xx1xxxxx000100xxxxxxxxxx
14141 uaddw2. */
193614f2 14142 return 79;
a06ea964
NC
14143 }
14144 }
14145 else
14146 {
e9dbdd80 14147 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14148 {
14149 /* 33222222222211111111110000000000
14150 10987654321098765432109876543210
e9dbdd80
TC
14151 x0101110xx1xxxxx010100xxxxxxxxxx
14152 uabal. */
193614f2 14153 return 86;
a06ea964
NC
14154 }
14155 else
14156 {
14157 /* 33222222222211111111110000000000
14158 10987654321098765432109876543210
e9dbdd80
TC
14159 x1101110xx1xxxxx010100xxxxxxxxxx
14160 uabal2. */
193614f2 14161 return 87;
a06ea964
NC
14162 }
14163 }
14164 }
14165 else
14166 {
e9dbdd80 14167 if (((word >> 14) & 0x1) == 0)
a06ea964 14168 {
e9dbdd80 14169 if (((word >> 30) & 0x1) == 0)
a06ea964 14170 {
e9dbdd80
TC
14171 /* 33222222222211111111110000000000
14172 10987654321098765432109876543210
14173 x0101110xx1xxxxx001100xxxxxxxxxx
14174 usubw. */
193614f2 14175 return 82;
a06ea964
NC
14176 }
14177 else
14178 {
e9dbdd80
TC
14179 /* 33222222222211111111110000000000
14180 10987654321098765432109876543210
14181 x1101110xx1xxxxx001100xxxxxxxxxx
14182 usubw2. */
193614f2 14183 return 83;
a06ea964
NC
14184 }
14185 }
14186 else
14187 {
e9dbdd80 14188 if (((word >> 30) & 0x1) == 0)
a06ea964 14189 {
e9dbdd80
TC
14190 /* 33222222222211111111110000000000
14191 10987654321098765432109876543210
14192 x0101110xx1xxxxx011100xxxxxxxxxx
14193 uabdl. */
193614f2 14194 return 90;
a06ea964
NC
14195 }
14196 else
14197 {
e9dbdd80
TC
14198 /* 33222222222211111111110000000000
14199 10987654321098765432109876543210
14200 x1101110xx1xxxxx011100xxxxxxxxxx
14201 uabdl2. */
193614f2 14202 return 91;
a06ea964
NC
14203 }
14204 }
14205 }
14206 }
14207 }
14208 else
14209 {
e9dbdd80 14210 if (((word >> 12) & 0x1) == 0)
a06ea964 14211 {
e9dbdd80 14212 if (((word >> 13) & 0x1) == 0)
a06ea964 14213 {
e9dbdd80 14214 if (((word >> 14) & 0x1) == 0)
a06ea964 14215 {
e9dbdd80
TC
14216 /* 33222222222211111111110000000000
14217 10987654321098765432109876543210
14218 xx101110xx1xxxxx000010xxxxxxxxxx
14219 rev32. */
193614f2 14220 return 213;
e9dbdd80
TC
14221 }
14222 else
14223 {
14224 if (((word >> 16) & 0x1) == 0)
a06ea964 14225 {
e9dbdd80
TC
14226 /* 33222222222211111111110000000000
14227 10987654321098765432109876543210
14228 xx101110xx1xxxx0010010xxxxxxxxxx
14229 clz. */
193614f2 14230 return 216;
e9dbdd80
TC
14231 }
14232 else
14233 {
14234 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
14235 {
14236 /* 33222222222211111111110000000000
14237 10987654321098765432109876543210
e9dbdd80
TC
14238 x0101110xx1xxxx1010010xxxxxxxxxx
14239 uqxtn. */
193614f2 14240 return 226;
a06ea964
NC
14241 }
14242 else
14243 {
14244 /* 33222222222211111111110000000000
14245 10987654321098765432109876543210
e9dbdd80
TC
14246 x1101110xx1xxxx1010010xxxxxxxxxx
14247 uqxtn2. */
193614f2 14248 return 227;
a06ea964
NC
14249 }
14250 }
e9dbdd80
TC
14251 }
14252 }
14253 else
14254 {
14255 if (((word >> 14) & 0x1) == 0)
14256 {
14257 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
14258 {
14259 /* 33222222222211111111110000000000
14260 10987654321098765432109876543210
e9dbdd80
TC
14261 xx101110xx1xxxx0001010xxxxxxxxxx
14262 uaddlp. */
193614f2 14263 return 214;
a06ea964 14264 }
e9dbdd80 14265 else
a06ea964
NC
14266 {
14267 if (((word >> 30) & 0x1) == 0)
14268 {
14269 /* 33222222222211111111110000000000
14270 10987654321098765432109876543210
e9dbdd80
TC
14271 x0101110xx1xxxx1001010xxxxxxxxxx
14272 sqxtun. */
193614f2 14273 return 222;
a06ea964
NC
14274 }
14275 else
14276 {
14277 /* 33222222222211111111110000000000
14278 10987654321098765432109876543210
e9dbdd80
TC
14279 x1101110xx1xxxx1001010xxxxxxxxxx
14280 sqxtun2. */
193614f2 14281 return 223;
a06ea964
NC
14282 }
14283 }
e9dbdd80
TC
14284 }
14285 else
14286 {
14287 if (((word >> 16) & 0x1) == 0)
14288 {
14289 /* 33222222222211111111110000000000
14290 10987654321098765432109876543210
14291 xx101110xx1xxxx0011010xxxxxxxxxx
14292 uadalp. */
193614f2 14293 return 217;
e9dbdd80 14294 }
a06ea964
NC
14295 else
14296 {
14297 if (((word >> 30) & 0x1) == 0)
14298 {
14299 /* 33222222222211111111110000000000
14300 10987654321098765432109876543210
7684e580 14301 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14302 fcvtxn. */
193614f2 14303 return 228;
a06ea964
NC
14304 }
14305 else
14306 {
14307 /* 33222222222211111111110000000000
14308 10987654321098765432109876543210
7684e580 14309 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 14310 fcvtxn2. */
193614f2 14311 return 229;
a06ea964
NC
14312 }
14313 }
14314 }
14315 }
a06ea964
NC
14316 }
14317 else
14318 {
e9dbdd80 14319 if (((word >> 13) & 0x1) == 0)
a06ea964 14320 {
e9dbdd80 14321 if (((word >> 22) & 0x1) == 0)
f3aa142b
MW
14322 {
14323 /* 33222222222211111111110000000000
14324 10987654321098765432109876543210
e9dbdd80
TC
14325 xx101110x01xxxxx0x0110xxxxxxxxxx
14326 not. */
193614f2 14327 return 242;
f3aa142b
MW
14328 }
14329 else
14330 {
14331 /* 33222222222211111111110000000000
14332 10987654321098765432109876543210
e9dbdd80
TC
14333 xx101110x11xxxxx0x0110xxxxxxxxxx
14334 rbit. */
193614f2 14335 return 244;
f3aa142b 14336 }
a06ea964
NC
14337 }
14338 else
14339 {
e9dbdd80 14340 if (((word >> 14) & 0x1) == 0)
80776b29 14341 {
e9dbdd80
TC
14342 if (((word >> 16) & 0x1) == 0)
14343 {
14344 if (((word >> 20) & 0x1) == 0)
14345 {
14346 /* 33222222222211111111110000000000
14347 10987654321098765432109876543210
14348 xx101110xx10xxx0001110xxxxxxxxxx
14349 usqadd. */
193614f2 14350 return 215;
e9dbdd80
TC
14351 }
14352 else
14353 {
14354 /* 33222222222211111111110000000000
14355 10987654321098765432109876543210
14356 xx101110xx11xxx0001110xxxxxxxxxx
14357 uaddlv. */
193614f2 14358 return 33;
e9dbdd80
TC
14359 }
14360 }
14361 else
14362 {
14363 if (((word >> 30) & 0x1) == 0)
14364 {
14365 /* 33222222222211111111110000000000
14366 10987654321098765432109876543210
14367 x0101110xx1xxxx1001110xxxxxxxxxx
14368 shll. */
193614f2 14369 return 224;
e9dbdd80
TC
14370 }
14371 else
14372 {
14373 /* 33222222222211111111110000000000
14374 10987654321098765432109876543210
14375 x1101110xx1xxxx1001110xxxxxxxxxx
14376 shll2. */
193614f2 14377 return 225;
e9dbdd80
TC
14378 }
14379 }
14380 }
14381 else
14382 {
14383 /* 33222222222211111111110000000000
14384 10987654321098765432109876543210
14385 xx101110xx1xxxxx011110xxxxxxxxxx
14386 sqneg. */
193614f2 14387 return 218;
e9dbdd80 14388 }
a06ea964
NC
14389 }
14390 }
14391 }
14392 }
e9dbdd80 14393 else
a06ea964 14394 {
e9dbdd80 14395 if (((word >> 11) & 0x1) == 0)
a06ea964 14396 {
e9dbdd80 14397 if (((word >> 12) & 0x1) == 0)
a06ea964 14398 {
e9dbdd80 14399 if (((word >> 13) & 0x1) == 0)
a06ea964 14400 {
e9dbdd80
TC
14401 if (((word >> 14) & 0x1) == 0)
14402 {
14403 /* 33222222222211111111110000000000
14404 10987654321098765432109876543210
14405 xx101110xx1xxxxx000001xxxxxxxxxx
14406 uhadd. */
193614f2 14407 return 320;
e9dbdd80
TC
14408 }
14409 else
14410 {
14411 /* 33222222222211111111110000000000
14412 10987654321098765432109876543210
14413 xx101110xx1xxxxx010001xxxxxxxxxx
14414 ushl. */
193614f2 14415 return 327;
e9dbdd80 14416 }
a06ea964
NC
14417 }
14418 else
14419 {
e9dbdd80 14420 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
14421 {
14422 /* 33222222222211111111110000000000
14423 10987654321098765432109876543210
e9dbdd80
TC
14424 xx101110xx1xxxxx001001xxxxxxxxxx
14425 uhsub. */
193614f2 14426 return 323;
a06ea964
NC
14427 }
14428 else
14429 {
14430 /* 33222222222211111111110000000000
14431 10987654321098765432109876543210
e9dbdd80
TC
14432 xx101110xx1xxxxx011001xxxxxxxxxx
14433 umax. */
193614f2 14434 return 331;
a06ea964
NC
14435 }
14436 }
14437 }
14438 else
14439 {
e9dbdd80 14440 if (((word >> 13) & 0x1) == 0)
a06ea964 14441 {
e9dbdd80 14442 if (((word >> 14) & 0x1) == 0)
a06ea964 14443 {
e9dbdd80
TC
14444 /* 33222222222211111111110000000000
14445 10987654321098765432109876543210
14446 xx101110xx1xxxxx000101xxxxxxxxxx
14447 urhadd. */
193614f2 14448 return 322;
a06ea964
NC
14449 }
14450 else
14451 {
e9dbdd80
TC
14452 /* 33222222222211111111110000000000
14453 10987654321098765432109876543210
14454 xx101110xx1xxxxx010101xxxxxxxxxx
14455 urshl. */
193614f2 14456 return 329;
a06ea964
NC
14457 }
14458 }
14459 else
14460 {
e9dbdd80 14461 if (((word >> 14) & 0x1) == 0)
a06ea964 14462 {
e9dbdd80
TC
14463 /* 33222222222211111111110000000000
14464 10987654321098765432109876543210
14465 xx101110xx1xxxxx001101xxxxxxxxxx
14466 cmhi. */
193614f2 14467 return 325;
a06ea964
NC
14468 }
14469 else
14470 {
e9dbdd80
TC
14471 /* 33222222222211111111110000000000
14472 10987654321098765432109876543210
14473 xx101110xx1xxxxx011101xxxxxxxxxx
14474 uabd. */
193614f2 14475 return 333;
e9dbdd80
TC
14476 }
14477 }
14478 }
14479 }
14480 else
14481 {
14482 if (((word >> 12) & 0x1) == 0)
14483 {
14484 if (((word >> 13) & 0x1) == 0)
14485 {
14486 if (((word >> 14) & 0x1) == 0)
14487 {
14488 /* 33222222222211111111110000000000
14489 10987654321098765432109876543210
14490 xx101110xx1xxxxx000011xxxxxxxxxx
14491 uqadd. */
193614f2 14492 return 321;
e9dbdd80
TC
14493 }
14494 else
14495 {
14496 /* 33222222222211111111110000000000
14497 10987654321098765432109876543210
14498 xx101110xx1xxxxx010011xxxxxxxxxx
14499 uqshl. */
193614f2 14500 return 328;
e9dbdd80
TC
14501 }
14502 }
14503 else
14504 {
14505 if (((word >> 14) & 0x1) == 0)
14506 {
14507 /* 33222222222211111111110000000000
14508 10987654321098765432109876543210
14509 xx101110xx1xxxxx001011xxxxxxxxxx
14510 uqsub. */
193614f2 14511 return 324;
e9dbdd80
TC
14512 }
14513 else
14514 {
14515 /* 33222222222211111111110000000000
14516 10987654321098765432109876543210
14517 xx101110xx1xxxxx011011xxxxxxxxxx
14518 umin. */
193614f2 14519 return 332;
e9dbdd80
TC
14520 }
14521 }
14522 }
14523 else
14524 {
14525 if (((word >> 13) & 0x1) == 0)
14526 {
14527 if (((word >> 14) & 0x1) == 0)
14528 {
14529 if (((word >> 22) & 0x1) == 0)
a06ea964 14530 {
e9dbdd80 14531 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14532 {
14533 /* 33222222222211111111110000000000
14534 10987654321098765432109876543210
e9dbdd80
TC
14535 xx101110001xxxxx000111xxxxxxxxxx
14536 eor. */
193614f2 14537 return 356;
f3aa142b
MW
14538 }
14539 else
14540 {
14541 /* 33222222222211111111110000000000
14542 10987654321098765432109876543210
e9dbdd80
TC
14543 xx101110101xxxxx000111xxxxxxxxxx
14544 bit. */
193614f2 14545 return 368;
f3aa142b 14546 }
a06ea964
NC
14547 }
14548 else
14549 {
e9dbdd80 14550 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14551 {
14552 /* 33222222222211111111110000000000
14553 10987654321098765432109876543210
e9dbdd80
TC
14554 xx101110011xxxxx000111xxxxxxxxxx
14555 bsl. */
193614f2 14556 return 357;
f3aa142b
MW
14557 }
14558 else
14559 {
14560 /* 33222222222211111111110000000000
14561 10987654321098765432109876543210
e9dbdd80
TC
14562 xx101110111xxxxx000111xxxxxxxxxx
14563 bif. */
193614f2 14564 return 369;
f3aa142b 14565 }
a06ea964
NC
14566 }
14567 }
e9dbdd80
TC
14568 else
14569 {
14570 /* 33222222222211111111110000000000
14571 10987654321098765432109876543210
14572 xx101110xx1xxxxx010111xxxxxxxxxx
14573 uqrshl. */
193614f2 14574 return 330;
e9dbdd80 14575 }
a06ea964 14576 }
e9dbdd80 14577 else
a06ea964 14578 {
e9dbdd80 14579 if (((word >> 14) & 0x1) == 0)
a06ea964 14580 {
e9dbdd80
TC
14581 /* 33222222222211111111110000000000
14582 10987654321098765432109876543210
14583 xx101110xx1xxxxx001111xxxxxxxxxx
14584 cmhs. */
193614f2 14585 return 326;
e9dbdd80
TC
14586 }
14587 else
14588 {
14589 /* 33222222222211111111110000000000
14590 10987654321098765432109876543210
14591 xx101110xx1xxxxx011111xxxxxxxxxx
14592 uaba. */
193614f2 14593 return 334;
e9dbdd80
TC
14594 }
14595 }
14596 }
14597 }
14598 }
14599 }
14600 }
14601 else
14602 {
14603 if (((word >> 10) & 0x1) == 0)
14604 {
14605 if (((word >> 11) & 0x1) == 0)
14606 {
14607 if (((word >> 12) & 0x1) == 0)
14608 {
14609 if (((word >> 13) & 0x1) == 0)
14610 {
14611 if (((word >> 14) & 0x1) == 0)
14612 {
14613 if (((word >> 29) & 0x1) == 0)
14614 {
14615 if (((word >> 30) & 0x1) == 0)
14616 {
a06ea964
NC
14617 /* 33222222222211111111110000000000
14618 10987654321098765432109876543210
e9dbdd80
TC
14619 x0001110xx1xxxxx100000xxxxxxxxxx
14620 smlal. */
193614f2 14621 return 60;
a06ea964
NC
14622 }
14623 else
14624 {
e9dbdd80 14625 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
14626 {
14627 /* 33222222222211111111110000000000
14628 10987654321098765432109876543210
e9dbdd80
TC
14629 01001110xx1xxxxx100000xxxxxxxxxx
14630 smlal2. */
193614f2 14631 return 61;
a06ea964
NC
14632 }
14633 else
14634 {
14635 /* 33222222222211111111110000000000
14636 10987654321098765432109876543210
e9dbdd80
TC
14637 11001110xx1xxxxx100000xxxxxxxxxx
14638 sha512h. */
b731bc3b 14639 return 2031;
a06ea964
NC
14640 }
14641 }
14642 }
14643 else
14644 {
e9dbdd80
TC
14645 if (((word >> 30) & 0x1) == 0)
14646 {
14647 /* 33222222222211111111110000000000
14648 10987654321098765432109876543210
14649 x0101110xx1xxxxx100000xxxxxxxxxx
14650 umlal. */
193614f2 14651 return 92;
e9dbdd80
TC
14652 }
14653 else
14654 {
14655 /* 33222222222211111111110000000000
14656 10987654321098765432109876543210
14657 x1101110xx1xxxxx100000xxxxxxxxxx
14658 umlal2. */
193614f2 14659 return 93;
e9dbdd80 14660 }
a06ea964
NC
14661 }
14662 }
14663 else
14664 {
e9dbdd80 14665 if (((word >> 29) & 0x1) == 0)
a06ea964 14666 {
e9dbdd80 14667 if (((word >> 30) & 0x1) == 0)
a06ea964 14668 {
e9dbdd80
TC
14669 /* 33222222222211111111110000000000
14670 10987654321098765432109876543210
14671 x0001110xx1xxxxx110000xxxxxxxxxx
14672 smull. */
193614f2 14673 return 68;
a06ea964
NC
14674 }
14675 else
14676 {
e9dbdd80 14677 if (((word >> 31) & 0x1) == 0)
b195470d
MW
14678 {
14679 /* 33222222222211111111110000000000
14680 10987654321098765432109876543210
e9dbdd80
TC
14681 01001110xx1xxxxx110000xxxxxxxxxx
14682 smull2. */
193614f2 14683 return 69;
b195470d
MW
14684 }
14685 else
14686 {
14687 /* 33222222222211111111110000000000
14688 10987654321098765432109876543210
e9dbdd80
TC
14689 11001110xx1xxxxx110000xxxxxxxxxx
14690 sm3partw1. */
b731bc3b 14691 return 2044;
b195470d 14692 }
a06ea964
NC
14693 }
14694 }
14695 else
14696 {
e9dbdd80 14697 if (((word >> 30) & 0x1) == 0)
f3aa142b 14698 {
e9dbdd80
TC
14699 /* 33222222222211111111110000000000
14700 10987654321098765432109876543210
14701 x0101110xx1xxxxx110000xxxxxxxxxx
14702 umull. */
193614f2 14703 return 96;
f3aa142b
MW
14704 }
14705 else
14706 {
e9dbdd80
TC
14707 /* 33222222222211111111110000000000
14708 10987654321098765432109876543210
14709 x1101110xx1xxxxx110000xxxxxxxxxx
14710 umull2. */
193614f2 14711 return 97;
f3aa142b 14712 }
a06ea964
NC
14713 }
14714 }
e9dbdd80
TC
14715 }
14716 else
14717 {
14718 if (((word >> 14) & 0x1) == 0)
a06ea964 14719 {
e9dbdd80 14720 if (((word >> 29) & 0x1) == 0)
a06ea964 14721 {
e9dbdd80 14722 if (((word >> 30) & 0x1) == 0)
a06ea964 14723 {
e9dbdd80
TC
14724 /* 33222222222211111111110000000000
14725 10987654321098765432109876543210
14726 x0001110xx1xxxxx101000xxxxxxxxxx
14727 smlsl. */
193614f2 14728 return 64;
a06ea964
NC
14729 }
14730 else
14731 {
e9dbdd80
TC
14732 /* 33222222222211111111110000000000
14733 10987654321098765432109876543210
14734 x1001110xx1xxxxx101000xxxxxxxxxx
14735 smlsl2. */
193614f2 14736 return 65;
a06ea964
NC
14737 }
14738 }
14739 else
14740 {
e9dbdd80 14741 if (((word >> 30) & 0x1) == 0)
a06ea964 14742 {
e9dbdd80
TC
14743 /* 33222222222211111111110000000000
14744 10987654321098765432109876543210
14745 x0101110xx1xxxxx101000xxxxxxxxxx
14746 umlsl. */
193614f2 14747 return 94;
a06ea964
NC
14748 }
14749 else
14750 {
e9dbdd80
TC
14751 /* 33222222222211111111110000000000
14752 10987654321098765432109876543210
14753 x1101110xx1xxxxx101000xxxxxxxxxx
14754 umlsl2. */
193614f2 14755 return 95;
a06ea964
NC
14756 }
14757 }
14758 }
e9dbdd80 14759 else
a06ea964 14760 {
e9dbdd80 14761 if (((word >> 22) & 0x1) == 0)
a06ea964
NC
14762 {
14763 if (((word >> 30) & 0x1) == 0)
14764 {
14765 /* 33222222222211111111110000000000
14766 10987654321098765432109876543210
e9dbdd80
TC
14767 x0x01110x01xxxxx111000xxxxxxxxxx
14768 pmull. */
193614f2 14769 return 72;
a06ea964
NC
14770 }
14771 else
14772 {
14773 /* 33222222222211111111110000000000
14774 10987654321098765432109876543210
e9dbdd80
TC
14775 x1x01110x01xxxxx111000xxxxxxxxxx
14776 pmull2. */
193614f2 14777 return 74;
a06ea964
NC
14778 }
14779 }
e9dbdd80 14780 else
a06ea964
NC
14781 {
14782 if (((word >> 30) & 0x1) == 0)
14783 {
14784 /* 33222222222211111111110000000000
14785 10987654321098765432109876543210
e9dbdd80
TC
14786 x0x01110x11xxxxx111000xxxxxxxxxx
14787 pmull. */
193614f2 14788 return 73;
a06ea964
NC
14789 }
14790 else
14791 {
14792 /* 33222222222211111111110000000000
14793 10987654321098765432109876543210
e9dbdd80
TC
14794 x1x01110x11xxxxx111000xxxxxxxxxx
14795 pmull2. */
193614f2 14796 return 75;
a06ea964
NC
14797 }
14798 }
e9dbdd80
TC
14799 }
14800 }
14801 }
14802 else
14803 {
14804 if (((word >> 13) & 0x1) == 0)
14805 {
14806 if (((word >> 14) & 0x1) == 0)
14807 {
14808 if (((word >> 30) & 0x1) == 0)
14809 {
14810 /* 33222222222211111111110000000000
14811 10987654321098765432109876543210
14812 x0x01110xx1xxxxx100100xxxxxxxxxx
14813 sqdmlal. */
193614f2 14814 return 62;
e9dbdd80 14815 }
a06ea964
NC
14816 else
14817 {
14818 /* 33222222222211111111110000000000
14819 10987654321098765432109876543210
e9dbdd80
TC
14820 x1x01110xx1xxxxx100100xxxxxxxxxx
14821 sqdmlal2. */
193614f2 14822 return 63;
a06ea964
NC
14823 }
14824 }
e9dbdd80 14825 else
a06ea964 14826 {
e9dbdd80 14827 if (((word >> 30) & 0x1) == 0)
a06ea964 14828 {
e9dbdd80
TC
14829 /* 33222222222211111111110000000000
14830 10987654321098765432109876543210
14831 x0x01110xx1xxxxx110100xxxxxxxxxx
14832 sqdmull. */
193614f2 14833 return 70;
a06ea964
NC
14834 }
14835 else
14836 {
e9dbdd80
TC
14837 /* 33222222222211111111110000000000
14838 10987654321098765432109876543210
14839 x1x01110xx1xxxxx110100xxxxxxxxxx
14840 sqdmull2. */
193614f2 14841 return 71;
a06ea964
NC
14842 }
14843 }
e9dbdd80
TC
14844 }
14845 else
14846 {
14847 if (((word >> 30) & 0x1) == 0)
14848 {
14849 /* 33222222222211111111110000000000
14850 10987654321098765432109876543210
14851 x0x01110xx1xxxxx1x1100xxxxxxxxxx
14852 sqdmlsl. */
193614f2 14853 return 66;
e9dbdd80 14854 }
a06ea964
NC
14855 else
14856 {
e9dbdd80
TC
14857 /* 33222222222211111111110000000000
14858 10987654321098765432109876543210
14859 x1x01110xx1xxxxx1x1100xxxxxxxxxx
14860 sqdmlsl2. */
193614f2 14861 return 67;
e9dbdd80
TC
14862 }
14863 }
14864 }
14865 }
14866 else
14867 {
14868 if (((word >> 12) & 0x1) == 0)
14869 {
14870 if (((word >> 13) & 0x1) == 0)
14871 {
14872 if (((word >> 14) & 0x1) == 0)
14873 {
14874 if (((word >> 29) & 0x1) == 0)
a06ea964 14875 {
e9dbdd80 14876 if (((word >> 31) & 0x1) == 0)
a06ea964 14877 {
e9dbdd80
TC
14878 if (((word >> 16) & 0x1) == 0)
14879 {
14880 /* 33222222222211111111110000000000
14881 10987654321098765432109876543210
14882 0x001110xx1xxxx0100010xxxxxxxxxx
14883 cmgt. */
193614f2 14884 return 170;
e9dbdd80
TC
14885 }
14886 else
a06ea964 14887 {
e9dbdd80 14888 if (((word >> 19) & 0x1) == 0)
a06ea964 14889 {
e9dbdd80 14890 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14891 {
14892 /* 33222222222211111111110000000000
14893 10987654321098765432109876543210
e9dbdd80
TC
14894 0x0011100x1x0xx1100010xxxxxxxxxx
14895 frintn. */
193614f2 14896 return 182;
f3aa142b
MW
14897 }
14898 else
14899 {
14900 /* 33222222222211111111110000000000
14901 10987654321098765432109876543210
e9dbdd80
TC
14902 0x0011101x1x0xx1100010xxxxxxxxxx
14903 frintp. */
193614f2 14904 return 202;
f3aa142b 14905 }
a06ea964
NC
14906 }
14907 else
14908 {
e9dbdd80 14909 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
14910 {
14911 /* 33222222222211111111110000000000
14912 10987654321098765432109876543210
e9dbdd80
TC
14913 0x0011100x1x1xx1100010xxxxxxxxxx
14914 frintn. */
193614f2 14915 return 183;
f3aa142b
MW
14916 }
14917 else
14918 {
14919 /* 33222222222211111111110000000000
14920 10987654321098765432109876543210
e9dbdd80
TC
14921 0x0011101x1x1xx1100010xxxxxxxxxx
14922 frintp. */
193614f2 14923 return 203;
f3aa142b 14924 }
a06ea964
NC
14925 }
14926 }
14927 }
14928 else
14929 {
e9dbdd80
TC
14930 /* 33222222222211111111110000000000
14931 10987654321098765432109876543210
14932 1x001110xx1xxxxx100010xxxxxxxxxx
14933 sha512su1. */
b731bc3b 14934 return 2034;
e9dbdd80
TC
14935 }
14936 }
14937 else
14938 {
14939 if (((word >> 16) & 0x1) == 0)
14940 {
14941 /* 33222222222211111111110000000000
14942 10987654321098765432109876543210
14943 xx101110xx1xxxx0100010xxxxxxxxxx
14944 cmge. */
193614f2 14945 return 219;
e9dbdd80
TC
14946 }
14947 else
14948 {
14949 if (((word >> 19) & 0x1) == 0)
a06ea964 14950 {
f3aa142b
MW
14951 /* 33222222222211111111110000000000
14952 10987654321098765432109876543210
e9dbdd80
TC
14953 xx101110xx1x0xx1100010xxxxxxxxxx
14954 frinta. */
193614f2 14955 return 230;
a06ea964
NC
14956 }
14957 else
14958 {
f3aa142b
MW
14959 /* 33222222222211111111110000000000
14960 10987654321098765432109876543210
e9dbdd80
TC
14961 xx101110xx1x1xx1100010xxxxxxxxxx
14962 frinta. */
193614f2 14963 return 231;
a06ea964
NC
14964 }
14965 }
14966 }
e9dbdd80
TC
14967 }
14968 else
14969 {
14970 if (((word >> 23) & 0x1) == 0)
a06ea964 14971 {
e9dbdd80 14972 if (((word >> 29) & 0x1) == 0)
a06ea964 14973 {
e9dbdd80 14974 if (((word >> 31) & 0x1) == 0)
f3aa142b 14975 {
e9dbdd80 14976 if (((word >> 16) & 0x1) == 0)
80776b29
MW
14977 {
14978 /* 33222222222211111111110000000000
14979 10987654321098765432109876543210
e9dbdd80
TC
14980 0x0011100x1xxxx0110010xxxxxxxxxx
14981 fmaxnmv. */
193614f2 14982 return 37;
80776b29
MW
14983 }
14984 else
14985 {
e9dbdd80
TC
14986 if (((word >> 19) & 0x1) == 0)
14987 {
14988 /* 33222222222211111111110000000000
14989 10987654321098765432109876543210
14990 0x0011100x1x0xx1110010xxxxxxxxxx
14991 fcvtas. */
193614f2 14992 return 190;
e9dbdd80
TC
14993 }
14994 else
14995 {
14996 /* 33222222222211111111110000000000
14997 10987654321098765432109876543210
14998 0x0011100x1x1xx1110010xxxxxxxxxx
14999 fcvtas. */
193614f2 15000 return 191;
e9dbdd80 15001 }
80776b29 15002 }
f3aa142b
MW
15003 }
15004 else
15005 {
e9dbdd80
TC
15006 /* 33222222222211111111110000000000
15007 10987654321098765432109876543210
15008 1x0011100x1xxxxx110010xxxxxxxxxx
15009 sm4ekey. */
b731bc3b 15010 return 2047;
e9dbdd80
TC
15011 }
15012 }
15013 else
15014 {
15015 if (((word >> 16) & 0x1) == 0)
15016 {
15017 /* 33222222222211111111110000000000
15018 10987654321098765432109876543210
15019 xx1011100x1xxxx0110010xxxxxxxxxx
15020 fmaxnmv. */
193614f2 15021 return 36;
e9dbdd80
TC
15022 }
15023 else
15024 {
15025 if (((word >> 19) & 0x1) == 0)
80776b29
MW
15026 {
15027 /* 33222222222211111111110000000000
15028 10987654321098765432109876543210
e9dbdd80
TC
15029 xx1011100x1x0xx1110010xxxxxxxxxx
15030 fcvtau. */
193614f2 15031 return 238;
80776b29
MW
15032 }
15033 else
15034 {
15035 /* 33222222222211111111110000000000
15036 10987654321098765432109876543210
e9dbdd80
TC
15037 xx1011100x1x1xx1110010xxxxxxxxxx
15038 fcvtau. */
193614f2 15039 return 239;
80776b29 15040 }
f3aa142b 15041 }
a06ea964 15042 }
e9dbdd80
TC
15043 }
15044 else
15045 {
15046 if (((word >> 16) & 0x1) == 0)
a06ea964 15047 {
e9dbdd80 15048 if (((word >> 19) & 0x1) == 0)
f3aa142b 15049 {
e9dbdd80 15050 if (((word >> 20) & 0x1) == 0)
80776b29 15051 {
e9dbdd80
TC
15052 if (((word >> 29) & 0x1) == 0)
15053 {
15054 /* 33222222222211111111110000000000
15055 10987654321098765432109876543210
15056 xx0011101x100xx0110010xxxxxxxxxx
15057 fcmgt. */
193614f2 15058 return 194;
e9dbdd80
TC
15059 }
15060 else
15061 {
15062 /* 33222222222211111111110000000000
15063 10987654321098765432109876543210
15064 xx1011101x100xx0110010xxxxxxxxxx
15065 fcmge. */
193614f2 15066 return 245;
e9dbdd80 15067 }
80776b29
MW
15068 }
15069 else
15070 {
e9dbdd80
TC
15071 if (((word >> 29) & 0x1) == 0)
15072 {
15073 /* 33222222222211111111110000000000
15074 10987654321098765432109876543210
15075 xx0011101x110xx0110010xxxxxxxxxx
15076 fminnmv. */
193614f2 15077 return 41;
e9dbdd80
TC
15078 }
15079 else
15080 {
15081 /* 33222222222211111111110000000000
15082 10987654321098765432109876543210
15083 xx1011101x110xx0110010xxxxxxxxxx
15084 fminnmv. */
193614f2 15085 return 40;
e9dbdd80 15086 }
80776b29 15087 }
f3aa142b
MW
15088 }
15089 else
15090 {
80776b29
MW
15091 if (((word >> 29) & 0x1) == 0)
15092 {
15093 /* 33222222222211111111110000000000
15094 10987654321098765432109876543210
e9dbdd80
TC
15095 xx0011101x1x1xx0110010xxxxxxxxxx
15096 fcmgt. */
193614f2 15097 return 195;
80776b29
MW
15098 }
15099 else
15100 {
15101 /* 33222222222211111111110000000000
15102 10987654321098765432109876543210
e9dbdd80
TC
15103 xx1011101x1x1xx0110010xxxxxxxxxx
15104 fcmge. */
193614f2 15105 return 246;
80776b29 15106 }
f3aa142b 15107 }
a06ea964 15108 }
e9dbdd80 15109 else
a06ea964
NC
15110 {
15111 if (((word >> 29) & 0x1) == 0)
15112 {
15113 /* 33222222222211111111110000000000
15114 10987654321098765432109876543210
e9dbdd80
TC
15115 xx0011101x1xxxx1110010xxxxxxxxxx
15116 urecpe. */
193614f2 15117 return 210;
a06ea964
NC
15118 }
15119 else
15120 {
15121 /* 33222222222211111111110000000000
15122 10987654321098765432109876543210
e9dbdd80
TC
15123 xx1011101x1xxxx1110010xxxxxxxxxx
15124 ursqrte. */
193614f2 15125 return 257;
a06ea964
NC
15126 }
15127 }
a06ea964
NC
15128 }
15129 }
e9dbdd80
TC
15130 }
15131 else
15132 {
15133 if (((word >> 14) & 0x1) == 0)
a06ea964 15134 {
e9dbdd80 15135 if (((word >> 16) & 0x1) == 0)
a06ea964 15136 {
e9dbdd80 15137 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
15138 {
15139 /* 33222222222211111111110000000000
15140 10987654321098765432109876543210
e9dbdd80
TC
15141 xxx01110xx10xxx0101010xxxxxxxxxx
15142 cmlt. */
193614f2 15143 return 172;
a06ea964
NC
15144 }
15145 else
a06ea964 15146 {
f3aa142b 15147 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
15148 {
15149 /* 33222222222211111111110000000000
15150 10987654321098765432109876543210
e9dbdd80
TC
15151 xx001110xx11xxx0101010xxxxxxxxxx
15152 smaxv. */
193614f2 15153 return 30;
a06ea964
NC
15154 }
15155 else
15156 {
15157 /* 33222222222211111111110000000000
15158 10987654321098765432109876543210
e9dbdd80
TC
15159 xx101110xx11xxx0101010xxxxxxxxxx
15160 umaxv. */
193614f2 15161 return 34;
a06ea964
NC
15162 }
15163 }
e9dbdd80
TC
15164 }
15165 else
15166 {
15167 if (((word >> 19) & 0x1) == 0)
a06ea964 15168 {
e9dbdd80 15169 if (((word >> 20) & 0x1) == 0)
a06ea964 15170 {
e9dbdd80 15171 if (((word >> 23) & 0x1) == 0)
f3aa142b 15172 {
bb515fea
MW
15173 if (((word >> 29) & 0x1) == 0)
15174 {
15175 /* 33222222222211111111110000000000
15176 10987654321098765432109876543210
e9dbdd80
TC
15177 xx0011100x100xx1101010xxxxxxxxxx
15178 fcvtns. */
193614f2 15179 return 186;
bb515fea
MW
15180 }
15181 else
15182 {
15183 /* 33222222222211111111110000000000
15184 10987654321098765432109876543210
e9dbdd80
TC
15185 xx1011100x100xx1101010xxxxxxxxxx
15186 fcvtnu. */
193614f2 15187 return 234;
e9dbdd80
TC
15188 }
15189 }
f3aa142b
MW
15190 else
15191 {
b195470d
MW
15192 if (((word >> 29) & 0x1) == 0)
15193 {
15194 /* 33222222222211111111110000000000
15195 10987654321098765432109876543210
e9dbdd80
TC
15196 xx0011101x100xx1101010xxxxxxxxxx
15197 fcvtps. */
193614f2 15198 return 206;
b195470d
MW
15199 }
15200 else
15201 {
15202 /* 33222222222211111111110000000000
15203 10987654321098765432109876543210
e9dbdd80
TC
15204 xx1011101x100xx1101010xxxxxxxxxx
15205 fcvtpu. */
193614f2 15206 return 253;
b195470d 15207 }
f3aa142b 15208 }
a06ea964
NC
15209 }
15210 else
15211 {
e9dbdd80 15212 if (((word >> 29) & 0x1) == 0)
f3aa142b 15213 {
e9dbdd80
TC
15214 /* 33222222222211111111110000000000
15215 10987654321098765432109876543210
15216 xx001110xx110xx1101010xxxxxxxxxx
15217 sminv. */
193614f2 15218 return 31;
f3aa142b
MW
15219 }
15220 else
15221 {
e9dbdd80
TC
15222 /* 33222222222211111111110000000000
15223 10987654321098765432109876543210
15224 xx101110xx110xx1101010xxxxxxxxxx
15225 uminv. */
193614f2 15226 return 35;
f3aa142b 15227 }
a06ea964
NC
15228 }
15229 }
f3aa142b
MW
15230 else
15231 {
e9dbdd80
TC
15232 if (((word >> 23) & 0x1) == 0)
15233 {
15234 if (((word >> 29) & 0x1) == 0)
15235 {
15236 /* 33222222222211111111110000000000
15237 10987654321098765432109876543210
15238 xx0011100x1x1xx1101010xxxxxxxxxx
15239 fcvtns. */
193614f2 15240 return 187;
e9dbdd80
TC
15241 }
15242 else
15243 {
15244 /* 33222222222211111111110000000000
15245 10987654321098765432109876543210
15246 xx1011100x1x1xx1101010xxxxxxxxxx
15247 fcvtnu. */
193614f2 15248 return 235;
e9dbdd80
TC
15249 }
15250 }
15251 else
15252 {
15253 if (((word >> 29) & 0x1) == 0)
15254 {
15255 /* 33222222222211111111110000000000
15256 10987654321098765432109876543210
15257 xx0011101x1x1xx1101010xxxxxxxxxx
15258 fcvtps. */
193614f2 15259 return 207;
e9dbdd80
TC
15260 }
15261 else
15262 {
15263 /* 33222222222211111111110000000000
15264 10987654321098765432109876543210
15265 xx1011101x1x1xx1101010xxxxxxxxxx
15266 fcvtpu. */
193614f2 15267 return 254;
e9dbdd80
TC
15268 }
15269 }
f3aa142b
MW
15270 }
15271 }
a06ea964
NC
15272 }
15273 else
15274 {
13c60ad7 15275 if (((word >> 16) & 0x1) == 0)
a06ea964 15276 {
13c60ad7
SD
15277 if (((word >> 19) & 0x1) == 0)
15278 {
15279 /* 33222222222211111111110000000000
15280 10987654321098765432109876543210
15281 xxx01110xx1x0xx0111010xxxxxxxxxx
15282 fcmlt. */
193614f2 15283 return 198;
13c60ad7
SD
15284 }
15285 else
15286 {
15287 /* 33222222222211111111110000000000
15288 10987654321098765432109876543210
15289 xxx01110xx1x1xx0111010xxxxxxxxxx
15290 fcmlt. */
193614f2 15291 return 199;
13c60ad7 15292 }
a06ea964
NC
15293 }
15294 else
15295 {
13c60ad7
SD
15296 if (((word >> 29) & 0x1) == 0)
15297 {
15298 /* 33222222222211111111110000000000
15299 10987654321098765432109876543210
15300 xx001110xx1xxxx1111010xxxxxxxxxx
15301 frint32z. */
193614f2 15302 return 158;
13c60ad7
SD
15303 }
15304 else
15305 {
15306 /* 33222222222211111111110000000000
15307 10987654321098765432109876543210
15308 xx101110xx1xxxx1111010xxxxxxxxxx
15309 frint32x. */
193614f2 15310 return 159;
13c60ad7 15311 }
a06ea964
NC
15312 }
15313 }
15314 }
e9dbdd80
TC
15315 }
15316 else
15317 {
15318 if (((word >> 13) & 0x1) == 0)
a06ea964 15319 {
e9dbdd80 15320 if (((word >> 14) & 0x1) == 0)
a06ea964 15321 {
e9dbdd80 15322 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
15323 {
15324 if (((word >> 29) & 0x1) == 0)
15325 {
15326 /* 33222222222211111111110000000000
15327 10987654321098765432109876543210
e9dbdd80
TC
15328 xx001110xx1xxxx0100110xxxxxxxxxx
15329 cmeq. */
193614f2 15330 return 171;
a06ea964
NC
15331 }
15332 else
15333 {
15334 /* 33222222222211111111110000000000
15335 10987654321098765432109876543210
e9dbdd80
TC
15336 xx101110xx1xxxx0100110xxxxxxxxxx
15337 cmle. */
193614f2 15338 return 220;
a06ea964
NC
15339 }
15340 }
15341 else
15342 {
e9dbdd80 15343 if (((word >> 19) & 0x1) == 0)
a06ea964 15344 {
e9dbdd80
TC
15345 if (((word >> 23) & 0x1) == 0)
15346 {
15347 if (((word >> 29) & 0x1) == 0)
15348 {
15349 /* 33222222222211111111110000000000
15350 10987654321098765432109876543210
15351 xx0011100x1x0xx1100110xxxxxxxxxx
15352 frintm. */
193614f2 15353 return 184;
e9dbdd80
TC
15354 }
15355 else
15356 {
15357 /* 33222222222211111111110000000000
15358 10987654321098765432109876543210
15359 xx1011100x1x0xx1100110xxxxxxxxxx
15360 frintx. */
193614f2 15361 return 232;
e9dbdd80
TC
15362 }
15363 }
15364 else
15365 {
15366 if (((word >> 29) & 0x1) == 0)
15367 {
15368 /* 33222222222211111111110000000000
15369 10987654321098765432109876543210
15370 xx0011101x1x0xx1100110xxxxxxxxxx
15371 frintz. */
193614f2 15372 return 204;
e9dbdd80
TC
15373 }
15374 else
15375 {
15376 /* 33222222222211111111110000000000
15377 10987654321098765432109876543210
15378 xx1011101x1x0xx1100110xxxxxxxxxx
15379 frinti. */
193614f2 15380 return 251;
e9dbdd80
TC
15381 }
15382 }
a06ea964
NC
15383 }
15384 else
15385 {
e9dbdd80
TC
15386 if (((word >> 23) & 0x1) == 0)
15387 {
15388 if (((word >> 29) & 0x1) == 0)
15389 {
15390 /* 33222222222211111111110000000000
15391 10987654321098765432109876543210
15392 xx0011100x1x1xx1100110xxxxxxxxxx
15393 frintm. */
193614f2 15394 return 185;
e9dbdd80
TC
15395 }
15396 else
15397 {
15398 /* 33222222222211111111110000000000
15399 10987654321098765432109876543210
15400 xx1011100x1x1xx1100110xxxxxxxxxx
15401 frintx. */
193614f2 15402 return 233;
e9dbdd80
TC
15403 }
15404 }
15405 else
15406 {
15407 if (((word >> 29) & 0x1) == 0)
15408 {
15409 /* 33222222222211111111110000000000
15410 10987654321098765432109876543210
15411 xx0011101x1x1xx1100110xxxxxxxxxx
15412 frintz. */
193614f2 15413 return 205;
e9dbdd80
TC
15414 }
15415 else
15416 {
15417 /* 33222222222211111111110000000000
15418 10987654321098765432109876543210
15419 xx1011101x1x1xx1100110xxxxxxxxxx
15420 frinti. */
193614f2 15421 return 252;
e9dbdd80
TC
15422 }
15423 }
15424 }
15425 }
15426 }
15427 else
15428 {
15429 if (((word >> 16) & 0x1) == 0)
15430 {
15431 if (((word >> 19) & 0x1) == 0)
15432 {
15433 if (((word >> 29) & 0x1) == 0)
15434 {
15435 /* 33222222222211111111110000000000
15436 10987654321098765432109876543210
15437 xx001110xx1x0xx0110110xxxxxxxxxx
15438 fcmeq. */
193614f2 15439 return 196;
e9dbdd80
TC
15440 }
15441 else
15442 {
15443 /* 33222222222211111111110000000000
15444 10987654321098765432109876543210
15445 xx101110xx1x0xx0110110xxxxxxxxxx
15446 fcmle. */
193614f2 15447 return 247;
e9dbdd80
TC
15448 }
15449 }
15450 else
15451 {
15452 if (((word >> 29) & 0x1) == 0)
15453 {
15454 /* 33222222222211111111110000000000
15455 10987654321098765432109876543210
15456 xx001110xx1x1xx0110110xxxxxxxxxx
15457 fcmeq. */
193614f2 15458 return 197;
e9dbdd80
TC
15459 }
15460 else
15461 {
15462 /* 33222222222211111111110000000000
15463 10987654321098765432109876543210
15464 xx101110xx1x1xx0110110xxxxxxxxxx
15465 fcmle. */
193614f2 15466 return 248;
e9dbdd80
TC
15467 }
15468 }
15469 }
15470 else
15471 {
15472 if (((word >> 19) & 0x1) == 0)
15473 {
15474 if (((word >> 23) & 0x1) == 0)
15475 {
15476 if (((word >> 29) & 0x1) == 0)
15477 {
15478 /* 33222222222211111111110000000000
15479 10987654321098765432109876543210
15480 xx0011100x1x0xx1110110xxxxxxxxxx
15481 scvtf. */
193614f2 15482 return 192;
e9dbdd80
TC
15483 }
15484 else
15485 {
15486 /* 33222222222211111111110000000000
15487 10987654321098765432109876543210
15488 xx1011100x1x0xx1110110xxxxxxxxxx
15489 ucvtf. */
193614f2 15490 return 240;
e9dbdd80
TC
15491 }
15492 }
15493 else
15494 {
15495 if (((word >> 29) & 0x1) == 0)
15496 {
15497 /* 33222222222211111111110000000000
15498 10987654321098765432109876543210
15499 xx0011101x1x0xx1110110xxxxxxxxxx
15500 frecpe. */
193614f2 15501 return 211;
e9dbdd80
TC
15502 }
15503 else
15504 {
15505 /* 33222222222211111111110000000000
15506 10987654321098765432109876543210
15507 xx1011101x1x0xx1110110xxxxxxxxxx
15508 frsqrte. */
193614f2 15509 return 258;
e9dbdd80
TC
15510 }
15511 }
15512 }
15513 else
15514 {
15515 if (((word >> 23) & 0x1) == 0)
15516 {
15517 if (((word >> 29) & 0x1) == 0)
15518 {
15519 /* 33222222222211111111110000000000
15520 10987654321098765432109876543210
15521 xx0011100x1x1xx1110110xxxxxxxxxx
15522 scvtf. */
193614f2 15523 return 193;
e9dbdd80
TC
15524 }
15525 else
15526 {
15527 /* 33222222222211111111110000000000
15528 10987654321098765432109876543210
15529 xx1011100x1x1xx1110110xxxxxxxxxx
15530 ucvtf. */
193614f2 15531 return 241;
e9dbdd80
TC
15532 }
15533 }
15534 else
15535 {
15536 if (((word >> 29) & 0x1) == 0)
15537 {
15538 /* 33222222222211111111110000000000
15539 10987654321098765432109876543210
15540 xx0011101x1x1xx1110110xxxxxxxxxx
15541 frecpe. */
193614f2 15542 return 212;
e9dbdd80
TC
15543 }
15544 else
15545 {
15546 /* 33222222222211111111110000000000
15547 10987654321098765432109876543210
15548 xx1011101x1x1xx1110110xxxxxxxxxx
15549 frsqrte. */
193614f2 15550 return 259;
e9dbdd80
TC
15551 }
15552 }
15553 }
15554 }
15555 }
15556 }
15557 else
15558 {
15559 if (((word >> 14) & 0x1) == 0)
15560 {
15561 if (((word >> 16) & 0x1) == 0)
15562 {
15563 if (((word >> 29) & 0x1) == 0)
15564 {
15565 /* 33222222222211111111110000000000
15566 10987654321098765432109876543210
15567 xx001110xx1xxxx0101110xxxxxxxxxx
15568 abs. */
193614f2 15569 return 173;
e9dbdd80
TC
15570 }
15571 else
15572 {
15573 /* 33222222222211111111110000000000
15574 10987654321098765432109876543210
15575 xx101110xx1xxxx0101110xxxxxxxxxx
15576 neg. */
193614f2 15577 return 221;
e9dbdd80
TC
15578 }
15579 }
15580 else
15581 {
15582 if (((word >> 19) & 0x1) == 0)
15583 {
15584 if (((word >> 20) & 0x1) == 0)
15585 {
15586 if (((word >> 23) & 0x1) == 0)
15587 {
15588 if (((word >> 29) & 0x1) == 0)
15589 {
15590 /* 33222222222211111111110000000000
15591 10987654321098765432109876543210
15592 xx0011100x100xx1101110xxxxxxxxxx
15593 fcvtms. */
193614f2 15594 return 188;
e9dbdd80
TC
15595 }
15596 else
15597 {
15598 /* 33222222222211111111110000000000
15599 10987654321098765432109876543210
15600 xx1011100x100xx1101110xxxxxxxxxx
15601 fcvtmu. */
193614f2 15602 return 236;
e9dbdd80
TC
15603 }
15604 }
15605 else
15606 {
15607 if (((word >> 29) & 0x1) == 0)
15608 {
15609 /* 33222222222211111111110000000000
15610 10987654321098765432109876543210
15611 xx0011101x100xx1101110xxxxxxxxxx
15612 fcvtzs. */
193614f2 15613 return 208;
e9dbdd80
TC
15614 }
15615 else
15616 {
15617 /* 33222222222211111111110000000000
15618 10987654321098765432109876543210
15619 xx1011101x100xx1101110xxxxxxxxxx
15620 fcvtzu. */
193614f2 15621 return 255;
e9dbdd80
TC
15622 }
15623 }
15624 }
15625 else
15626 {
15627 /* 33222222222211111111110000000000
15628 10987654321098765432109876543210
15629 xxx01110xx110xx1101110xxxxxxxxxx
15630 addv. */
193614f2 15631 return 32;
e9dbdd80
TC
15632 }
15633 }
15634 else
15635 {
15636 if (((word >> 23) & 0x1) == 0)
15637 {
15638 if (((word >> 29) & 0x1) == 0)
15639 {
15640 /* 33222222222211111111110000000000
15641 10987654321098765432109876543210
15642 xx0011100x1x1xx1101110xxxxxxxxxx
15643 fcvtms. */
193614f2 15644 return 189;
e9dbdd80
TC
15645 }
15646 else
15647 {
15648 /* 33222222222211111111110000000000
15649 10987654321098765432109876543210
15650 xx1011100x1x1xx1101110xxxxxxxxxx
15651 fcvtmu. */
193614f2 15652 return 237;
e9dbdd80
TC
15653 }
15654 }
15655 else
15656 {
15657 if (((word >> 29) & 0x1) == 0)
15658 {
15659 /* 33222222222211111111110000000000
15660 10987654321098765432109876543210
15661 xx0011101x1x1xx1101110xxxxxxxxxx
15662 fcvtzs. */
193614f2 15663 return 209;
e9dbdd80
TC
15664 }
15665 else
15666 {
15667 /* 33222222222211111111110000000000
15668 10987654321098765432109876543210
15669 xx1011101x1x1xx1101110xxxxxxxxxx
15670 fcvtzu. */
193614f2 15671 return 256;
e9dbdd80
TC
15672 }
15673 }
15674 }
15675 }
15676 }
15677 else
15678 {
15679 if (((word >> 16) & 0x1) == 0)
15680 {
15681 if (((word >> 19) & 0x1) == 0)
15682 {
15683 if (((word >> 20) & 0x1) == 0)
15684 {
15685 if (((word >> 29) & 0x1) == 0)
15686 {
15687 /* 33222222222211111111110000000000
15688 10987654321098765432109876543210
15689 xx001110xx100xx0111110xxxxxxxxxx
15690 fabs. */
193614f2 15691 return 200;
e9dbdd80
TC
15692 }
15693 else
15694 {
15695 /* 33222222222211111111110000000000
15696 10987654321098765432109876543210
15697 xx101110xx100xx0111110xxxxxxxxxx
15698 fneg. */
193614f2 15699 return 249;
e9dbdd80
TC
15700 }
15701 }
15702 else
15703 {
15704 if (((word >> 23) & 0x1) == 0)
15705 {
15706 if (((word >> 29) & 0x1) == 0)
15707 {
15708 /* 33222222222211111111110000000000
15709 10987654321098765432109876543210
15710 xx0011100x110xx0111110xxxxxxxxxx
15711 fmaxv. */
193614f2 15712 return 39;
e9dbdd80
TC
15713 }
15714 else
15715 {
15716 /* 33222222222211111111110000000000
15717 10987654321098765432109876543210
15718 xx1011100x110xx0111110xxxxxxxxxx
15719 fmaxv. */
193614f2 15720 return 38;
e9dbdd80
TC
15721 }
15722 }
15723 else
15724 {
15725 if (((word >> 29) & 0x1) == 0)
15726 {
15727 /* 33222222222211111111110000000000
15728 10987654321098765432109876543210
15729 xx0011101x110xx0111110xxxxxxxxxx
15730 fminv. */
193614f2 15731 return 43;
e9dbdd80
TC
15732 }
15733 else
15734 {
15735 /* 33222222222211111111110000000000
15736 10987654321098765432109876543210
15737 xx1011101x110xx0111110xxxxxxxxxx
15738 fminv. */
193614f2 15739 return 42;
e9dbdd80
TC
15740 }
15741 }
15742 }
15743 }
15744 else
15745 {
15746 if (((word >> 29) & 0x1) == 0)
15747 {
15748 /* 33222222222211111111110000000000
15749 10987654321098765432109876543210
15750 xx001110xx1x1xx0111110xxxxxxxxxx
15751 fabs. */
193614f2 15752 return 201;
e9dbdd80
TC
15753 }
15754 else
15755 {
15756 /* 33222222222211111111110000000000
15757 10987654321098765432109876543210
15758 xx101110xx1x1xx0111110xxxxxxxxxx
15759 fneg. */
193614f2 15760 return 250;
e9dbdd80
TC
15761 }
15762 }
15763 }
15764 else
15765 {
15766 if (((word >> 19) & 0x1) == 0)
15767 {
13c60ad7
SD
15768 if (((word >> 23) & 0x1) == 0)
15769 {
15770 if (((word >> 29) & 0x1) == 0)
15771 {
15772 /* 33222222222211111111110000000000
15773 10987654321098765432109876543210
15774 xx0011100x1x0xx1111110xxxxxxxxxx
15775 frint64z. */
193614f2 15776 return 160;
13c60ad7
SD
15777 }
15778 else
15779 {
15780 /* 33222222222211111111110000000000
15781 10987654321098765432109876543210
15782 xx1011100x1x0xx1111110xxxxxxxxxx
15783 frint64x. */
193614f2 15784 return 161;
13c60ad7
SD
15785 }
15786 }
15787 else
15788 {
15789 /* 33222222222211111111110000000000
15790 10987654321098765432109876543210
15791 xxx011101x1x0xx1111110xxxxxxxxxx
15792 fsqrt. */
193614f2 15793 return 260;
13c60ad7 15794 }
e9dbdd80
TC
15795 }
15796 else
15797 {
15798 /* 33222222222211111111110000000000
15799 10987654321098765432109876543210
15800 xxx01110xx1x1xx1111110xxxxxxxxxx
15801 fsqrt. */
193614f2 15802 return 261;
e9dbdd80
TC
15803 }
15804 }
15805 }
15806 }
15807 }
15808 }
15809 }
15810 else
15811 {
15812 if (((word >> 11) & 0x1) == 0)
15813 {
15814 if (((word >> 12) & 0x1) == 0)
15815 {
15816 if (((word >> 13) & 0x1) == 0)
15817 {
15818 if (((word >> 14) & 0x1) == 0)
15819 {
15820 if (((word >> 29) & 0x1) == 0)
15821 {
15822 if (((word >> 31) & 0x1) == 0)
15823 {
15824 /* 33222222222211111111110000000000
15825 10987654321098765432109876543210
15826 0x001110xx1xxxxx100001xxxxxxxxxx
15827 add. */
193614f2 15828 return 283;
e9dbdd80
TC
15829 }
15830 else
15831 {
15832 /* 33222222222211111111110000000000
15833 10987654321098765432109876543210
15834 1x001110xx1xxxxx100001xxxxxxxxxx
15835 sha512h2. */
b731bc3b 15836 return 2032;
e9dbdd80
TC
15837 }
15838 }
15839 else
15840 {
15841 /* 33222222222211111111110000000000
15842 10987654321098765432109876543210
15843 xx101110xx1xxxxx100001xxxxxxxxxx
15844 sub. */
193614f2 15845 return 335;
e9dbdd80
TC
15846 }
15847 }
15848 else
15849 {
15850 if (((word >> 23) & 0x1) == 0)
15851 {
15852 if (((word >> 29) & 0x1) == 0)
15853 {
15854 if (((word >> 31) & 0x1) == 0)
15855 {
15856 /* 33222222222211111111110000000000
15857 10987654321098765432109876543210
15858 0x0011100x1xxxxx110001xxxxxxxxxx
15859 fmaxnm. */
193614f2 15860 return 291;
e9dbdd80
TC
15861 }
15862 else
15863 {
15864 /* 33222222222211111111110000000000
15865 10987654321098765432109876543210
15866 1x0011100x1xxxxx110001xxxxxxxxxx
15867 sm3partw2. */
b731bc3b 15868 return 2045;
e9dbdd80
TC
15869 }
15870 }
15871 else
15872 {
15873 /* 33222222222211111111110000000000
15874 10987654321098765432109876543210
15875 xx1011100x1xxxxx110001xxxxxxxxxx
15876 fmaxnmp. */
193614f2 15877 return 342;
e9dbdd80
TC
15878 }
15879 }
15880 else
15881 {
15882 if (((word >> 29) & 0x1) == 0)
15883 {
15884 /* 33222222222211111111110000000000
15885 10987654321098765432109876543210
15886 xx0011101x1xxxxx110001xxxxxxxxxx
15887 fminnm. */
193614f2 15888 return 307;
e9dbdd80
TC
15889 }
15890 else
15891 {
15892 /* 33222222222211111111110000000000
15893 10987654321098765432109876543210
15894 xx1011101x1xxxxx110001xxxxxxxxxx
15895 fminnmp. */
193614f2 15896 return 358;
e9dbdd80
TC
15897 }
15898 }
15899 }
15900 }
15901 else
15902 {
15903 if (((word >> 14) & 0x1) == 0)
15904 {
15905 if (((word >> 29) & 0x1) == 0)
15906 {
15907 /* 33222222222211111111110000000000
15908 10987654321098765432109876543210
15909 xx001110xx1xxxxx101001xxxxxxxxxx
15910 smaxp. */
193614f2 15911 return 287;
e9dbdd80
TC
15912 }
15913 else
15914 {
15915 /* 33222222222211111111110000000000
15916 10987654321098765432109876543210
15917 xx101110xx1xxxxx101001xxxxxxxxxx
15918 umaxp. */
193614f2 15919 return 339;
e9dbdd80
TC
15920 }
15921 }
15922 else
15923 {
15924 if (((word >> 23) & 0x1) == 0)
15925 {
15926 if (((word >> 29) & 0x1) == 0)
15927 {
15928 /* 33222222222211111111110000000000
15929 10987654321098765432109876543210
15930 xx0011100x1xxxxx111001xxxxxxxxxx
15931 fcmeq. */
193614f2 15932 return 299;
e9dbdd80
TC
15933 }
15934 else
15935 {
15936 /* 33222222222211111111110000000000
15937 10987654321098765432109876543210
15938 xx1011100x1xxxxx111001xxxxxxxxxx
15939 fcmge. */
193614f2 15940 return 348;
e9dbdd80
TC
15941 }
15942 }
15943 else
15944 {
15945 /* 33222222222211111111110000000000
15946 10987654321098765432109876543210
15947 xxx011101x1xxxxx111001xxxxxxxxxx
15948 fcmgt. */
193614f2 15949 return 362;
e9dbdd80
TC
15950 }
15951 }
15952 }
15953 }
15954 else
15955 {
15956 if (((word >> 13) & 0x1) == 0)
15957 {
15958 if (((word >> 14) & 0x1) == 0)
15959 {
15960 if (((word >> 29) & 0x1) == 0)
15961 {
15962 /* 33222222222211111111110000000000
15963 10987654321098765432109876543210
15964 xx001110xx1xxxxx100101xxxxxxxxxx
15965 mla. */
193614f2 15966 return 285;
e9dbdd80
TC
15967 }
15968 else
15969 {
15970 /* 33222222222211111111110000000000
15971 10987654321098765432109876543210
15972 xx101110xx1xxxxx100101xxxxxxxxxx
15973 mls. */
193614f2 15974 return 337;
e9dbdd80
TC
15975 }
15976 }
15977 else
15978 {
15979 if (((word >> 23) & 0x1) == 0)
15980 {
15981 if (((word >> 29) & 0x1) == 0)
15982 {
15983 /* 33222222222211111111110000000000
15984 10987654321098765432109876543210
15985 xx0011100x1xxxxx110101xxxxxxxxxx
15986 fadd. */
193614f2 15987 return 295;
e9dbdd80
TC
15988 }
15989 else
15990 {
15991 /* 33222222222211111111110000000000
15992 10987654321098765432109876543210
15993 xx1011100x1xxxxx110101xxxxxxxxxx
15994 faddp. */
193614f2 15995 return 344;
e9dbdd80
TC
15996 }
15997 }
15998 else
15999 {
16000 if (((word >> 29) & 0x1) == 0)
16001 {
16002 /* 33222222222211111111110000000000
16003 10987654321098765432109876543210
16004 xx0011101x1xxxxx110101xxxxxxxxxx
16005 fsub. */
193614f2 16006 return 311;
e9dbdd80
TC
16007 }
16008 else
16009 {
16010 /* 33222222222211111111110000000000
16011 10987654321098765432109876543210
16012 xx1011101x1xxxxx110101xxxxxxxxxx
16013 fabd. */
193614f2 16014 return 360;
e9dbdd80
TC
16015 }
16016 }
16017 }
16018 }
16019 else
16020 {
16021 if (((word >> 14) & 0x1) == 0)
16022 {
16023 if (((word >> 29) & 0x1) == 0)
16024 {
16025 /* 33222222222211111111110000000000
16026 10987654321098765432109876543210
16027 xx001110xx1xxxxx101101xxxxxxxxxx
16028 sqdmulh. */
193614f2 16029 return 289;
e9dbdd80
TC
16030 }
16031 else
16032 {
16033 /* 33222222222211111111110000000000
16034 10987654321098765432109876543210
16035 xx101110xx1xxxxx101101xxxxxxxxxx
16036 sqrdmulh. */
193614f2 16037 return 341;
e9dbdd80
TC
16038 }
16039 }
16040 else
16041 {
16042 if (((word >> 23) & 0x1) == 0)
16043 {
16044 if (((word >> 29) & 0x1) == 0)
16045 {
16046 /* 33222222222211111111110000000000
16047 10987654321098765432109876543210
16048 xx0011100x1xxxxx111101xxxxxxxxxx
16049 fmax. */
193614f2 16050 return 301;
e9dbdd80
TC
16051 }
16052 else
16053 {
16054 /* 33222222222211111111110000000000
16055 10987654321098765432109876543210
16056 xx1011100x1xxxxx111101xxxxxxxxxx
16057 fmaxp. */
193614f2 16058 return 352;
e9dbdd80
TC
16059 }
16060 }
16061 else
16062 {
16063 if (((word >> 29) & 0x1) == 0)
16064 {
16065 /* 33222222222211111111110000000000
16066 10987654321098765432109876543210
16067 xx0011101x1xxxxx111101xxxxxxxxxx
16068 fmin. */
193614f2 16069 return 313;
e9dbdd80
TC
16070 }
16071 else
16072 {
16073 /* 33222222222211111111110000000000
16074 10987654321098765432109876543210
16075 xx1011101x1xxxxx111101xxxxxxxxxx
16076 fminp. */
193614f2 16077 return 366;
e9dbdd80
TC
16078 }
16079 }
16080 }
16081 }
16082 }
16083 }
16084 else
16085 {
16086 if (((word >> 12) & 0x1) == 0)
16087 {
16088 if (((word >> 13) & 0x1) == 0)
16089 {
16090 if (((word >> 14) & 0x1) == 0)
16091 {
16092 if (((word >> 29) & 0x1) == 0)
16093 {
16094 if (((word >> 31) & 0x1) == 0)
16095 {
16096 /* 33222222222211111111110000000000
16097 10987654321098765432109876543210
16098 0x001110xx1xxxxx100011xxxxxxxxxx
16099 cmtst. */
193614f2 16100 return 284;
e9dbdd80
TC
16101 }
16102 else
16103 {
16104 /* 33222222222211111111110000000000
16105 10987654321098765432109876543210
16106 1x001110xx1xxxxx100011xxxxxxxxxx
16107 rax1. */
b731bc3b 16108 return 2036;
e9dbdd80
TC
16109 }
16110 }
16111 else
16112 {
16113 /* 33222222222211111111110000000000
16114 10987654321098765432109876543210
16115 xx101110xx1xxxxx100011xxxxxxxxxx
16116 cmeq. */
193614f2 16117 return 336;
e9dbdd80
TC
16118 }
16119 }
16120 else
16121 {
16122 if (((word >> 23) & 0x1) == 0)
16123 {
16124 if (((word >> 29) & 0x1) == 0)
16125 {
16126 /* 33222222222211111111110000000000
16127 10987654321098765432109876543210
16128 xx0011100x1xxxxx110011xxxxxxxxxx
16129 fmla. */
193614f2 16130 return 293;
e9dbdd80
TC
16131 }
16132 else
16133 {
16134 if (((word >> 30) & 0x1) == 0)
16135 {
16136 /* 33222222222211111111110000000000
16137 10987654321098765432109876543210
16138 x01011100x1xxxxx110011xxxxxxxxxx
16139 fmlal2. */
b731bc3b 16140 return 2050;
e9dbdd80
TC
16141 }
16142 else
16143 {
16144 /* 33222222222211111111110000000000
16145 10987654321098765432109876543210
16146 x11011100x1xxxxx110011xxxxxxxxxx
16147 fmlal2. */
b731bc3b 16148 return 2054;
e9dbdd80
TC
16149 }
16150 }
16151 }
16152 else
16153 {
16154 if (((word >> 29) & 0x1) == 0)
16155 {
16156 /* 33222222222211111111110000000000
16157 10987654321098765432109876543210
16158 xx0011101x1xxxxx110011xxxxxxxxxx
16159 fmls. */
193614f2 16160 return 309;
e9dbdd80
TC
16161 }
16162 else
16163 {
16164 if (((word >> 30) & 0x1) == 0)
16165 {
16166 /* 33222222222211111111110000000000
16167 10987654321098765432109876543210
16168 x01011101x1xxxxx110011xxxxxxxxxx
16169 fmlsl2. */
b731bc3b 16170 return 2051;
e9dbdd80
TC
16171 }
16172 else
16173 {
16174 /* 33222222222211111111110000000000
16175 10987654321098765432109876543210
16176 x11011101x1xxxxx110011xxxxxxxxxx
16177 fmlsl2. */
b731bc3b 16178 return 2055;
e9dbdd80
TC
16179 }
16180 }
16181 }
16182 }
16183 }
16184 else
16185 {
16186 if (((word >> 14) & 0x1) == 0)
16187 {
16188 if (((word >> 29) & 0x1) == 0)
16189 {
16190 /* 33222222222211111111110000000000
16191 10987654321098765432109876543210
16192 xx001110xx1xxxxx101011xxxxxxxxxx
16193 sminp. */
193614f2 16194 return 288;
e9dbdd80
TC
16195 }
16196 else
16197 {
16198 /* 33222222222211111111110000000000
16199 10987654321098765432109876543210
16200 xx101110xx1xxxxx101011xxxxxxxxxx
16201 uminp. */
193614f2 16202 return 340;
e9dbdd80
TC
16203 }
16204 }
16205 else
16206 {
16207 if (((word >> 23) & 0x1) == 0)
16208 {
16209 if (((word >> 29) & 0x1) == 0)
16210 {
16211 if (((word >> 30) & 0x1) == 0)
16212 {
16213 /* 33222222222211111111110000000000
16214 10987654321098765432109876543210
16215 x00011100x1xxxxx111011xxxxxxxxxx
16216 fmlal. */
b731bc3b 16217 return 2048;
e9dbdd80
TC
16218 }
16219 else
16220 {
16221 /* 33222222222211111111110000000000
16222 10987654321098765432109876543210
16223 x10011100x1xxxxx111011xxxxxxxxxx
16224 fmlal. */
b731bc3b 16225 return 2052;
e9dbdd80
TC
16226 }
16227 }
16228 else
16229 {
16230 /* 33222222222211111111110000000000
16231 10987654321098765432109876543210
16232 xx1011100x1xxxxx111011xxxxxxxxxx
16233 facge. */
193614f2 16234 return 350;
e9dbdd80
TC
16235 }
16236 }
16237 else
16238 {
16239 if (((word >> 29) & 0x1) == 0)
16240 {
16241 if (((word >> 30) & 0x1) == 0)
16242 {
16243 /* 33222222222211111111110000000000
16244 10987654321098765432109876543210
16245 x00011101x1xxxxx111011xxxxxxxxxx
16246 fmlsl. */
b731bc3b 16247 return 2049;
e9dbdd80
TC
16248 }
16249 else
16250 {
16251 /* 33222222222211111111110000000000
16252 10987654321098765432109876543210
16253 x10011101x1xxxxx111011xxxxxxxxxx
16254 fmlsl. */
b731bc3b 16255 return 2053;
e9dbdd80
TC
16256 }
16257 }
16258 else
16259 {
16260 /* 33222222222211111111110000000000
16261 10987654321098765432109876543210
16262 xx1011101x1xxxxx111011xxxxxxxxxx
16263 facgt. */
193614f2 16264 return 364;
e9dbdd80
TC
16265 }
16266 }
16267 }
16268 }
16269 }
16270 else
16271 {
16272 if (((word >> 13) & 0x1) == 0)
a06ea964 16273 {
e9dbdd80
TC
16274 if (((word >> 14) & 0x1) == 0)
16275 {
16276 if (((word >> 29) & 0x1) == 0)
16277 {
16278 /* 33222222222211111111110000000000
16279 10987654321098765432109876543210
16280 xx001110xx1xxxxx100111xxxxxxxxxx
16281 mul. */
193614f2 16282 return 286;
e9dbdd80
TC
16283 }
16284 else
16285 {
16286 /* 33222222222211111111110000000000
16287 10987654321098765432109876543210
16288 xx101110xx1xxxxx100111xxxxxxxxxx
16289 pmul. */
193614f2 16290 return 338;
e9dbdd80
TC
16291 }
16292 }
16293 else
a06ea964
NC
16294 {
16295 if (((word >> 29) & 0x1) == 0)
16296 {
16297 /* 33222222222211111111110000000000
16298 10987654321098765432109876543210
e9dbdd80
TC
16299 xx001110xx1xxxxx110111xxxxxxxxxx
16300 fmulx. */
193614f2 16301 return 297;
e9dbdd80
TC
16302 }
16303 else
16304 {
16305 /* 33222222222211111111110000000000
16306 10987654321098765432109876543210
16307 xx101110xx1xxxxx110111xxxxxxxxxx
16308 fmul. */
193614f2 16309 return 346;
e9dbdd80
TC
16310 }
16311 }
16312 }
16313 else
16314 {
16315 if (((word >> 14) & 0x1) == 0)
16316 {
16317 /* 33222222222211111111110000000000
16318 10987654321098765432109876543210
16319 xxx01110xx1xxxxx101111xxxxxxxxxx
16320 addp. */
193614f2 16321 return 290;
e9dbdd80
TC
16322 }
16323 else
16324 {
16325 if (((word >> 23) & 0x1) == 0)
16326 {
16327 if (((word >> 29) & 0x1) == 0)
16328 {
16329 /* 33222222222211111111110000000000
16330 10987654321098765432109876543210
16331 xx0011100x1xxxxx111111xxxxxxxxxx
16332 frecps. */
193614f2 16333 return 303;
e9dbdd80
TC
16334 }
16335 else
16336 {
16337 /* 33222222222211111111110000000000
16338 10987654321098765432109876543210
16339 xx1011100x1xxxxx111111xxxxxxxxxx
16340 fdiv. */
193614f2 16341 return 354;
e9dbdd80
TC
16342 }
16343 }
16344 else
16345 {
16346 /* 33222222222211111111110000000000
16347 10987654321098765432109876543210
16348 xxx011101x1xxxxx111111xxxxxxxxxx
16349 frsqrts. */
193614f2 16350 return 315;
e9dbdd80
TC
16351 }
16352 }
16353 }
16354 }
16355 }
16356 }
16357 }
16358 }
16359 else
16360 {
16361 if (((word >> 10) & 0x1) == 0)
16362 {
16363 if (((word >> 11) & 0x1) == 0)
16364 {
16365 if (((word >> 12) & 0x1) == 0)
16366 {
16367 if (((word >> 13) & 0x1) == 0)
16368 {
16369 if (((word >> 14) & 0x1) == 0)
16370 {
16371 if (((word >> 16) & 0x1) == 0)
16372 {
16373 if (((word >> 17) & 0x1) == 0)
16374 {
16375 if (((word >> 18) & 0x1) == 0)
16376 {
16377 if (((word >> 19) & 0x1) == 0)
16378 {
16379 if (((word >> 20) & 0x1) == 0)
16380 {
16381 /* 33222222222211111111110000000000
16382 10987654321098765432109876543210
16383 xxx11110xx100000x00000xxxxxxxxxx
16384 fcvtns. */
b731bc3b 16385 return 767;
e9dbdd80
TC
16386 }
16387 else
16388 {
16389 /* 33222222222211111111110000000000
16390 10987654321098765432109876543210
16391 xxx11110xx110000x00000xxxxxxxxxx
16392 fcvtms. */
b731bc3b 16393 return 787;
e9dbdd80
TC
16394 }
16395 }
16396 else
16397 {
16398 if (((word >> 20) & 0x1) == 0)
16399 {
16400 /* 33222222222211111111110000000000
16401 10987654321098765432109876543210
16402 xxx11110xx101000x00000xxxxxxxxxx
16403 fcvtps. */
b731bc3b 16404 return 783;
e9dbdd80
TC
16405 }
16406 else
16407 {
16408 /* 33222222222211111111110000000000
16409 10987654321098765432109876543210
16410 xxx11110xx111000x00000xxxxxxxxxx
16411 fcvtzs. */
b731bc3b 16412 return 791;
e9dbdd80
TC
16413 }
16414 }
16415 }
16416 else
16417 {
16418 /* 33222222222211111111110000000000
16419 10987654321098765432109876543210
16420 xxx11110xx1xx100x00000xxxxxxxxxx
16421 fcvtas. */
b731bc3b 16422 return 775;
e9dbdd80
TC
16423 }
16424 }
16425 else
16426 {
16427 if (((word >> 18) & 0x1) == 0)
16428 {
16429 /* 33222222222211111111110000000000
16430 10987654321098765432109876543210
16431 xxx11110xx1xx010x00000xxxxxxxxxx
16432 scvtf. */
b731bc3b 16433 return 771;
e9dbdd80
TC
16434 }
16435 else
16436 {
16437 if (((word >> 19) & 0x1) == 0)
16438 {
16439 /* 33222222222211111111110000000000
16440 10987654321098765432109876543210
16441 xxx11110xx1x0110x00000xxxxxxxxxx
16442 fmov. */
b731bc3b 16443 return 779;
e9dbdd80
TC
16444 }
16445 else
16446 {
16447 if (((word >> 20) & 0x1) == 0)
16448 {
16449 /* 33222222222211111111110000000000
16450 10987654321098765432109876543210
16451 xxx11110xx101110x00000xxxxxxxxxx
16452 fmov. */
b731bc3b 16453 return 795;
e9dbdd80
TC
16454 }
16455 else
16456 {
16457 /* 33222222222211111111110000000000
16458 10987654321098765432109876543210
16459 xxx11110xx111110x00000xxxxxxxxxx
16460 fjcvtzs. */
b731bc3b 16461 return 797;
e9dbdd80
TC
16462 }
16463 }
16464 }
16465 }
16466 }
16467 else
16468 {
16469 if (((word >> 17) & 0x1) == 0)
16470 {
16471 if (((word >> 18) & 0x1) == 0)
16472 {
16473 if (((word >> 19) & 0x1) == 0)
16474 {
16475 if (((word >> 20) & 0x1) == 0)
16476 {
16477 /* 33222222222211111111110000000000
16478 10987654321098765432109876543210
16479 xxx11110xx100001x00000xxxxxxxxxx
16480 fcvtnu. */
b731bc3b 16481 return 769;
e9dbdd80
TC
16482 }
16483 else
16484 {
16485 /* 33222222222211111111110000000000
16486 10987654321098765432109876543210
16487 xxx11110xx110001x00000xxxxxxxxxx
16488 fcvtmu. */
b731bc3b 16489 return 789;
e9dbdd80
TC
16490 }
16491 }
16492 else
16493 {
16494 if (((word >> 20) & 0x1) == 0)
16495 {
16496 /* 33222222222211111111110000000000
16497 10987654321098765432109876543210
16498 xxx11110xx101001x00000xxxxxxxxxx
16499 fcvtpu. */
b731bc3b 16500 return 785;
e9dbdd80
TC
16501 }
16502 else
16503 {
16504 /* 33222222222211111111110000000000
16505 10987654321098765432109876543210
16506 xxx11110xx111001x00000xxxxxxxxxx
16507 fcvtzu. */
b731bc3b 16508 return 793;
e9dbdd80
TC
16509 }
16510 }
16511 }
16512 else
16513 {
16514 /* 33222222222211111111110000000000
16515 10987654321098765432109876543210
16516 xxx11110xx1xx101x00000xxxxxxxxxx
16517 fcvtau. */
b731bc3b 16518 return 777;
e9dbdd80 16519 }
a06ea964
NC
16520 }
16521 else
16522 {
e9dbdd80
TC
16523 if (((word >> 18) & 0x1) == 0)
16524 {
16525 /* 33222222222211111111110000000000
16526 10987654321098765432109876543210
16527 xxx11110xx1xx011x00000xxxxxxxxxx
16528 ucvtf. */
b731bc3b 16529 return 773;
e9dbdd80
TC
16530 }
16531 else
16532 {
16533 if (((word >> 19) & 0x1) == 0)
16534 {
16535 /* 33222222222211111111110000000000
16536 10987654321098765432109876543210
16537 xxx11110xx1x0111x00000xxxxxxxxxx
16538 fmov. */
b731bc3b 16539 return 781;
e9dbdd80
TC
16540 }
16541 else
16542 {
16543 /* 33222222222211111111110000000000
16544 10987654321098765432109876543210
16545 xxx11110xx1x1111x00000xxxxxxxxxx
16546 fmov. */
b731bc3b 16547 return 796;
e9dbdd80
TC
16548 }
16549 }
a06ea964
NC
16550 }
16551 }
e9dbdd80
TC
16552 }
16553 else
16554 {
16555 if (((word >> 17) & 0x1) == 0)
a06ea964 16556 {
e9dbdd80 16557 if (((word >> 15) & 0x1) == 0)
a06ea964 16558 {
e9dbdd80
TC
16559 if (((word >> 16) & 0x1) == 0)
16560 {
16561 if (((word >> 18) & 0x1) == 0)
16562 {
13c60ad7
SD
16563 if (((word >> 19) & 0x1) == 0)
16564 {
16565 /* 33222222222211111111110000000000
16566 10987654321098765432109876543210
16567 xxx11110xx1x0000010000xxxxxxxxxx
16568 fmov. */
b731bc3b 16569 return 816;
13c60ad7
SD
16570 }
16571 else
16572 {
16573 /* 33222222222211111111110000000000
16574 10987654321098765432109876543210
16575 xxx11110xx1x1000010000xxxxxxxxxx
16576 frint32z. */
b731bc3b 16577 return 812;
13c60ad7 16578 }
e9dbdd80
TC
16579 }
16580 else
16581 {
16582 /* 33222222222211111111110000000000
16583 10987654321098765432109876543210
16584 xxx11110xx1xx100010000xxxxxxxxxx
16585 frintn. */
b731bc3b 16586 return 825;
e9dbdd80
TC
16587 }
16588 }
16589 else
16590 {
16591 if (((word >> 18) & 0x1) == 0)
16592 {
13c60ad7
SD
16593 if (((word >> 19) & 0x1) == 0)
16594 {
16595 /* 33222222222211111111110000000000
16596 10987654321098765432109876543210
16597 xxx11110xx1x0001010000xxxxxxxxxx
16598 fneg. */
b731bc3b 16599 return 820;
13c60ad7
SD
16600 }
16601 else
16602 {
16603 /* 33222222222211111111110000000000
16604 10987654321098765432109876543210
16605 xxx11110xx1x1001010000xxxxxxxxxx
16606 frint64z. */
b731bc3b 16607 return 814;
13c60ad7 16608 }
e9dbdd80
TC
16609 }
16610 else
16611 {
16612 /* 33222222222211111111110000000000
16613 10987654321098765432109876543210
16614 xxx11110xx1xx101010000xxxxxxxxxx
16615 frintm. */
b731bc3b 16616 return 829;
e9dbdd80
TC
16617 }
16618 }
a06ea964
NC
16619 }
16620 else
16621 {
e9dbdd80
TC
16622 if (((word >> 16) & 0x1) == 0)
16623 {
16624 if (((word >> 18) & 0x1) == 0)
16625 {
13c60ad7
SD
16626 if (((word >> 19) & 0x1) == 0)
16627 {
16628 /* 33222222222211111111110000000000
16629 10987654321098765432109876543210
16630 xxx11110xx1x0000110000xxxxxxxxxx
16631 fabs. */
b731bc3b 16632 return 818;
13c60ad7
SD
16633 }
16634 else
16635 {
16636 /* 33222222222211111111110000000000
16637 10987654321098765432109876543210
16638 xxx11110xx1x1000110000xxxxxxxxxx
16639 frint32x. */
b731bc3b 16640 return 813;
13c60ad7 16641 }
e9dbdd80
TC
16642 }
16643 else
16644 {
16645 /* 33222222222211111111110000000000
16646 10987654321098765432109876543210
16647 xxx11110xx1xx100110000xxxxxxxxxx
16648 frintp. */
b731bc3b 16649 return 827;
e9dbdd80
TC
16650 }
16651 }
16652 else
16653 {
16654 if (((word >> 18) & 0x1) == 0)
16655 {
13c60ad7
SD
16656 if (((word >> 19) & 0x1) == 0)
16657 {
16658 /* 33222222222211111111110000000000
16659 10987654321098765432109876543210
16660 xxx11110xx1x0001110000xxxxxxxxxx
16661 fsqrt. */
b731bc3b 16662 return 822;
13c60ad7
SD
16663 }
16664 else
16665 {
16666 /* 33222222222211111111110000000000
16667 10987654321098765432109876543210
16668 xxx11110xx1x1001110000xxxxxxxxxx
16669 frint64x. */
b731bc3b 16670 return 815;
13c60ad7 16671 }
e9dbdd80
TC
16672 }
16673 else
16674 {
16675 /* 33222222222211111111110000000000
16676 10987654321098765432109876543210
16677 xxx11110xx1xx101110000xxxxxxxxxx
16678 frintz. */
b731bc3b 16679 return 831;
e9dbdd80
TC
16680 }
16681 }
a06ea964
NC
16682 }
16683 }
e9dbdd80 16684 else
a06ea964 16685 {
e9dbdd80 16686 if (((word >> 18) & 0x1) == 0)
a06ea964
NC
16687 {
16688 /* 33222222222211111111110000000000
16689 10987654321098765432109876543210
e9dbdd80
TC
16690 xxx11110xx1xx01xx10000xxxxxxxxxx
16691 fcvt. */
b731bc3b 16692 return 824;
a06ea964
NC
16693 }
16694 else
16695 {
e9dbdd80 16696 if (((word >> 15) & 0x1) == 0)
a06ea964 16697 {
e9dbdd80
TC
16698 if (((word >> 16) & 0x1) == 0)
16699 {
16700 /* 33222222222211111111110000000000
16701 10987654321098765432109876543210
16702 xxx11110xx1xx110010000xxxxxxxxxx
16703 frinta. */
b731bc3b 16704 return 833;
e9dbdd80
TC
16705 }
16706 else
16707 {
16708 /* 33222222222211111111110000000000
16709 10987654321098765432109876543210
16710 xxx11110xx1xx111010000xxxxxxxxxx
16711 frintx. */
b731bc3b 16712 return 835;
e9dbdd80 16713 }
a06ea964
NC
16714 }
16715 else
16716 {
16717 /* 33222222222211111111110000000000
16718 10987654321098765432109876543210
e9dbdd80
TC
16719 xxx11110xx1xx11x110000xxxxxxxxxx
16720 frinti. */
b731bc3b 16721 return 837;
a06ea964
NC
16722 }
16723 }
e9dbdd80
TC
16724 }
16725 }
16726 }
16727 else
16728 {
16729 if (((word >> 3) & 0x1) == 0)
16730 {
16731 if (((word >> 4) & 0x1) == 0)
16732 {
16733 /* 33222222222211111111110000000000
16734 10987654321098765432109876543210
16735 xxx11110xx1xxxxxxx1000xxxxx00xxx
16736 fcmp. */
b731bc3b 16737 return 802;
e9dbdd80
TC
16738 }
16739 else
16740 {
16741 /* 33222222222211111111110000000000
16742 10987654321098765432109876543210
16743 xxx11110xx1xxxxxxx1000xxxxx10xxx
16744 fcmpe. */
b731bc3b 16745 return 804;
e9dbdd80
TC
16746 }
16747 }
16748 else
16749 {
16750 if (((word >> 4) & 0x1) == 0)
16751 {
16752 /* 33222222222211111111110000000000
16753 10987654321098765432109876543210
16754 xxx11110xx1xxxxxxx1000xxxxx01xxx
16755 fcmp. */
b731bc3b 16756 return 806;
e9dbdd80
TC
16757 }
16758 else
16759 {
16760 /* 33222222222211111111110000000000
16761 10987654321098765432109876543210
16762 xxx11110xx1xxxxxxx1000xxxxx11xxx
16763 fcmpe. */
b731bc3b 16764 return 808;
a06ea964
NC
16765 }
16766 }
16767 }
16768 }
16769 else
16770 {
e9dbdd80 16771 if (((word >> 30) & 0x1) == 0)
a06ea964 16772 {
e9dbdd80
TC
16773 /* 33222222222211111111110000000000
16774 10987654321098765432109876543210
16775 x0x11110xx1xxxxxxxx100xxxxxxxxxx
16776 fmov. */
b731bc3b 16777 return 865;
e9dbdd80
TC
16778 }
16779 else
16780 {
16781 if (((word >> 13) & 0x1) == 0)
a06ea964 16782 {
e9dbdd80 16783 if (((word >> 14) & 0x1) == 0)
a06ea964 16784 {
e9dbdd80
TC
16785 /* 33222222222211111111110000000000
16786 10987654321098765432109876543210
16787 x1x11110xx1xxxxxx00100xxxxxxxxxx
16788 sqdmlal. */
193614f2 16789 return 422;
a06ea964
NC
16790 }
16791 else
16792 {
e9dbdd80
TC
16793 /* 33222222222211111111110000000000
16794 10987654321098765432109876543210
16795 x1x11110xx1xxxxxx10100xxxxxxxxxx
16796 sqdmull. */
193614f2 16797 return 424;
a06ea964
NC
16798 }
16799 }
16800 else
e9dbdd80
TC
16801 {
16802 /* 33222222222211111111110000000000
16803 10987654321098765432109876543210
16804 x1x11110xx1xxxxxxx1100xxxxxxxxxx
16805 sqdmlsl. */
193614f2 16806 return 423;
e9dbdd80
TC
16807 }
16808 }
16809 }
16810 }
16811 else
16812 {
16813 if (((word >> 12) & 0x1) == 0)
16814 {
16815 if (((word >> 13) & 0x1) == 0)
16816 {
16817 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
16818 {
16819 if (((word >> 15) & 0x1) == 0)
16820 {
e9dbdd80 16821 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
16822 {
16823 /* 33222222222211111111110000000000
16824 10987654321098765432109876543210
e9dbdd80
TC
16825 x0x11110xx1xxxxx000010xxxxxxxxxx
16826 fmul. */
b731bc3b 16827 return 839;
a06ea964
NC
16828 }
16829 else
16830 {
16831 /* 33222222222211111111110000000000
16832 10987654321098765432109876543210
e9dbdd80
TC
16833 x1x11110xx1xxxxx000010xxxxxxxxxx
16834 sha1h. */
193614f2 16835 return 675;
a06ea964
NC
16836 }
16837 }
16838 else
16839 {
e9dbdd80 16840 if (((word >> 29) & 0x1) == 0)
a06ea964 16841 {
e9dbdd80 16842 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
16843 {
16844 /* 33222222222211111111110000000000
16845 10987654321098765432109876543210
e9dbdd80
TC
16846 x0011110xx1xxxxx100010xxxxxxxxxx
16847 fnmul. */
b731bc3b 16848 return 855;
a06ea964
NC
16849 }
16850 else
16851 {
16852 /* 33222222222211111111110000000000
16853 10987654321098765432109876543210
e9dbdd80
TC
16854 x1011110xx1xxxxx100010xxxxxxxxxx
16855 cmgt. */
193614f2 16856 return 482;
a06ea964
NC
16857 }
16858 }
a06ea964
NC
16859 else
16860 {
16861 /* 33222222222211111111110000000000
16862 10987654321098765432109876543210
e9dbdd80
TC
16863 xx111110xx1xxxxx100010xxxxxxxxxx
16864 cmge. */
193614f2 16865 return 511;
a06ea964
NC
16866 }
16867 }
16868 }
16869 else
16870 {
16871 if (((word >> 15) & 0x1) == 0)
16872 {
16873 if (((word >> 29) & 0x1) == 0)
16874 {
e9dbdd80
TC
16875 if (((word >> 30) & 0x1) == 0)
16876 {
16877 /* 33222222222211111111110000000000
16878 10987654321098765432109876543210
16879 x0011110xx1xxxxx010010xxxxxxxxxx
16880 fmax. */
b731bc3b 16881 return 847;
e9dbdd80
TC
16882 }
16883 else
16884 {
16885 /* 33222222222211111111110000000000
16886 10987654321098765432109876543210
16887 x1011110xx1xxxxx010010xxxxxxxxxx
16888 sqxtn. */
193614f2 16889 return 486;
e9dbdd80 16890 }
a06ea964
NC
16891 }
16892 else
16893 {
16894 /* 33222222222211111111110000000000
16895 10987654321098765432109876543210
e9dbdd80
TC
16896 xx111110xx1xxxxx010010xxxxxxxxxx
16897 uqxtn. */
193614f2 16898 return 515;
a06ea964
NC
16899 }
16900 }
16901 else
16902 {
e9dbdd80 16903 if (((word >> 16) & 0x1) == 0)
a06ea964 16904 {
e9dbdd80 16905 if (((word >> 19) & 0x1) == 0)
a06ea964 16906 {
e9dbdd80
TC
16907 if (((word >> 20) & 0x1) == 0)
16908 {
16909 if (((word >> 29) & 0x1) == 0)
16910 {
16911 /* 33222222222211111111110000000000
16912 10987654321098765432109876543210
16913 xx011110xx100xx0110010xxxxxxxxxx
16914 fcmgt. */
193614f2 16915 return 495;
e9dbdd80
TC
16916 }
16917 else
16918 {
16919 /* 33222222222211111111110000000000
16920 10987654321098765432109876543210
16921 xx111110xx100xx0110010xxxxxxxxxx
16922 fcmge. */
193614f2 16923 return 525;
e9dbdd80
TC
16924 }
16925 }
16926 else
16927 {
16928 if (((word >> 23) & 0x1) == 0)
16929 {
16930 if (((word >> 29) & 0x1) == 0)
16931 {
16932 /* 33222222222211111111110000000000
16933 10987654321098765432109876543210
16934 xx0111100x110xx0110010xxxxxxxxxx
16935 fmaxnmp. */
193614f2 16936 return 539;
e9dbdd80
TC
16937 }
16938 else
16939 {
16940 /* 33222222222211111111110000000000
16941 10987654321098765432109876543210
16942 xx1111100x110xx0110010xxxxxxxxxx
16943 fmaxnmp. */
193614f2 16944 return 538;
e9dbdd80
TC
16945 }
16946 }
16947 else
16948 {
16949 if (((word >> 29) & 0x1) == 0)
16950 {
16951 /* 33222222222211111111110000000000
16952 10987654321098765432109876543210
16953 xx0111101x110xx0110010xxxxxxxxxx
16954 fminnmp. */
193614f2 16955 return 545;
e9dbdd80
TC
16956 }
16957 else
16958 {
16959 /* 33222222222211111111110000000000
16960 10987654321098765432109876543210
16961 xx1111101x110xx0110010xxxxxxxxxx
16962 fminnmp. */
193614f2 16963 return 544;
e9dbdd80
TC
16964 }
16965 }
16966 }
a06ea964
NC
16967 }
16968 else
16969 {
e9dbdd80
TC
16970 if (((word >> 29) & 0x1) == 0)
16971 {
16972 /* 33222222222211111111110000000000
16973 10987654321098765432109876543210
16974 xx011110xx1x1xx0110010xxxxxxxxxx
16975 fcmgt. */
193614f2 16976 return 496;
e9dbdd80
TC
16977 }
16978 else
16979 {
16980 /* 33222222222211111111110000000000
16981 10987654321098765432109876543210
16982 xx111110xx1x1xx0110010xxxxxxxxxx
16983 fcmge. */
193614f2 16984 return 526;
e9dbdd80 16985 }
a06ea964
NC
16986 }
16987 }
16988 else
16989 {
e9dbdd80 16990 if (((word >> 19) & 0x1) == 0)
a06ea964 16991 {
e9dbdd80
TC
16992 if (((word >> 29) & 0x1) == 0)
16993 {
16994 /* 33222222222211111111110000000000
16995 10987654321098765432109876543210
16996 xx011110xx1x0xx1110010xxxxxxxxxx
16997 fcvtas. */
193614f2 16998 return 491;
e9dbdd80
TC
16999 }
17000 else
17001 {
17002 /* 33222222222211111111110000000000
17003 10987654321098765432109876543210
17004 xx111110xx1x0xx1110010xxxxxxxxxx
17005 fcvtau. */
193614f2 17006 return 521;
e9dbdd80 17007 }
a06ea964
NC
17008 }
17009 else
17010 {
e9dbdd80
TC
17011 if (((word >> 29) & 0x1) == 0)
17012 {
17013 /* 33222222222211111111110000000000
17014 10987654321098765432109876543210
17015 xx011110xx1x1xx1110010xxxxxxxxxx
17016 fcvtas. */
193614f2 17017 return 492;
e9dbdd80
TC
17018 }
17019 else
17020 {
17021 /* 33222222222211111111110000000000
17022 10987654321098765432109876543210
17023 xx111110xx1x1xx1110010xxxxxxxxxx
17024 fcvtau. */
193614f2 17025 return 522;
e9dbdd80 17026 }
a06ea964
NC
17027 }
17028 }
17029 }
17030 }
17031 }
a06ea964
NC
17032 else
17033 {
e9dbdd80 17034 if (((word >> 14) & 0x1) == 0)
a06ea964 17035 {
e9dbdd80 17036 if (((word >> 15) & 0x1) == 0)
a06ea964 17037 {
e9dbdd80 17038 if (((word >> 29) & 0x1) == 0)
a06ea964 17039 {
e9dbdd80
TC
17040 if (((word >> 30) & 0x1) == 0)
17041 {
17042 /* 33222222222211111111110000000000
17043 10987654321098765432109876543210
17044 x0011110xx1xxxxx001010xxxxxxxxxx
17045 fadd. */
b731bc3b 17046 return 843;
e9dbdd80
TC
17047 }
17048 else
17049 {
17050 /* 33222222222211111111110000000000
17051 10987654321098765432109876543210
17052 x1011110xx1xxxxx001010xxxxxxxxxx
17053 sha256su0. */
193614f2 17054 return 677;
e9dbdd80 17055 }
a06ea964
NC
17056 }
17057 else
17058 {
17059 /* 33222222222211111111110000000000
17060 10987654321098765432109876543210
e9dbdd80
TC
17061 xx111110xx1xxxxx001010xxxxxxxxxx
17062 sqxtun. */
193614f2 17063 return 514;
a06ea964
NC
17064 }
17065 }
17066 else
17067 {
e9dbdd80 17068 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17069 {
17070 /* 33222222222211111111110000000000
17071 10987654321098765432109876543210
e9dbdd80
TC
17072 xxx11110xx1xxxx0101010xxxxxxxxxx
17073 cmlt. */
193614f2 17074 return 484;
a06ea964
NC
17075 }
17076 else
17077 {
e9dbdd80
TC
17078 if (((word >> 19) & 0x1) == 0)
17079 {
17080 if (((word >> 23) & 0x1) == 0)
17081 {
17082 if (((word >> 29) & 0x1) == 0)
17083 {
17084 /* 33222222222211111111110000000000
17085 10987654321098765432109876543210
17086 xx0111100x1x0xx1101010xxxxxxxxxx
17087 fcvtns. */
193614f2 17088 return 487;
e9dbdd80
TC
17089 }
17090 else
17091 {
17092 /* 33222222222211111111110000000000
17093 10987654321098765432109876543210
17094 xx1111100x1x0xx1101010xxxxxxxxxx
17095 fcvtnu. */
193614f2 17096 return 517;
e9dbdd80
TC
17097 }
17098 }
17099 else
17100 {
17101 if (((word >> 29) & 0x1) == 0)
17102 {
17103 /* 33222222222211111111110000000000
17104 10987654321098765432109876543210
17105 xx0111101x1x0xx1101010xxxxxxxxxx
17106 fcvtps. */
193614f2 17107 return 501;
e9dbdd80
TC
17108 }
17109 else
17110 {
17111 /* 33222222222211111111110000000000
17112 10987654321098765432109876543210
17113 xx1111101x1x0xx1101010xxxxxxxxxx
17114 fcvtpu. */
193614f2 17115 return 529;
e9dbdd80
TC
17116 }
17117 }
17118 }
17119 else
17120 {
17121 if (((word >> 23) & 0x1) == 0)
17122 {
17123 if (((word >> 29) & 0x1) == 0)
17124 {
17125 /* 33222222222211111111110000000000
17126 10987654321098765432109876543210
17127 xx0111100x1x1xx1101010xxxxxxxxxx
17128 fcvtns. */
193614f2 17129 return 488;
e9dbdd80
TC
17130 }
17131 else
17132 {
17133 /* 33222222222211111111110000000000
17134 10987654321098765432109876543210
17135 xx1111100x1x1xx1101010xxxxxxxxxx
17136 fcvtnu. */
193614f2 17137 return 518;
e9dbdd80
TC
17138 }
17139 }
17140 else
17141 {
17142 if (((word >> 29) & 0x1) == 0)
17143 {
17144 /* 33222222222211111111110000000000
17145 10987654321098765432109876543210
17146 xx0111101x1x1xx1101010xxxxxxxxxx
17147 fcvtps. */
193614f2 17148 return 502;
e9dbdd80
TC
17149 }
17150 else
17151 {
17152 /* 33222222222211111111110000000000
17153 10987654321098765432109876543210
17154 xx1111101x1x1xx1101010xxxxxxxxxx
17155 fcvtpu. */
193614f2 17156 return 530;
e9dbdd80
TC
17157 }
17158 }
17159 }
17160 }
a06ea964
NC
17161 }
17162 }
e9dbdd80 17163 else
a06ea964
NC
17164 {
17165 if (((word >> 15) & 0x1) == 0)
17166 {
17167 if (((word >> 29) & 0x1) == 0)
17168 {
17169 /* 33222222222211111111110000000000
17170 10987654321098765432109876543210
e9dbdd80
TC
17171 xx011110xx1xxxxx011010xxxxxxxxxx
17172 fmaxnm. */
b731bc3b 17173 return 851;
a06ea964
NC
17174 }
17175 else
17176 {
17177 /* 33222222222211111111110000000000
17178 10987654321098765432109876543210
e9dbdd80
TC
17179 xx111110xx1xxxxx011010xxxxxxxxxx
17180 fcvtxn. */
193614f2 17181 return 516;
a06ea964
NC
17182 }
17183 }
17184 else
17185 {
e9dbdd80 17186 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
17187 {
17188 /* 33222222222211111111110000000000
17189 10987654321098765432109876543210
e9dbdd80
TC
17190 xxx11110xx1x0xxx111010xxxxxxxxxx
17191 fcmlt. */
193614f2 17192 return 499;
a06ea964
NC
17193 }
17194 else
17195 {
17196 /* 33222222222211111111110000000000
17197 10987654321098765432109876543210
e9dbdd80
TC
17198 xxx11110xx1x1xxx111010xxxxxxxxxx
17199 fcmlt. */
193614f2 17200 return 500;
a06ea964
NC
17201 }
17202 }
17203 }
e9dbdd80
TC
17204 }
17205 }
17206 else
17207 {
17208 if (((word >> 13) & 0x1) == 0)
17209 {
17210 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17211 {
17212 if (((word >> 15) & 0x1) == 0)
17213 {
e9dbdd80 17214 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17215 {
17216 /* 33222222222211111111110000000000
17217 10987654321098765432109876543210
e9dbdd80
TC
17218 x0x11110xx1xxxxx000110xxxxxxxxxx
17219 fdiv. */
b731bc3b 17220 return 841;
a06ea964
NC
17221 }
17222 else
17223 {
17224 /* 33222222222211111111110000000000
17225 10987654321098765432109876543210
e9dbdd80
TC
17226 x1x11110xx1xxxxx000110xxxxxxxxxx
17227 sha1su1. */
193614f2 17228 return 676;
a06ea964
NC
17229 }
17230 }
17231 else
17232 {
e9dbdd80 17233 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
17234 {
17235 /* 33222222222211111111110000000000
17236 10987654321098765432109876543210
e9dbdd80
TC
17237 xx011110xx1xxxxx100110xxxxxxxxxx
17238 cmeq. */
193614f2 17239 return 483;
a06ea964
NC
17240 }
17241 else
17242 {
17243 /* 33222222222211111111110000000000
17244 10987654321098765432109876543210
e9dbdd80
TC
17245 xx111110xx1xxxxx100110xxxxxxxxxx
17246 cmle. */
193614f2 17247 return 512;
a06ea964
NC
17248 }
17249 }
17250 }
e9dbdd80 17251 else
a06ea964
NC
17252 {
17253 if (((word >> 15) & 0x1) == 0)
17254 {
e9dbdd80
TC
17255 /* 33222222222211111111110000000000
17256 10987654321098765432109876543210
17257 xxx11110xx1xxxxx010110xxxxxxxxxx
17258 fmin. */
b731bc3b 17259 return 849;
a06ea964
NC
17260 }
17261 else
17262 {
e9dbdd80 17263 if (((word >> 16) & 0x1) == 0)
a06ea964 17264 {
e9dbdd80
TC
17265 if (((word >> 19) & 0x1) == 0)
17266 {
17267 if (((word >> 20) & 0x1) == 0)
17268 {
17269 if (((word >> 29) & 0x1) == 0)
17270 {
17271 /* 33222222222211111111110000000000
17272 10987654321098765432109876543210
17273 xx011110xx100xx0110110xxxxxxxxxx
17274 fcmeq. */
193614f2 17275 return 497;
e9dbdd80
TC
17276 }
17277 else
17278 {
17279 /* 33222222222211111111110000000000
17280 10987654321098765432109876543210
17281 xx111110xx100xx0110110xxxxxxxxxx
17282 fcmle. */
193614f2 17283 return 527;
e9dbdd80
TC
17284 }
17285 }
17286 else
17287 {
17288 if (((word >> 29) & 0x1) == 0)
17289 {
17290 /* 33222222222211111111110000000000
17291 10987654321098765432109876543210
17292 xx011110xx110xx0110110xxxxxxxxxx
17293 faddp. */
193614f2 17294 return 541;
e9dbdd80
TC
17295 }
17296 else
17297 {
17298 /* 33222222222211111111110000000000
17299 10987654321098765432109876543210
17300 xx111110xx110xx0110110xxxxxxxxxx
17301 faddp. */
193614f2 17302 return 540;
e9dbdd80
TC
17303 }
17304 }
17305 }
17306 else
17307 {
17308 if (((word >> 29) & 0x1) == 0)
17309 {
17310 /* 33222222222211111111110000000000
17311 10987654321098765432109876543210
17312 xx011110xx1x1xx0110110xxxxxxxxxx
17313 fcmeq. */
193614f2 17314 return 498;
e9dbdd80
TC
17315 }
17316 else
17317 {
17318 /* 33222222222211111111110000000000
17319 10987654321098765432109876543210
17320 xx111110xx1x1xx0110110xxxxxxxxxx
17321 fcmle. */
193614f2 17322 return 528;
e9dbdd80
TC
17323 }
17324 }
a06ea964
NC
17325 }
17326 else
17327 {
e9dbdd80
TC
17328 if (((word >> 19) & 0x1) == 0)
17329 {
17330 if (((word >> 23) & 0x1) == 0)
17331 {
17332 if (((word >> 29) & 0x1) == 0)
17333 {
17334 /* 33222222222211111111110000000000
17335 10987654321098765432109876543210
17336 xx0111100x1x0xx1110110xxxxxxxxxx
17337 scvtf. */
193614f2 17338 return 493;
e9dbdd80
TC
17339 }
17340 else
17341 {
17342 /* 33222222222211111111110000000000
17343 10987654321098765432109876543210
17344 xx1111100x1x0xx1110110xxxxxxxxxx
17345 ucvtf. */
193614f2 17346 return 523;
e9dbdd80
TC
17347 }
17348 }
17349 else
17350 {
17351 if (((word >> 29) & 0x1) == 0)
17352 {
17353 /* 33222222222211111111110000000000
17354 10987654321098765432109876543210
17355 xx0111101x1x0xx1110110xxxxxxxxxx
17356 frecpe. */
193614f2 17357 return 505;
e9dbdd80
TC
17358 }
17359 else
17360 {
17361 /* 33222222222211111111110000000000
17362 10987654321098765432109876543210
17363 xx1111101x1x0xx1110110xxxxxxxxxx
17364 frsqrte. */
193614f2 17365 return 533;
e9dbdd80
TC
17366 }
17367 }
17368 }
17369 else
17370 {
17371 if (((word >> 23) & 0x1) == 0)
17372 {
17373 if (((word >> 29) & 0x1) == 0)
17374 {
17375 /* 33222222222211111111110000000000
17376 10987654321098765432109876543210
17377 xx0111100x1x1xx1110110xxxxxxxxxx
17378 scvtf. */
193614f2 17379 return 494;
e9dbdd80
TC
17380 }
17381 else
17382 {
17383 /* 33222222222211111111110000000000
17384 10987654321098765432109876543210
17385 xx1111100x1x1xx1110110xxxxxxxxxx
17386 ucvtf. */
193614f2 17387 return 524;
e9dbdd80
TC
17388 }
17389 }
17390 else
17391 {
17392 if (((word >> 29) & 0x1) == 0)
17393 {
17394 /* 33222222222211111111110000000000
17395 10987654321098765432109876543210
17396 xx0111101x1x1xx1110110xxxxxxxxxx
17397 frecpe. */
193614f2 17398 return 506;
e9dbdd80
TC
17399 }
17400 else
17401 {
17402 /* 33222222222211111111110000000000
17403 10987654321098765432109876543210
17404 xx1111101x1x1xx1110110xxxxxxxxxx
17405 frsqrte. */
193614f2 17406 return 534;
e9dbdd80
TC
17407 }
17408 }
17409 }
a06ea964
NC
17410 }
17411 }
17412 }
e9dbdd80
TC
17413 }
17414 else
17415 {
17416 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17417 {
17418 if (((word >> 15) & 0x1) == 0)
17419 {
17420 if (((word >> 29) & 0x1) == 0)
17421 {
e9dbdd80
TC
17422 if (((word >> 30) & 0x1) == 0)
17423 {
17424 /* 33222222222211111111110000000000
17425 10987654321098765432109876543210
17426 x0011110xx1xxxxx001110xxxxxxxxxx
17427 fsub. */
b731bc3b 17428 return 845;
e9dbdd80
TC
17429 }
17430 else
17431 {
17432 /* 33222222222211111111110000000000
17433 10987654321098765432109876543210
17434 x1011110xx1xxxxx001110xxxxxxxxxx
17435 suqadd. */
193614f2 17436 return 480;
e9dbdd80 17437 }
a06ea964
NC
17438 }
17439 else
17440 {
17441 /* 33222222222211111111110000000000
17442 10987654321098765432109876543210
e9dbdd80
TC
17443 xx111110xx1xxxxx001110xxxxxxxxxx
17444 usqadd. */
193614f2 17445 return 509;
a06ea964
NC
17446 }
17447 }
17448 else
17449 {
e9dbdd80 17450 if (((word >> 16) & 0x1) == 0)
a06ea964 17451 {
e9dbdd80
TC
17452 if (((word >> 29) & 0x1) == 0)
17453 {
17454 /* 33222222222211111111110000000000
17455 10987654321098765432109876543210
17456 xx011110xx1xxxx0101110xxxxxxxxxx
17457 abs. */
193614f2 17458 return 485;
e9dbdd80
TC
17459 }
17460 else
17461 {
17462 /* 33222222222211111111110000000000
17463 10987654321098765432109876543210
17464 xx111110xx1xxxx0101110xxxxxxxxxx
17465 neg. */
193614f2 17466 return 513;
e9dbdd80 17467 }
a06ea964
NC
17468 }
17469 else
17470 {
e9dbdd80 17471 if (((word >> 19) & 0x1) == 0)
a06ea964 17472 {
e9dbdd80 17473 if (((word >> 20) & 0x1) == 0)
a06ea964 17474 {
e9dbdd80
TC
17475 if (((word >> 23) & 0x1) == 0)
17476 {
17477 if (((word >> 29) & 0x1) == 0)
17478 {
17479 /* 33222222222211111111110000000000
17480 10987654321098765432109876543210
17481 xx0111100x100xx1101110xxxxxxxxxx
17482 fcvtms. */
193614f2 17483 return 489;
e9dbdd80
TC
17484 }
17485 else
17486 {
17487 /* 33222222222211111111110000000000
17488 10987654321098765432109876543210
17489 xx1111100x100xx1101110xxxxxxxxxx
17490 fcvtmu. */
193614f2 17491 return 519;
e9dbdd80
TC
17492 }
17493 }
17494 else
17495 {
17496 if (((word >> 29) & 0x1) == 0)
17497 {
17498 /* 33222222222211111111110000000000
17499 10987654321098765432109876543210
17500 xx0111101x100xx1101110xxxxxxxxxx
17501 fcvtzs. */
193614f2 17502 return 503;
e9dbdd80
TC
17503 }
17504 else
17505 {
17506 /* 33222222222211111111110000000000
17507 10987654321098765432109876543210
17508 xx1111101x100xx1101110xxxxxxxxxx
17509 fcvtzu. */
193614f2 17510 return 531;
e9dbdd80
TC
17511 }
17512 }
a06ea964
NC
17513 }
17514 else
17515 {
17516 /* 33222222222211111111110000000000
17517 10987654321098765432109876543210
e9dbdd80
TC
17518 xxx11110xx110xx1101110xxxxxxxxxx
17519 addp. */
193614f2 17520 return 537;
a06ea964
NC
17521 }
17522 }
17523 else
17524 {
e9dbdd80 17525 if (((word >> 23) & 0x1) == 0)
a06ea964 17526 {
e9dbdd80
TC
17527 if (((word >> 29) & 0x1) == 0)
17528 {
17529 /* 33222222222211111111110000000000
17530 10987654321098765432109876543210
17531 xx0111100x1x1xx1101110xxxxxxxxxx
17532 fcvtms. */
193614f2 17533 return 490;
e9dbdd80
TC
17534 }
17535 else
17536 {
17537 /* 33222222222211111111110000000000
17538 10987654321098765432109876543210
17539 xx1111100x1x1xx1101110xxxxxxxxxx
17540 fcvtmu. */
193614f2 17541 return 520;
e9dbdd80 17542 }
a06ea964
NC
17543 }
17544 else
17545 {
e9dbdd80
TC
17546 if (((word >> 29) & 0x1) == 0)
17547 {
17548 /* 33222222222211111111110000000000
17549 10987654321098765432109876543210
17550 xx0111101x1x1xx1101110xxxxxxxxxx
17551 fcvtzs. */
193614f2 17552 return 504;
e9dbdd80
TC
17553 }
17554 else
17555 {
17556 /* 33222222222211111111110000000000
17557 10987654321098765432109876543210
17558 xx1111101x1x1xx1101110xxxxxxxxxx
17559 fcvtzu. */
193614f2 17560 return 532;
e9dbdd80 17561 }
a06ea964
NC
17562 }
17563 }
17564 }
e9dbdd80
TC
17565 }
17566 }
17567 else
17568 {
17569 if (((word >> 15) & 0x1) == 0)
17570 {
17571 if (((word >> 29) & 0x1) == 0)
17572 {
17573 if (((word >> 30) & 0x1) == 0)
17574 {
17575 /* 33222222222211111111110000000000
17576 10987654321098765432109876543210
17577 x0011110xx1xxxxx011110xxxxxxxxxx
17578 fminnm. */
b731bc3b 17579 return 853;
e9dbdd80
TC
17580 }
17581 else
17582 {
17583 /* 33222222222211111111110000000000
17584 10987654321098765432109876543210
17585 x1011110xx1xxxxx011110xxxxxxxxxx
17586 sqabs. */
193614f2 17587 return 481;
e9dbdd80
TC
17588 }
17589 }
a06ea964 17590 else
e9dbdd80
TC
17591 {
17592 /* 33222222222211111111110000000000
17593 10987654321098765432109876543210
17594 xx111110xx1xxxxx011110xxxxxxxxxx
17595 sqneg. */
193614f2 17596 return 510;
e9dbdd80
TC
17597 }
17598 }
17599 else
17600 {
17601 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17602 {
17603 if (((word >> 23) & 0x1) == 0)
17604 {
17605 if (((word >> 29) & 0x1) == 0)
17606 {
17607 /* 33222222222211111111110000000000
17608 10987654321098765432109876543210
e9dbdd80
TC
17609 xx0111100x1xxxx0111110xxxxxxxxxx
17610 fmaxp. */
193614f2 17611 return 543;
a06ea964
NC
17612 }
17613 else
17614 {
17615 /* 33222222222211111111110000000000
17616 10987654321098765432109876543210
e9dbdd80
TC
17617 xx1111100x1xxxx0111110xxxxxxxxxx
17618 fmaxp. */
193614f2 17619 return 542;
a06ea964
NC
17620 }
17621 }
17622 else
17623 {
17624 if (((word >> 29) & 0x1) == 0)
17625 {
17626 /* 33222222222211111111110000000000
17627 10987654321098765432109876543210
e9dbdd80
TC
17628 xx0111101x1xxxx0111110xxxxxxxxxx
17629 fminp. */
193614f2 17630 return 547;
a06ea964
NC
17631 }
17632 else
17633 {
17634 /* 33222222222211111111110000000000
17635 10987654321098765432109876543210
e9dbdd80
TC
17636 xx1111101x1xxxx0111110xxxxxxxxxx
17637 fminp. */
193614f2 17638 return 546;
a06ea964
NC
17639 }
17640 }
17641 }
a06ea964
NC
17642 else
17643 {
e9dbdd80
TC
17644 if (((word >> 19) & 0x1) == 0)
17645 {
17646 /* 33222222222211111111110000000000
17647 10987654321098765432109876543210
17648 xxx11110xx1x0xx1111110xxxxxxxxxx
17649 frecpx. */
193614f2 17650 return 507;
e9dbdd80
TC
17651 }
17652 else
17653 {
17654 /* 33222222222211111111110000000000
17655 10987654321098765432109876543210
17656 xxx11110xx1x1xx1111110xxxxxxxxxx
17657 frecpx. */
193614f2 17658 return 508;
e9dbdd80 17659 }
a06ea964
NC
17660 }
17661 }
17662 }
e9dbdd80
TC
17663 }
17664 }
17665 }
17666 }
17667 else
17668 {
17669 if (((word >> 11) & 0x1) == 0)
17670 {
17671 if (((word >> 29) & 0x1) == 0)
17672 {
17673 if (((word >> 30) & 0x1) == 0)
17674 {
17675 if (((word >> 4) & 0x1) == 0)
17676 {
17677 /* 33222222222211111111110000000000
17678 10987654321098765432109876543210
17679 x0011110xx1xxxxxxxxx01xxxxx0xxxx
17680 fccmp. */
b731bc3b 17681 return 798;
e9dbdd80
TC
17682 }
17683 else
17684 {
17685 /* 33222222222211111111110000000000
17686 10987654321098765432109876543210
17687 x0011110xx1xxxxxxxxx01xxxxx1xxxx
17688 fccmpe. */
b731bc3b 17689 return 800;
e9dbdd80
TC
17690 }
17691 }
17692 else
17693 {
17694 if (((word >> 12) & 0x1) == 0)
a06ea964 17695 {
e9dbdd80 17696 if (((word >> 13) & 0x1) == 0)
a06ea964 17697 {
e9dbdd80 17698 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17699 {
17700 /* 33222222222211111111110000000000
17701 10987654321098765432109876543210
e9dbdd80
TC
17702 x1011110xx1xxxxxx00001xxxxxxxxxx
17703 add. */
193614f2 17704 return 565;
a06ea964
NC
17705 }
17706 else
17707 {
17708 /* 33222222222211111111110000000000
17709 10987654321098765432109876543210
e9dbdd80
TC
17710 x1011110xx1xxxxxx10001xxxxxxxxxx
17711 sshl. */
193614f2 17712 return 563;
a06ea964
NC
17713 }
17714 }
17715 else
17716 {
e9dbdd80
TC
17717 /* 33222222222211111111110000000000
17718 10987654321098765432109876543210
17719 x1011110xx1xxxxxxx1001xxxxxxxxxx
17720 fcmeq. */
193614f2 17721 return 555;
e9dbdd80
TC
17722 }
17723 }
17724 else
17725 {
17726 if (((word >> 13) & 0x1) == 0)
17727 {
17728 /* 33222222222211111111110000000000
17729 10987654321098765432109876543210
17730 x1011110xx1xxxxxxx0101xxxxxxxxxx
17731 srshl. */
193614f2 17732 return 564;
e9dbdd80
TC
17733 }
17734 else
17735 {
17736 if (((word >> 15) & 0x1) == 0)
a06ea964
NC
17737 {
17738 /* 33222222222211111111110000000000
17739 10987654321098765432109876543210
e9dbdd80
TC
17740 x1011110xx1xxxxx0x1101xxxxxxxxxx
17741 cmgt. */
193614f2 17742 return 561;
a06ea964
NC
17743 }
17744 else
17745 {
17746 /* 33222222222211111111110000000000
17747 10987654321098765432109876543210
e9dbdd80
TC
17748 x1011110xx1xxxxx1x1101xxxxxxxxxx
17749 sqdmulh. */
193614f2 17750 return 552;
a06ea964
NC
17751 }
17752 }
17753 }
17754 }
e9dbdd80
TC
17755 }
17756 else
17757 {
17758 if (((word >> 12) & 0x1) == 0)
17759 {
17760 if (((word >> 13) & 0x1) == 0)
17761 {
17762 if (((word >> 14) & 0x1) == 0)
17763 {
17764 /* 33222222222211111111110000000000
17765 10987654321098765432109876543210
17766 xx111110xx1xxxxxx00001xxxxxxxxxx
17767 sub. */
193614f2 17768 return 586;
e9dbdd80
TC
17769 }
17770 else
17771 {
17772 /* 33222222222211111111110000000000
17773 10987654321098765432109876543210
17774 xx111110xx1xxxxxx10001xxxxxxxxxx
17775 ushl. */
193614f2 17776 return 584;
e9dbdd80
TC
17777 }
17778 }
17779 else
17780 {
17781 if (((word >> 23) & 0x1) == 0)
17782 {
17783 /* 33222222222211111111110000000000
17784 10987654321098765432109876543210
17785 xx1111100x1xxxxxxx1001xxxxxxxxxx
17786 fcmge. */
193614f2 17787 return 572;
e9dbdd80
TC
17788 }
17789 else
17790 {
17791 /* 33222222222211111111110000000000
17792 10987654321098765432109876543210
17793 xx1111101x1xxxxxxx1001xxxxxxxxxx
17794 fcmgt. */
193614f2 17795 return 578;
e9dbdd80
TC
17796 }
17797 }
17798 }
a06ea964
NC
17799 else
17800 {
e9dbdd80 17801 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
17802 {
17803 if (((word >> 15) & 0x1) == 0)
17804 {
e9dbdd80
TC
17805 /* 33222222222211111111110000000000
17806 10987654321098765432109876543210
17807 xx111110xx1xxxxx0x0101xxxxxxxxxx
17808 urshl. */
193614f2 17809 return 585;
a06ea964
NC
17810 }
17811 else
17812 {
17813 /* 33222222222211111111110000000000
17814 10987654321098765432109876543210
e9dbdd80
TC
17815 xx111110xx1xxxxx1x0101xxxxxxxxxx
17816 fabd. */
193614f2 17817 return 576;
a06ea964
NC
17818 }
17819 }
17820 else
17821 {
17822 if (((word >> 15) & 0x1) == 0)
17823 {
e9dbdd80
TC
17824 /* 33222222222211111111110000000000
17825 10987654321098765432109876543210
17826 xx111110xx1xxxxx0x1101xxxxxxxxxx
17827 cmhi. */
193614f2 17828 return 582;
a06ea964
NC
17829 }
17830 else
17831 {
e9dbdd80
TC
17832 /* 33222222222211111111110000000000
17833 10987654321098765432109876543210
17834 xx111110xx1xxxxx1x1101xxxxxxxxxx
17835 sqrdmulh. */
193614f2 17836 return 571;
a06ea964
NC
17837 }
17838 }
17839 }
17840 }
17841 }
17842 else
17843 {
17844 if (((word >> 29) & 0x1) == 0)
17845 {
17846 if (((word >> 30) & 0x1) == 0)
17847 {
17848 /* 33222222222211111111110000000000
17849 10987654321098765432109876543210
7684e580 17850 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 17851 fcsel. */
b731bc3b 17852 return 867;
a06ea964
NC
17853 }
17854 else
17855 {
17856 if (((word >> 12) & 0x1) == 0)
17857 {
17858 if (((word >> 13) & 0x1) == 0)
17859 {
17860 if (((word >> 14) & 0x1) == 0)
17861 {
17862 if (((word >> 15) & 0x1) == 0)
17863 {
17864 /* 33222222222211111111110000000000
17865 10987654321098765432109876543210
7684e580 17866 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 17867 sqadd. */
193614f2 17868 return 548;
a06ea964
NC
17869 }
17870 else
17871 {
17872 /* 33222222222211111111110000000000
17873 10987654321098765432109876543210
7684e580 17874 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 17875 cmtst. */
193614f2 17876 return 566;
a06ea964
NC
17877 }
17878 }
17879 else
17880 {
17881 /* 33222222222211111111110000000000
17882 10987654321098765432109876543210
7684e580 17883 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 17884 sqshl. */
193614f2 17885 return 550;
a06ea964
NC
17886 }
17887 }
17888 else
17889 {
17890 /* 33222222222211111111110000000000
17891 10987654321098765432109876543210
7684e580 17892 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 17893 sqsub. */
193614f2 17894 return 549;
a06ea964
NC
17895 }
17896 }
17897 else
17898 {
17899 if (((word >> 13) & 0x1) == 0)
17900 {
17901 if (((word >> 15) & 0x1) == 0)
17902 {
17903 /* 33222222222211111111110000000000
17904 10987654321098765432109876543210
7684e580 17905 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 17906 sqrshl. */
193614f2 17907 return 551;
a06ea964
NC
17908 }
17909 else
17910 {
17911 /* 33222222222211111111110000000000
17912 10987654321098765432109876543210
7684e580 17913 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 17914 fmulx. */
193614f2 17915 return 553;
a06ea964
NC
17916 }
17917 }
17918 else
17919 {
17920 if (((word >> 14) & 0x1) == 0)
17921 {
17922 /* 33222222222211111111110000000000
17923 10987654321098765432109876543210
7684e580 17924 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 17925 cmge. */
193614f2 17926 return 562;
a06ea964
NC
17927 }
17928 else
17929 {
17930 if (((word >> 23) & 0x1) == 0)
17931 {
17932 /* 33222222222211111111110000000000
17933 10987654321098765432109876543210
7684e580 17934 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 17935 frecps. */
193614f2 17936 return 557;
a06ea964
NC
17937 }
17938 else
17939 {
17940 /* 33222222222211111111110000000000
17941 10987654321098765432109876543210
7684e580 17942 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 17943 frsqrts. */
193614f2 17944 return 559;
a06ea964
NC
17945 }
17946 }
17947 }
17948 }
17949 }
17950 }
17951 else
17952 {
17953 if (((word >> 12) & 0x1) == 0)
17954 {
17955 if (((word >> 13) & 0x1) == 0)
17956 {
17957 if (((word >> 14) & 0x1) == 0)
17958 {
17959 if (((word >> 15) & 0x1) == 0)
17960 {
17961 /* 33222222222211111111110000000000
17962 10987654321098765432109876543210
7684e580 17963 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 17964 uqadd. */
193614f2 17965 return 567;
a06ea964
NC
17966 }
17967 else
17968 {
17969 /* 33222222222211111111110000000000
17970 10987654321098765432109876543210
7684e580 17971 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 17972 cmeq. */
193614f2 17973 return 587;
a06ea964
NC
17974 }
17975 }
17976 else
17977 {
17978 /* 33222222222211111111110000000000
17979 10987654321098765432109876543210
7684e580 17980 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 17981 uqshl. */
193614f2 17982 return 569;
a06ea964
NC
17983 }
17984 }
17985 else
17986 {
17987 if (((word >> 14) & 0x1) == 0)
17988 {
17989 /* 33222222222211111111110000000000
17990 10987654321098765432109876543210
7684e580 17991 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 17992 uqsub. */
193614f2 17993 return 568;
a06ea964
NC
17994 }
17995 else
17996 {
17997 if (((word >> 23) & 0x1) == 0)
17998 {
17999 /* 33222222222211111111110000000000
18000 10987654321098765432109876543210
7684e580 18001 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 18002 facge. */
193614f2 18003 return 574;
a06ea964
NC
18004 }
18005 else
18006 {
18007 /* 33222222222211111111110000000000
18008 10987654321098765432109876543210
7684e580 18009 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 18010 facgt. */
193614f2 18011 return 580;
a06ea964
NC
18012 }
18013 }
18014 }
18015 }
18016 else
18017 {
18018 if (((word >> 13) & 0x1) == 0)
18019 {
18020 /* 33222222222211111111110000000000
18021 10987654321098765432109876543210
7684e580 18022 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 18023 uqrshl. */
193614f2 18024 return 570;
a06ea964
NC
18025 }
18026 else
18027 {
18028 /* 33222222222211111111110000000000
18029 10987654321098765432109876543210
7684e580 18030 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 18031 cmhs. */
193614f2 18032 return 583;
a06ea964
NC
18033 }
18034 }
18035 }
18036 }
18037 }
18038 }
18039 }
18040 }
18041 else
18042 {
18043 if (((word >> 15) & 0x1) == 0)
18044 {
18045 if (((word >> 28) & 0x1) == 0)
18046 {
18047 if (((word >> 10) & 0x1) == 0)
18048 {
18049 if (((word >> 12) & 0x1) == 0)
18050 {
18051 if (((word >> 13) & 0x1) == 0)
18052 {
18053 if (((word >> 14) & 0x1) == 0)
18054 {
e9dbdd80
TC
18055 if (((word >> 29) & 0x1) == 0)
18056 {
18057 if (((word >> 30) & 0x1) == 0)
18058 {
18059 /* 33222222222211111111110000000000
18060 10987654321098765432109876543210
18061 x0001111xxxxxxxx0000x0xxxxxxxxxx
18062 fmlal. */
b731bc3b 18063 return 2056;
e9dbdd80
TC
18064 }
18065 else
18066 {
18067 /* 33222222222211111111110000000000
18068 10987654321098765432109876543210
18069 x1001111xxxxxxxx0000x0xxxxxxxxxx
18070 fmlal. */
b731bc3b 18071 return 2060;
e9dbdd80
TC
18072 }
18073 }
18074 else
18075 {
18076 /* 33222222222211111111110000000000
18077 10987654321098765432109876543210
18078 xx101111xxxxxxxx0000x0xxxxxxxxxx
18079 mla. */
193614f2 18080 return 119;
e9dbdd80 18081 }
a06ea964
NC
18082 }
18083 else
18084 {
e9dbdd80
TC
18085 if (((word >> 29) & 0x1) == 0)
18086 {
18087 if (((word >> 30) & 0x1) == 0)
18088 {
18089 /* 33222222222211111111110000000000
18090 10987654321098765432109876543210
18091 x0001111xxxxxxxx0100x0xxxxxxxxxx
18092 fmlsl. */
b731bc3b 18093 return 2057;
e9dbdd80
TC
18094 }
18095 else
18096 {
18097 /* 33222222222211111111110000000000
18098 10987654321098765432109876543210
18099 x1001111xxxxxxxx0100x0xxxxxxxxxx
18100 fmlsl. */
b731bc3b 18101 return 2061;
e9dbdd80
TC
18102 }
18103 }
18104 else
18105 {
18106 /* 33222222222211111111110000000000
18107 10987654321098765432109876543210
18108 xx101111xxxxxxxx0100x0xxxxxxxxxx
18109 mls. */
193614f2 18110 return 122;
e9dbdd80 18111 }
a06ea964
NC
18112 }
18113 }
18114 else
18115 {
18116 if (((word >> 14) & 0x1) == 0)
18117 {
18118 if (((word >> 29) & 0x1) == 0)
18119 {
18120 if (((word >> 30) & 0x1) == 0)
18121 {
18122 /* 33222222222211111111110000000000
18123 10987654321098765432109876543210
7684e580 18124 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18125 smlal. */
193614f2 18126 return 98;
a06ea964
NC
18127 }
18128 else
18129 {
18130 /* 33222222222211111111110000000000
18131 10987654321098765432109876543210
7684e580 18132 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18133 smlal2. */
193614f2 18134 return 99;
a06ea964
NC
18135 }
18136 }
18137 else
18138 {
18139 if (((word >> 30) & 0x1) == 0)
18140 {
18141 /* 33222222222211111111110000000000
18142 10987654321098765432109876543210
7684e580 18143 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18144 umlal. */
193614f2 18145 return 120;
a06ea964
NC
18146 }
18147 else
18148 {
18149 /* 33222222222211111111110000000000
18150 10987654321098765432109876543210
7684e580 18151 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 18152 umlal2. */
193614f2 18153 return 121;
a06ea964
NC
18154 }
18155 }
18156 }
18157 else
18158 {
18159 if (((word >> 29) & 0x1) == 0)
18160 {
18161 if (((word >> 30) & 0x1) == 0)
18162 {
18163 /* 33222222222211111111110000000000
18164 10987654321098765432109876543210
7684e580 18165 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18166 smlsl. */
193614f2 18167 return 102;
a06ea964
NC
18168 }
18169 else
18170 {
18171 /* 33222222222211111111110000000000
18172 10987654321098765432109876543210
7684e580 18173 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18174 smlsl2. */
193614f2 18175 return 103;
a06ea964
NC
18176 }
18177 }
18178 else
18179 {
18180 if (((word >> 30) & 0x1) == 0)
18181 {
18182 /* 33222222222211111111110000000000
18183 10987654321098765432109876543210
7684e580 18184 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18185 umlsl. */
193614f2 18186 return 123;
a06ea964
NC
18187 }
18188 else
18189 {
18190 /* 33222222222211111111110000000000
18191 10987654321098765432109876543210
7684e580 18192 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 18193 umlsl2. */
193614f2 18194 return 124;
a06ea964
NC
18195 }
18196 }
18197 }
18198 }
18199 }
18200 else
18201 {
c2c4ff8d 18202 if (((word >> 29) & 0x1) == 0)
a06ea964 18203 {
c2c4ff8d 18204 if (((word >> 13) & 0x1) == 0)
a06ea964 18205 {
c2c4ff8d 18206 if (((word >> 14) & 0x1) == 0)
42f23f62 18207 {
c2c4ff8d
SN
18208 if (((word >> 23) & 0x1) == 0)
18209 {
18210 /* 33222222222211111111110000000000
18211 10987654321098765432109876543210
7684e580 18212 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18213 fmla. */
193614f2 18214 return 114;
c2c4ff8d
SN
18215 }
18216 else
18217 {
18218 /* 33222222222211111111110000000000
18219 10987654321098765432109876543210
7684e580 18220 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 18221 fmla. */
193614f2 18222 return 113;
c2c4ff8d 18223 }
42f23f62
MW
18224 }
18225 else
18226 {
c2c4ff8d
SN
18227 if (((word >> 23) & 0x1) == 0)
18228 {
18229 /* 33222222222211111111110000000000
18230 10987654321098765432109876543210
7684e580 18231 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18232 fmls. */
193614f2 18233 return 116;
c2c4ff8d
SN
18234 }
18235 else
18236 {
18237 /* 33222222222211111111110000000000
18238 10987654321098765432109876543210
7684e580 18239 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 18240 fmls. */
193614f2 18241 return 115;
c2c4ff8d 18242 }
42f23f62 18243 }
a06ea964
NC
18244 }
18245 else
18246 {
c2c4ff8d 18247 if (((word >> 14) & 0x1) == 0)
42f23f62 18248 {
c2c4ff8d
SN
18249 if (((word >> 30) & 0x1) == 0)
18250 {
18251 /* 33222222222211111111110000000000
18252 10987654321098765432109876543210
7684e580 18253 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18254 sqdmlal. */
193614f2 18255 return 100;
c2c4ff8d
SN
18256 }
18257 else
18258 {
18259 /* 33222222222211111111110000000000
18260 10987654321098765432109876543210
7684e580 18261 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 18262 sqdmlal2. */
193614f2 18263 return 101;
c2c4ff8d 18264 }
42f23f62
MW
18265 }
18266 else
18267 {
c2c4ff8d
SN
18268 if (((word >> 30) & 0x1) == 0)
18269 {
18270 /* 33222222222211111111110000000000
18271 10987654321098765432109876543210
7684e580 18272 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18273 sqdmlsl. */
193614f2 18274 return 104;
c2c4ff8d
SN
18275 }
18276 else
18277 {
18278 /* 33222222222211111111110000000000
18279 10987654321098765432109876543210
7684e580 18280 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 18281 sqdmlsl2. */
193614f2 18282 return 105;
c2c4ff8d 18283 }
42f23f62 18284 }
a06ea964
NC
18285 }
18286 }
18287 else
18288 {
c2c4ff8d
SN
18289 /* 33222222222211111111110000000000
18290 10987654321098765432109876543210
7684e580 18291 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d 18292 fcmla. */
193614f2 18293 return 131;
a06ea964
NC
18294 }
18295 }
18296 }
18297 else
18298 {
18299 if (((word >> 12) & 0x1) == 0)
18300 {
18301 if (((word >> 29) & 0x1) == 0)
18302 {
18303 /* 33222222222211111111110000000000
18304 10987654321098765432109876543210
7684e580 18305 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18306 movi. */
193614f2 18307 return 133;
a06ea964
NC
18308 }
18309 else
18310 {
18311 /* 33222222222211111111110000000000
18312 10987654321098765432109876543210
7684e580 18313 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 18314 mvni. */
193614f2 18315 return 141;
a06ea964
NC
18316 }
18317 }
18318 else
18319 {
18320 if (((word >> 29) & 0x1) == 0)
18321 {
18322 /* 33222222222211111111110000000000
18323 10987654321098765432109876543210
7684e580 18324 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18325 orr. */
193614f2 18326 return 134;
a06ea964
NC
18327 }
18328 else
18329 {
18330 /* 33222222222211111111110000000000
18331 10987654321098765432109876543210
7684e580 18332 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 18333 bic. */
193614f2 18334 return 142;
a06ea964
NC
18335 }
18336 }
18337 }
18338 }
18339 else
18340 {
18341 if (((word >> 29) & 0x1) == 0)
18342 {
18343 if (((word >> 30) & 0x1) == 0)
18344 {
18345 if (((word >> 21) & 0x1) == 0)
18346 {
18347 /* 33222222222211111111110000000000
18348 10987654321098765432109876543210
7684e580 18349 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 18350 fmadd. */
b731bc3b 18351 return 857;
a06ea964
NC
18352 }
18353 else
18354 {
18355 /* 33222222222211111111110000000000
18356 10987654321098765432109876543210
7684e580 18357 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 18358 fnmadd. */
b731bc3b 18359 return 861;
a06ea964
NC
18360 }
18361 }
18362 else
18363 {
18364 if (((word >> 10) & 0x1) == 0)
18365 {
18366 if (((word >> 13) & 0x1) == 0)
18367 {
18368 if (((word >> 14) & 0x1) == 0)
18369 {
5f7728b7
MW
18370 if (((word >> 23) & 0x1) == 0)
18371 {
18372 /* 33222222222211111111110000000000
18373 10987654321098765432109876543210
7684e580 18374 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18375 fmla. */
193614f2 18376 return 431;
5f7728b7
MW
18377 }
18378 else
18379 {
18380 /* 33222222222211111111110000000000
18381 10987654321098765432109876543210
7684e580 18382 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 18383 fmla. */
193614f2 18384 return 430;
5f7728b7 18385 }
a06ea964
NC
18386 }
18387 else
18388 {
5f7728b7
MW
18389 if (((word >> 23) & 0x1) == 0)
18390 {
18391 /* 33222222222211111111110000000000
18392 10987654321098765432109876543210
7684e580 18393 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18394 fmls. */
193614f2 18395 return 433;
5f7728b7
MW
18396 }
18397 else
18398 {
18399 /* 33222222222211111111110000000000
18400 10987654321098765432109876543210
7684e580 18401 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 18402 fmls. */
193614f2 18403 return 432;
5f7728b7 18404 }
a06ea964
NC
18405 }
18406 }
18407 else
18408 {
18409 if (((word >> 14) & 0x1) == 0)
18410 {
18411 /* 33222222222211111111110000000000
18412 10987654321098765432109876543210
7684e580 18413 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 18414 sqdmlal. */
193614f2 18415 return 425;
a06ea964
NC
18416 }
18417 else
18418 {
18419 /* 33222222222211111111110000000000
18420 10987654321098765432109876543210
7684e580 18421 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 18422 sqdmlsl. */
193614f2 18423 return 426;
a06ea964
NC
18424 }
18425 }
18426 }
18427 else
18428 {
18429 if (((word >> 12) & 0x1) == 0)
18430 {
18431 if (((word >> 13) & 0x1) == 0)
18432 {
18433 /* 33222222222211111111110000000000
18434 10987654321098765432109876543210
7684e580 18435 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 18436 sshr. */
193614f2 18437 return 590;
a06ea964
NC
18438 }
18439 else
18440 {
18441 /* 33222222222211111111110000000000
18442 10987654321098765432109876543210
7684e580 18443 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 18444 srshr. */
193614f2 18445 return 592;
a06ea964
NC
18446 }
18447 }
18448 else
18449 {
18450 if (((word >> 13) & 0x1) == 0)
18451 {
18452 if (((word >> 14) & 0x1) == 0)
18453 {
18454 /* 33222222222211111111110000000000
18455 10987654321098765432109876543210
7684e580 18456 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 18457 ssra. */
193614f2 18458 return 591;
a06ea964
NC
18459 }
18460 else
18461 {
18462 /* 33222222222211111111110000000000
18463 10987654321098765432109876543210
7684e580 18464 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 18465 shl. */
193614f2 18466 return 594;
a06ea964
NC
18467 }
18468 }
18469 else
18470 {
18471 if (((word >> 14) & 0x1) == 0)
18472 {
18473 /* 33222222222211111111110000000000
18474 10987654321098765432109876543210
7684e580 18475 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 18476 srsra. */
193614f2 18477 return 593;
a06ea964
NC
18478 }
18479 else
18480 {
18481 /* 33222222222211111111110000000000
18482 10987654321098765432109876543210
7684e580 18483 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 18484 sqshl. */
193614f2 18485 return 595;
a06ea964
NC
18486 }
18487 }
18488 }
18489 }
18490 }
18491 }
18492 else
18493 {
18494 if (((word >> 12) & 0x1) == 0)
18495 {
18496 if (((word >> 13) & 0x1) == 0)
18497 {
18498 if (((word >> 14) & 0x1) == 0)
18499 {
18500 /* 33222222222211111111110000000000
18501 10987654321098765432109876543210
7684e580 18502 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 18503 ushr. */
193614f2 18504 return 602;
a06ea964
NC
18505 }
18506 else
18507 {
18508 /* 33222222222211111111110000000000
18509 10987654321098765432109876543210
7684e580 18510 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 18511 sri. */
193614f2 18512 return 606;
a06ea964
NC
18513 }
18514 }
18515 else
18516 {
18517 if (((word >> 14) & 0x1) == 0)
18518 {
18519 /* 33222222222211111111110000000000
18520 10987654321098765432109876543210
7684e580 18521 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 18522 urshr. */
193614f2 18523 return 604;
a06ea964
NC
18524 }
18525 else
18526 {
18527 /* 33222222222211111111110000000000
18528 10987654321098765432109876543210
7684e580 18529 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 18530 sqshlu. */
193614f2 18531 return 608;
a06ea964
NC
18532 }
18533 }
18534 }
18535 else
18536 {
18537 if (((word >> 13) & 0x1) == 0)
18538 {
18539 if (((word >> 14) & 0x1) == 0)
18540 {
18541 /* 33222222222211111111110000000000
18542 10987654321098765432109876543210
7684e580 18543 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 18544 usra. */
193614f2 18545 return 603;
a06ea964
NC
18546 }
18547 else
18548 {
18549 /* 33222222222211111111110000000000
18550 10987654321098765432109876543210
7684e580 18551 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 18552 sli. */
193614f2 18553 return 607;
a06ea964
NC
18554 }
18555 }
18556 else
18557 {
18558 if (((word >> 14) & 0x1) == 0)
18559 {
18560 /* 33222222222211111111110000000000
18561 10987654321098765432109876543210
7684e580 18562 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 18563 ursra. */
193614f2 18564 return 605;
a06ea964
NC
18565 }
18566 else
18567 {
18568 /* 33222222222211111111110000000000
18569 10987654321098765432109876543210
7684e580 18570 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 18571 uqshl. */
193614f2 18572 return 609;
a06ea964
NC
18573 }
18574 }
18575 }
18576 }
18577 }
18578 }
18579 else
18580 {
18581 if (((word >> 28) & 0x1) == 0)
18582 {
ff329288 18583 if (((word >> 10) & 0x1) == 0)
a06ea964 18584 {
ff329288 18585 if (((word >> 12) & 0x1) == 0)
a06ea964 18586 {
ff329288 18587 if (((word >> 13) & 0x1) == 0)
a06ea964 18588 {
ff329288 18589 if (((word >> 14) & 0x1) == 0)
a06ea964 18590 {
e9dbdd80
TC
18591 if (((word >> 29) & 0x1) == 0)
18592 {
18593 /* 33222222222211111111110000000000
18594 10987654321098765432109876543210
18595 xx001111xxxxxxxx1000x0xxxxxxxxxx
18596 mul. */
193614f2 18597 return 106;
e9dbdd80
TC
18598 }
18599 else
18600 {
18601 if (((word >> 30) & 0x1) == 0)
18602 {
18603 /* 33222222222211111111110000000000
18604 10987654321098765432109876543210
18605 x0101111xxxxxxxx1000x0xxxxxxxxxx
18606 fmlal2. */
b731bc3b 18607 return 2058;
e9dbdd80
TC
18608 }
18609 else
18610 {
18611 /* 33222222222211111111110000000000
18612 10987654321098765432109876543210
18613 x1101111xxxxxxxx1000x0xxxxxxxxxx
18614 fmlal2. */
b731bc3b 18615 return 2062;
e9dbdd80
TC
18616 }
18617 }
a06ea964
NC
18618 }
18619 else
ff329288
TC
18620 {
18621 if (((word >> 29) & 0x1) == 0)
18622 {
18623 /* 33222222222211111111110000000000
18624 10987654321098765432109876543210
18625 xx001111xxxxxxxx1100x0xxxxxxxxxx
18626 sqdmulh. */
193614f2 18627 return 111;
ff329288
TC
18628 }
18629 else
18630 {
18631 if (((word >> 30) & 0x1) == 0)
18632 {
18633 /* 33222222222211111111110000000000
18634 10987654321098765432109876543210
18635 x0101111xxxxxxxx1100x0xxxxxxxxxx
18636 fmlsl2. */
b731bc3b 18637 return 2059;
ff329288
TC
18638 }
18639 else
18640 {
18641 /* 33222222222211111111110000000000
18642 10987654321098765432109876543210
18643 x1101111xxxxxxxx1100x0xxxxxxxxxx
18644 fmlsl2. */
b731bc3b 18645 return 2063;
ff329288
TC
18646 }
18647 }
18648 }
18649 }
18650 else
18651 {
18652 if (((word >> 14) & 0x1) == 0)
a06ea964 18653 {
65a55fbb 18654 if (((word >> 29) & 0x1) == 0)
a06ea964 18655 {
65a55fbb
TC
18656 if (((word >> 30) & 0x1) == 0)
18657 {
18658 /* 33222222222211111111110000000000
18659 10987654321098765432109876543210
7684e580 18660 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18661 smull. */
193614f2 18662 return 107;
65a55fbb
TC
18663 }
18664 else
18665 {
18666 /* 33222222222211111111110000000000
18667 10987654321098765432109876543210
7684e580 18668 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18669 smull2. */
193614f2 18670 return 108;
65a55fbb 18671 }
a06ea964
NC
18672 }
18673 else
18674 {
65a55fbb
TC
18675 if (((word >> 30) & 0x1) == 0)
18676 {
18677 /* 33222222222211111111110000000000
18678 10987654321098765432109876543210
7684e580 18679 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18680 umull. */
193614f2 18681 return 125;
65a55fbb
TC
18682 }
18683 else
18684 {
18685 /* 33222222222211111111110000000000
18686 10987654321098765432109876543210
7684e580 18687 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 18688 umull2. */
193614f2 18689 return 126;
65a55fbb 18690 }
a06ea964
NC
18691 }
18692 }
ff329288
TC
18693 else
18694 {
18695 if (((word >> 29) & 0x1) == 0)
18696 {
18697 /* 33222222222211111111110000000000
18698 10987654321098765432109876543210
18699 xx001111xxxxxxxx1110x0xxxxxxxxxx
18700 sdot. */
b731bc3b 18701 return 2030;
ff329288
TC
18702 }
18703 else
18704 {
18705 /* 33222222222211111111110000000000
18706 10987654321098765432109876543210
18707 xx101111xxxxxxxx1110x0xxxxxxxxxx
18708 udot. */
b731bc3b 18709 return 2029;
ff329288
TC
18710 }
18711 }
a06ea964 18712 }
ff329288
TC
18713 }
18714 else
18715 {
18716 if (((word >> 13) & 0x1) == 0)
a06ea964 18717 {
ff329288 18718 if (((word >> 14) & 0x1) == 0)
a06ea964 18719 {
42f23f62 18720 if (((word >> 23) & 0x1) == 0)
a06ea964 18721 {
42f23f62
MW
18722 if (((word >> 29) & 0x1) == 0)
18723 {
18724 /* 33222222222211111111110000000000
18725 10987654321098765432109876543210
7684e580 18726 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18727 fmul. */
193614f2 18728 return 118;
42f23f62
MW
18729 }
18730 else
18731 {
18732 /* 33222222222211111111110000000000
18733 10987654321098765432109876543210
7684e580 18734 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18735 fmulx. */
193614f2 18736 return 128;
42f23f62 18737 }
a06ea964
NC
18738 }
18739 else
18740 {
42f23f62
MW
18741 if (((word >> 29) & 0x1) == 0)
18742 {
18743 /* 33222222222211111111110000000000
18744 10987654321098765432109876543210
7684e580 18745 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18746 fmul. */
193614f2 18747 return 117;
42f23f62
MW
18748 }
18749 else
18750 {
18751 /* 33222222222211111111110000000000
18752 10987654321098765432109876543210
7684e580 18753 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18754 fmulx. */
193614f2 18755 return 127;
42f23f62 18756 }
a06ea964
NC
18757 }
18758 }
18759 else
ff329288
TC
18760 {
18761 if (((word >> 29) & 0x1) == 0)
18762 {
18763 /* 33222222222211111111110000000000
18764 10987654321098765432109876543210
18765 xx001111xxxxxxxx1101x0xxxxxxxxxx
18766 sqrdmulh. */
193614f2 18767 return 112;
ff329288
TC
18768 }
18769 else
18770 {
18771 /* 33222222222211111111110000000000
18772 10987654321098765432109876543210
18773 xx101111xxxxxxxx1101x0xxxxxxxxxx
18774 sqrdmlah. */
193614f2 18775 return 129;
ff329288
TC
18776 }
18777 }
18778 }
18779 else
18780 {
18781 if (((word >> 14) & 0x1) == 0)
a06ea964 18782 {
9e1f0fa7
MW
18783 if (((word >> 30) & 0x1) == 0)
18784 {
18785 /* 33222222222211111111110000000000
18786 10987654321098765432109876543210
7684e580 18787 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18788 sqdmull. */
193614f2 18789 return 109;
9e1f0fa7
MW
18790 }
18791 else
18792 {
18793 /* 33222222222211111111110000000000
18794 10987654321098765432109876543210
7684e580 18795 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18796 sqdmull2. */
193614f2 18797 return 110;
9e1f0fa7 18798 }
a06ea964 18799 }
ff329288
TC
18800 else
18801 {
18802 /* 33222222222211111111110000000000
18803 10987654321098765432109876543210
18804 xxx01111xxxxxxxx1111x0xxxxxxxxxx
18805 sqrdmlsh. */
193614f2 18806 return 130;
ff329288 18807 }
a06ea964
NC
18808 }
18809 }
ff329288
TC
18810 }
18811 else
18812 {
18813 if (((word >> 11) & 0x1) == 0)
a06ea964 18814 {
ff329288 18815 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18816 {
18817 if (((word >> 12) & 0x1) == 0)
18818 {
18819 if (((word >> 29) & 0x1) == 0)
18820 {
18821 /* 33222222222211111111110000000000
18822 10987654321098765432109876543210
7684e580 18823 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18824 movi. */
193614f2 18825 return 135;
a06ea964
NC
18826 }
18827 else
18828 {
18829 /* 33222222222211111111110000000000
18830 10987654321098765432109876543210
7684e580 18831 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18832 mvni. */
193614f2 18833 return 143;
a06ea964
NC
18834 }
18835 }
18836 else
18837 {
18838 if (((word >> 29) & 0x1) == 0)
18839 {
18840 /* 33222222222211111111110000000000
18841 10987654321098765432109876543210
7684e580 18842 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18843 orr. */
193614f2 18844 return 136;
a06ea964
NC
18845 }
18846 else
18847 {
18848 /* 33222222222211111111110000000000
18849 10987654321098765432109876543210
7684e580 18850 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18851 bic. */
193614f2 18852 return 144;
a06ea964
NC
18853 }
18854 }
18855 }
18856 else
18857 {
ff329288 18858 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
18859 {
18860 if (((word >> 29) & 0x1) == 0)
18861 {
ff329288
TC
18862 /* 33222222222211111111110000000000
18863 10987654321098765432109876543210
18864 xx001111xxxxxxxx110x01xxxxxxxxxx
18865 movi. */
193614f2 18866 return 137;
a06ea964
NC
18867 }
18868 else
18869 {
ff329288
TC
18870 /* 33222222222211111111110000000000
18871 10987654321098765432109876543210
18872 xx101111xxxxxxxx110x01xxxxxxxxxx
18873 mvni. */
193614f2 18874 return 145;
a06ea964
NC
18875 }
18876 }
18877 else
18878 {
ff329288 18879 if (((word >> 12) & 0x1) == 0)
a06ea964 18880 {
ff329288 18881 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18882 {
18883 /* 33222222222211111111110000000000
18884 10987654321098765432109876543210
ff329288
TC
18885 xx001111xxxxxxxx111001xxxxxxxxxx
18886 movi. */
193614f2 18887 return 138;
a06ea964
NC
18888 }
18889 else
18890 {
18891 /* 33222222222211111111110000000000
18892 10987654321098765432109876543210
ff329288
TC
18893 xx101111xxxxxxxx111001xxxxxxxxxx
18894 movi. */
193614f2 18895 return 146;
a06ea964
NC
18896 }
18897 }
18898 else
18899 {
ff329288 18900 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18901 {
18902 /* 33222222222211111111110000000000
18903 10987654321098765432109876543210
ff329288
TC
18904 xx001111xxxxxxxx111101xxxxxxxxxx
18905 fmov. */
193614f2 18906 return 139;
a06ea964
NC
18907 }
18908 else
18909 {
18910 /* 33222222222211111111110000000000
18911 10987654321098765432109876543210
ff329288
TC
18912 xx101111xxxxxxxx111101xxxxxxxxxx
18913 fmov. */
193614f2 18914 return 148;
a06ea964
NC
18915 }
18916 }
18917 }
18918 }
18919 }
ff329288 18920 else
a06ea964 18921 {
ff329288 18922 if (((word >> 12) & 0x1) == 0)
a06ea964 18923 {
ff329288 18924 if (((word >> 29) & 0x1) == 0)
a06ea964 18925 {
ff329288 18926 if (((word >> 30) & 0x1) == 0)
e9dbdd80
TC
18927 {
18928 /* 33222222222211111111110000000000
18929 10987654321098765432109876543210
ff329288
TC
18930 x0001111xxxxxxxx1xx011xxxxxxxxxx
18931 rshrn. */
193614f2 18932 return 382;
e9dbdd80
TC
18933 }
18934 else
18935 {
ff329288
TC
18936 /* 33222222222211111111110000000000
18937 10987654321098765432109876543210
18938 x1001111xxxxxxxx1xx011xxxxxxxxxx
18939 rshrn2. */
193614f2 18940 return 383;
e9dbdd80 18941 }
a06ea964
NC
18942 }
18943 else
18944 {
ff329288 18945 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18946 {
18947 /* 33222222222211111111110000000000
18948 10987654321098765432109876543210
ff329288
TC
18949 x0101111xxxxxxxx1xx011xxxxxxxxxx
18950 sqrshrun. */
193614f2 18951 return 406;
a06ea964
NC
18952 }
18953 else
18954 {
18955 /* 33222222222211111111110000000000
18956 10987654321098765432109876543210
ff329288
TC
18957 x1101111xxxxxxxx1xx011xxxxxxxxxx
18958 sqrshrun2. */
193614f2 18959 return 407;
a06ea964
NC
18960 }
18961 }
18962 }
18963 else
18964 {
ff329288 18965 if (((word >> 13) & 0x1) == 0)
65a55fbb 18966 {
ff329288 18967 if (((word >> 29) & 0x1) == 0)
a06ea964 18968 {
ff329288 18969 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18970 {
18971 /* 33222222222211111111110000000000
18972 10987654321098765432109876543210
ff329288
TC
18973 x0001111xxxxxxxx1x0111xxxxxxxxxx
18974 sqrshrn. */
193614f2 18975 return 386;
a06ea964
NC
18976 }
18977 else
18978 {
18979 /* 33222222222211111111110000000000
18980 10987654321098765432109876543210
ff329288
TC
18981 x1001111xxxxxxxx1x0111xxxxxxxxxx
18982 sqrshrn2. */
193614f2 18983 return 387;
a06ea964
NC
18984 }
18985 }
18986 else
18987 {
ff329288 18988 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18989 {
18990 /* 33222222222211111111110000000000
18991 10987654321098765432109876543210
ff329288
TC
18992 x0101111xxxxxxxx1x0111xxxxxxxxxx
18993 uqrshrn. */
193614f2 18994 return 410;
a06ea964
NC
18995 }
18996 else
18997 {
18998 /* 33222222222211111111110000000000
18999 10987654321098765432109876543210
ff329288
TC
19000 x1101111xxxxxxxx1x0111xxxxxxxxxx
19001 uqrshrn2. */
193614f2 19002 return 411;
a06ea964
NC
19003 }
19004 }
19005 }
ff329288
TC
19006 else
19007 {
19008 if (((word >> 29) & 0x1) == 0)
19009 {
19010 /* 33222222222211111111110000000000
19011 10987654321098765432109876543210
19012 xx001111xxxxxxxx1x1111xxxxxxxxxx
19013 fmov. */
193614f2 19014 return 140;
ff329288
TC
19015 }
19016 else
19017 {
19018 /* 33222222222211111111110000000000
19019 10987654321098765432109876543210
19020 xx101111xxxxxxxx1x1111xxxxxxxxxx
19021 fcvtzu. */
193614f2 19022 return 418;
ff329288
TC
19023 }
19024 }
a06ea964
NC
19025 }
19026 }
19027 }
19028 }
19029 else
19030 {
19031 if (((word >> 29) & 0x1) == 0)
19032 {
19033 if (((word >> 30) & 0x1) == 0)
19034 {
19035 if (((word >> 21) & 0x1) == 0)
19036 {
19037 /* 33222222222211111111110000000000
19038 10987654321098765432109876543210
7684e580 19039 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 19040 fmsub. */
b731bc3b 19041 return 859;
a06ea964
NC
19042 }
19043 else
19044 {
19045 /* 33222222222211111111110000000000
19046 10987654321098765432109876543210
7684e580 19047 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 19048 fnmsub. */
b731bc3b 19049 return 863;
a06ea964
NC
19050 }
19051 }
19052 else
19053 {
19054 if (((word >> 10) & 0x1) == 0)
19055 {
19056 if (((word >> 12) & 0x1) == 0)
19057 {
19058 /* 33222222222211111111110000000000
19059 10987654321098765432109876543210
7684e580 19060 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 19061 sqdmulh. */
193614f2 19062 return 428;
a06ea964
NC
19063 }
19064 else
19065 {
19066 if (((word >> 13) & 0x1) == 0)
19067 {
19068 if (((word >> 14) & 0x1) == 0)
19069 {
5f7728b7
MW
19070 if (((word >> 23) & 0x1) == 0)
19071 {
19072 /* 33222222222211111111110000000000
19073 10987654321098765432109876543210
7684e580 19074 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19075 fmul. */
193614f2 19076 return 435;
5f7728b7
MW
19077 }
19078 else
19079 {
19080 /* 33222222222211111111110000000000
19081 10987654321098765432109876543210
7684e580 19082 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 19083 fmul. */
193614f2 19084 return 434;
5f7728b7 19085 }
a06ea964
NC
19086 }
19087 else
19088 {
19089 /* 33222222222211111111110000000000
19090 10987654321098765432109876543210
7684e580 19091 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 19092 sqrdmulh. */
193614f2 19093 return 429;
a06ea964
NC
19094 }
19095 }
19096 else
19097 {
19098 /* 33222222222211111111110000000000
19099 10987654321098765432109876543210
7684e580 19100 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 19101 sqdmull. */
193614f2 19102 return 427;
a06ea964
NC
19103 }
19104 }
19105 }
19106 else
19107 {
19108 if (((word >> 11) & 0x1) == 0)
19109 {
19110 if (((word >> 12) & 0x1) == 0)
19111 {
19112 /* 33222222222211111111110000000000
19113 10987654321098765432109876543210
7684e580 19114 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 19115 scvtf. */
193614f2 19116 return 598;
a06ea964
NC
19117 }
19118 else
19119 {
19120 /* 33222222222211111111110000000000
19121 10987654321098765432109876543210
7684e580 19122 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19123 sqshrn. */
193614f2 19124 return 596;
a06ea964
NC
19125 }
19126 }
19127 else
19128 {
19129 if (((word >> 13) & 0x1) == 0)
19130 {
19131 /* 33222222222211111111110000000000
19132 10987654321098765432109876543210
7684e580 19133 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 19134 sqrshrn. */
193614f2 19135 return 597;
a06ea964
NC
19136 }
19137 else
19138 {
19139 /* 33222222222211111111110000000000
19140 10987654321098765432109876543210
7684e580 19141 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 19142 fcvtzs. */
193614f2 19143 return 600;
a06ea964
NC
19144 }
19145 }
19146 }
19147 }
19148 }
19149 else
19150 {
19151 if (((word >> 10) & 0x1) == 0)
19152 {
9e1f0fa7
MW
19153 if (((word >> 13) & 0x1) == 0)
19154 {
19155 if (((word >> 14) & 0x1) == 0)
19156 {
5f7728b7
MW
19157 if (((word >> 23) & 0x1) == 0)
19158 {
19159 /* 33222222222211111111110000000000
19160 10987654321098765432109876543210
7684e580 19161 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19162 fmulx. */
193614f2 19163 return 437;
5f7728b7
MW
19164 }
19165 else
19166 {
19167 /* 33222222222211111111110000000000
19168 10987654321098765432109876543210
7684e580 19169 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 19170 fmulx. */
193614f2 19171 return 436;
5f7728b7 19172 }
9e1f0fa7
MW
19173 }
19174 else
19175 {
19176 /* 33222222222211111111110000000000
19177 10987654321098765432109876543210
7684e580 19178 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 19179 sqrdmlah. */
193614f2 19180 return 438;
9e1f0fa7
MW
19181 }
19182 }
19183 else
19184 {
19185 /* 33222222222211111111110000000000
19186 10987654321098765432109876543210
7684e580 19187 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 19188 sqrdmlsh. */
193614f2 19189 return 439;
9e1f0fa7 19190 }
a06ea964
NC
19191 }
19192 else
19193 {
19194 if (((word >> 11) & 0x1) == 0)
19195 {
19196 if (((word >> 12) & 0x1) == 0)
19197 {
19198 if (((word >> 13) & 0x1) == 0)
19199 {
19200 /* 33222222222211111111110000000000
19201 10987654321098765432109876543210
7684e580 19202 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 19203 sqshrun. */
193614f2 19204 return 610;
a06ea964
NC
19205 }
19206 else
19207 {
19208 /* 33222222222211111111110000000000
19209 10987654321098765432109876543210
7684e580 19210 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 19211 ucvtf. */
193614f2 19212 return 614;
a06ea964
NC
19213 }
19214 }
19215 else
19216 {
19217 /* 33222222222211111111110000000000
19218 10987654321098765432109876543210
7684e580 19219 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 19220 uqshrn. */
193614f2 19221 return 612;
a06ea964
NC
19222 }
19223 }
19224 else
19225 {
19226 if (((word >> 12) & 0x1) == 0)
19227 {
19228 /* 33222222222211111111110000000000
19229 10987654321098765432109876543210
7684e580 19230 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 19231 sqrshrun. */
193614f2 19232 return 611;
a06ea964
NC
19233 }
19234 else
19235 {
19236 if (((word >> 13) & 0x1) == 0)
19237 {
19238 /* 33222222222211111111110000000000
19239 10987654321098765432109876543210
7684e580 19240 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 19241 uqrshrn. */
193614f2 19242 return 613;
a06ea964
NC
19243 }
19244 else
19245 {
19246 /* 33222222222211111111110000000000
19247 10987654321098765432109876543210
7684e580 19248 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 19249 fcvtzu. */
193614f2 19250 return 616;
a06ea964
NC
19251 }
19252 }
19253 }
19254 }
19255 }
19256 }
19257 }
19258 }
19259 }
19260 }
19261 }
19262}
19263
19264/* Lookup opcode WORD in the opcode table. N.B. all alias
19265 opcodes are ignored here. */
19266
19267const aarch64_opcode *
19268aarch64_opcode_lookup (uint32_t word)
19269{
19270 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
19271}
19272
19273const aarch64_opcode *
19274aarch64_find_next_opcode (const aarch64_opcode *opcode)
19275{
19276 /* Use the index as the key to locate the next opcode. */
19277 int key = opcode - aarch64_opcode_table;
19278 int value;
19279 switch (key)
19280 {
193614f2
SD
19281 case 12: value = 19; break; /* add --> addg. */
19282 case 19: return NULL; /* addg --> NULL. */
19283 case 16: value = 20; break; /* sub --> subg. */
19284 case 20: return NULL; /* subg --> NULL. */
b731bc3b
SD
19285 case 958: value = 962; break; /* stnp --> stp. */
19286 case 962: return NULL; /* stp --> NULL. */
19287 case 956: value = 957; break; /* stllrb --> stllrh. */
19288 case 957: return NULL; /* stllrh --> NULL. */
19289 case 959: value = 963; break; /* ldnp --> ldp. */
19290 case 963: return NULL; /* ldp --> NULL. */
19291 case 1606: value = 1607; break; /* ldff1b --> ldff1b. */
19292 case 1607: return NULL; /* ldff1b --> NULL. */
19293 case 1662: value = 1663; break; /* ldff1sw --> ldff1sw. */
19294 case 1663: return NULL; /* ldff1sw --> NULL. */
19295 case 1610: value = 1611; break; /* ldff1b --> ldff1b. */
19296 case 1611: return NULL; /* ldff1b --> NULL. */
19297 case 1629: value = 1630; break; /* ldff1h --> ldff1h. */
19298 case 1630: return NULL; /* ldff1h --> NULL. */
19299 case 1608: value = 1609; break; /* ldff1b --> ldff1b. */
19300 case 1609: return NULL; /* ldff1b --> NULL. */
19301 case 1627: value = 1628; break; /* ldff1h --> ldff1h. */
19302 case 1628: return NULL; /* ldff1h --> NULL. */
19303 case 1612: value = 1613; break; /* ldff1b --> ldff1b. */
19304 case 1613: return NULL; /* ldff1b --> NULL. */
19305 case 1631: value = 1632; break; /* ldff1h --> ldff1h. */
19306 case 1632: return NULL; /* ldff1h --> NULL. */
19307 case 1652: value = 1653; break; /* ldff1sh --> ldff1sh. */
19308 case 1653: return NULL; /* ldff1sh --> NULL. */
19309 case 1640: value = 1641; break; /* ldff1sb --> ldff1sb. */
19310 case 1641: return NULL; /* ldff1sb --> NULL. */
19311 case 1671: value = 1672; break; /* ldff1w --> ldff1w. */
19312 case 1672: return NULL; /* ldff1w --> NULL. */
19313 case 1644: value = 1645; break; /* ldff1sb --> ldff1sb. */
19314 case 1645: return NULL; /* ldff1sb --> NULL. */
19315 case 1654: value = 1655; break; /* ldff1sh --> ldff1sh. */
19316 case 1655: return NULL; /* ldff1sh --> NULL. */
19317 case 1642: value = 1643; break; /* ldff1sb --> ldff1sb. */
19318 case 1643: return NULL; /* ldff1sb --> NULL. */
19319 case 1673: value = 1674; break; /* ldff1w --> ldff1w. */
19320 case 1674: return NULL; /* ldff1w --> NULL. */
19321 case 1618: value = 1619; break; /* ldff1d --> ldff1d. */
19322 case 1619: return NULL; /* ldff1d --> NULL. */
19323 case 810: value = 811; break; /* xaflag --> axflag. */
19324 case 811: value = 1174; break; /* axflag --> msr. */
19325 case 1174: value = 1175; break; /* msr --> hint. */
19326 case 1175: value = 1191; break; /* hint --> clrex. */
19327 case 1191: value = 1192; break; /* clrex --> dsb. */
19328 case 1192: value = 1195; break; /* dsb --> dmb. */
19329 case 1195: value = 1196; break; /* dmb --> isb. */
19330 case 1196: value = 1197; break; /* isb --> sb. */
19331 case 1197: value = 1198; break; /* sb --> sys. */
19332 case 1198: value = 1206; break; /* sys --> msr. */
19333 case 1206: value = 2064; break; /* msr --> cfinv. */
19334 case 2064: return NULL; /* cfinv --> NULL. */
19335 case 1207: value = 1208; break; /* sysl --> mrs. */
19336 case 1208: return NULL; /* mrs --> NULL. */
193614f2
SD
19337 case 440: value = 441; break; /* st4 --> st1. */
19338 case 441: value = 442; break; /* st1 --> st2. */
19339 case 442: value = 443; break; /* st2 --> st3. */
19340 case 443: return NULL; /* st3 --> NULL. */
19341 case 448: value = 449; break; /* st4 --> st1. */
19342 case 449: value = 450; break; /* st1 --> st2. */
19343 case 450: value = 451; break; /* st2 --> st3. */
19344 case 451: return NULL; /* st3 --> NULL. */
19345 case 444: value = 445; break; /* ld4 --> ld1. */
19346 case 445: value = 446; break; /* ld1 --> ld2. */
19347 case 446: value = 447; break; /* ld2 --> ld3. */
19348 case 447: return NULL; /* ld3 --> NULL. */
19349 case 460: value = 462; break; /* ld1 --> ld1r. */
19350 case 462: return NULL; /* ld1r --> NULL. */
19351 case 464: value = 466; break; /* ld2 --> ld2r. */
19352 case 466: return NULL; /* ld2r --> NULL. */
19353 case 461: value = 463; break; /* ld3 --> ld3r. */
19354 case 463: return NULL; /* ld3r --> NULL. */
19355 case 465: value = 467; break; /* ld4 --> ld4r. */
19356 case 467: return NULL; /* ld4r --> NULL. */
19357 case 452: value = 453; break; /* ld4 --> ld1. */
19358 case 453: value = 454; break; /* ld1 --> ld2. */
19359 case 454: value = 455; break; /* ld2 --> ld3. */
19360 case 455: return NULL; /* ld3 --> NULL. */
19361 case 472: value = 474; break; /* ld1 --> ld1r. */
19362 case 474: return NULL; /* ld1r --> NULL. */
19363 case 473: value = 475; break; /* ld3 --> ld3r. */
19364 case 475: return NULL; /* ld3r --> NULL. */
19365 case 476: value = 478; break; /* ld2 --> ld2r. */
19366 case 478: return NULL; /* ld2r --> NULL. */
19367 case 477: value = 479; break; /* ld4 --> ld4r. */
19368 case 479: return NULL; /* ld4r --> NULL. */
b731bc3b
SD
19369 case 763: value = 764; break; /* fcvtzs --> fcvtzs. */
19370 case 764: return NULL; /* fcvtzs --> NULL. */
19371 case 759: value = 760; break; /* scvtf --> scvtf. */
19372 case 760: return NULL; /* scvtf --> NULL. */
19373 case 765: value = 766; break; /* fcvtzu --> fcvtzu. */
19374 case 766: return NULL; /* fcvtzu --> NULL. */
19375 case 761: value = 762; break; /* ucvtf --> ucvtf. */
19376 case 762: return NULL; /* ucvtf --> NULL. */
19377 case 767: value = 768; break; /* fcvtns --> fcvtns. */
19378 case 768: return NULL; /* fcvtns --> NULL. */
19379 case 787: value = 788; break; /* fcvtms --> fcvtms. */
19380 case 788: return NULL; /* fcvtms --> NULL. */
19381 case 783: value = 784; break; /* fcvtps --> fcvtps. */
19382 case 784: return NULL; /* fcvtps --> NULL. */
19383 case 791: value = 792; break; /* fcvtzs --> fcvtzs. */
19384 case 792: return NULL; /* fcvtzs --> NULL. */
19385 case 775: value = 776; break; /* fcvtas --> fcvtas. */
19386 case 776: return NULL; /* fcvtas --> NULL. */
19387 case 771: value = 772; break; /* scvtf --> scvtf. */
19388 case 772: return NULL; /* scvtf --> NULL. */
19389 case 779: value = 780; break; /* fmov --> fmov. */
19390 case 780: return NULL; /* fmov --> NULL. */
19391 case 769: value = 770; break; /* fcvtnu --> fcvtnu. */
19392 case 770: return NULL; /* fcvtnu --> NULL. */
19393 case 789: value = 790; break; /* fcvtmu --> fcvtmu. */
19394 case 790: return NULL; /* fcvtmu --> NULL. */
19395 case 785: value = 786; break; /* fcvtpu --> fcvtpu. */
19396 case 786: return NULL; /* fcvtpu --> NULL. */
19397 case 793: value = 794; break; /* fcvtzu --> fcvtzu. */
19398 case 794: return NULL; /* fcvtzu --> NULL. */
19399 case 777: value = 778; break; /* fcvtau --> fcvtau. */
19400 case 778: return NULL; /* fcvtau --> NULL. */
19401 case 773: value = 774; break; /* ucvtf --> ucvtf. */
19402 case 774: return NULL; /* ucvtf --> NULL. */
19403 case 781: value = 782; break; /* fmov --> fmov. */
19404 case 782: return NULL; /* fmov --> NULL. */
19405 case 816: value = 817; break; /* fmov --> fmov. */
19406 case 817: return NULL; /* fmov --> NULL. */
19407 case 825: value = 826; break; /* frintn --> frintn. */
19408 case 826: return NULL; /* frintn --> NULL. */
19409 case 820: value = 821; break; /* fneg --> fneg. */
19410 case 821: return NULL; /* fneg --> NULL. */
19411 case 829: value = 830; break; /* frintm --> frintm. */
19412 case 830: return NULL; /* frintm --> NULL. */
19413 case 818: value = 819; break; /* fabs --> fabs. */
19414 case 819: return NULL; /* fabs --> NULL. */
19415 case 827: value = 828; break; /* frintp --> frintp. */
19416 case 828: return NULL; /* frintp --> NULL. */
19417 case 822: value = 823; break; /* fsqrt --> fsqrt. */
19418 case 823: return NULL; /* fsqrt --> NULL. */
19419 case 831: value = 832; break; /* frintz --> frintz. */
19420 case 832: return NULL; /* frintz --> NULL. */
19421 case 833: value = 834; break; /* frinta --> frinta. */
19422 case 834: return NULL; /* frinta --> NULL. */
19423 case 835: value = 836; break; /* frintx --> frintx. */
19424 case 836: return NULL; /* frintx --> NULL. */
19425 case 837: value = 838; break; /* frinti --> frinti. */
19426 case 838: return NULL; /* frinti --> NULL. */
19427 case 802: value = 803; break; /* fcmp --> fcmp. */
19428 case 803: return NULL; /* fcmp --> NULL. */
19429 case 804: value = 805; break; /* fcmpe --> fcmpe. */
19430 case 805: return NULL; /* fcmpe --> NULL. */
19431 case 806: value = 807; break; /* fcmp --> fcmp. */
19432 case 807: return NULL; /* fcmp --> NULL. */
19433 case 808: value = 809; break; /* fcmpe --> fcmpe. */
19434 case 809: return NULL; /* fcmpe --> NULL. */
19435 case 865: value = 866; break; /* fmov --> fmov. */
19436 case 866: return NULL; /* fmov --> NULL. */
19437 case 839: value = 840; break; /* fmul --> fmul. */
19438 case 840: return NULL; /* fmul --> NULL. */
19439 case 855: value = 856; break; /* fnmul --> fnmul. */
19440 case 856: return NULL; /* fnmul --> NULL. */
19441 case 847: value = 848; break; /* fmax --> fmax. */
19442 case 848: return NULL; /* fmax --> NULL. */
19443 case 843: value = 844; break; /* fadd --> fadd. */
19444 case 844: return NULL; /* fadd --> NULL. */
19445 case 851: value = 852; break; /* fmaxnm --> fmaxnm. */
19446 case 852: return NULL; /* fmaxnm --> NULL. */
19447 case 841: value = 842; break; /* fdiv --> fdiv. */
19448 case 842: return NULL; /* fdiv --> NULL. */
19449 case 849: value = 850; break; /* fmin --> fmin. */
19450 case 850: return NULL; /* fmin --> NULL. */
19451 case 845: value = 846; break; /* fsub --> fsub. */
19452 case 846: return NULL; /* fsub --> NULL. */
19453 case 853: value = 854; break; /* fminnm --> fminnm. */
19454 case 854: return NULL; /* fminnm --> NULL. */
19455 case 798: value = 799; break; /* fccmp --> fccmp. */
19456 case 799: return NULL; /* fccmp --> NULL. */
19457 case 800: value = 801; break; /* fccmpe --> fccmpe. */
19458 case 801: return NULL; /* fccmpe --> NULL. */
19459 case 867: value = 868; break; /* fcsel --> fcsel. */
19460 case 868: return NULL; /* fcsel --> NULL. */
193614f2
SD
19461 case 133: value = 374; break; /* movi --> sshr. */
19462 case 374: value = 376; break; /* sshr --> srshr. */
19463 case 376: return NULL; /* srshr --> NULL. */
19464 case 141: value = 396; break; /* mvni --> ushr. */
19465 case 396: value = 398; break; /* ushr --> urshr. */
19466 case 398: value = 400; break; /* urshr --> sri. */
19467 case 400: value = 402; break; /* sri --> sqshlu. */
19468 case 402: return NULL; /* sqshlu --> NULL. */
19469 case 134: value = 375; break; /* orr --> ssra. */
19470 case 375: value = 377; break; /* ssra --> srsra. */
19471 case 377: value = 378; break; /* srsra --> shl. */
19472 case 378: value = 379; break; /* shl --> sqshl. */
19473 case 379: return NULL; /* sqshl --> NULL. */
19474 case 142: value = 397; break; /* bic --> usra. */
19475 case 397: value = 399; break; /* usra --> ursra. */
19476 case 399: value = 401; break; /* ursra --> sli. */
19477 case 401: value = 403; break; /* sli --> uqshl. */
19478 case 403: return NULL; /* uqshl --> NULL. */
b731bc3b
SD
19479 case 857: value = 858; break; /* fmadd --> fmadd. */
19480 case 858: return NULL; /* fmadd --> NULL. */
19481 case 861: value = 862; break; /* fnmadd --> fnmadd. */
19482 case 862: return NULL; /* fnmadd --> NULL. */
193614f2
SD
19483 case 135: value = 380; break; /* movi --> shrn. */
19484 case 380: value = 381; break; /* shrn --> shrn2. */
19485 case 381: value = 388; break; /* shrn2 --> sshll. */
19486 case 388: value = 390; break; /* sshll --> sshll2. */
19487 case 390: return NULL; /* sshll2 --> NULL. */
19488 case 143: value = 404; break; /* mvni --> sqshrun. */
19489 case 404: value = 405; break; /* sqshrun --> sqshrun2. */
19490 case 405: value = 412; break; /* sqshrun2 --> ushll. */
19491 case 412: value = 414; break; /* ushll --> ushll2. */
19492 case 414: return NULL; /* ushll2 --> NULL. */
19493 case 136: value = 384; break; /* orr --> sqshrn. */
19494 case 384: value = 385; break; /* sqshrn --> sqshrn2. */
19495 case 385: return NULL; /* sqshrn2 --> NULL. */
19496 case 144: value = 408; break; /* bic --> uqshrn. */
19497 case 408: value = 409; break; /* uqshrn --> uqshrn2. */
19498 case 409: return NULL; /* uqshrn2 --> NULL. */
19499 case 138: value = 392; break; /* movi --> scvtf. */
19500 case 392: value = 393; break; /* scvtf --> scvtf. */
19501 case 393: return NULL; /* scvtf --> NULL. */
19502 case 146: value = 147; break; /* movi --> movi. */
19503 case 147: value = 416; break; /* movi --> ucvtf. */
19504 case 416: value = 417; break; /* ucvtf --> ucvtf. */
19505 case 417: return NULL; /* ucvtf --> NULL. */
19506 case 140: value = 394; break; /* fmov --> fcvtzs. */
19507 case 394: value = 395; break; /* fcvtzs --> fcvtzs. */
19508 case 395: return NULL; /* fcvtzs --> NULL. */
19509 case 418: value = 419; break; /* fcvtzu --> fcvtzu. */
19510 case 419: return NULL; /* fcvtzu --> NULL. */
b731bc3b
SD
19511 case 859: value = 860; break; /* fmsub --> fmsub. */
19512 case 860: return NULL; /* fmsub --> NULL. */
19513 case 863: value = 864; break; /* fnmsub --> fnmsub. */
19514 case 864: return NULL; /* fnmsub --> NULL. */
193614f2
SD
19515 case 598: value = 599; break; /* scvtf --> scvtf. */
19516 case 599: return NULL; /* scvtf --> NULL. */
19517 case 600: value = 601; break; /* fcvtzs --> fcvtzs. */
19518 case 601: return NULL; /* fcvtzs --> NULL. */
19519 case 614: value = 615; break; /* ucvtf --> ucvtf. */
19520 case 615: return NULL; /* ucvtf --> NULL. */
19521 case 616: value = 617; break; /* fcvtzu --> fcvtzu. */
19522 case 617: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
19523 default: return NULL;
19524 }
19525
19526 return aarch64_opcode_table + value;
19527}
19528
19529const aarch64_opcode *
19530aarch64_find_alias_opcode (const aarch64_opcode *opcode)
19531{
19532 /* Use the index as the key to locate the alias opcode. */
19533 int key = opcode - aarch64_opcode_table;
19534 int value;
19535 switch (key)
19536 {
19537 case 2: value = 3; break; /* sbc --> ngc. */
19538 case 4: value = 5; break; /* sbcs --> ngcs. */
19539 case 7: value = 8; break; /* adds --> cmn. */
19540 case 10: value = 11; break; /* subs --> cmp. */
19541 case 12: value = 13; break; /* add --> mov. */
19542 case 14: value = 15; break; /* adds --> cmn. */
19543 case 17: value = 18; break; /* subs --> cmp. */
193614f2
SD
19544 case 22: value = 23; break; /* adds --> cmn. */
19545 case 24: value = 25; break; /* sub --> neg. */
19546 case 26: value = 27; break; /* subs --> cmp. */
19547 case 152: value = 153; break; /* umov --> mov. */
c2c4ff8d 19548 case 154: value = 155; break; /* ins --> mov. */
193614f2
SD
19549 case 156: value = 157; break; /* ins --> mov. */
19550 case 242: value = 243; break; /* not --> mvn. */
19551 case 317: value = 318; break; /* orr --> mov. */
19552 case 388: value = 389; break; /* sshll --> sxtl. */
19553 case 390: value = 391; break; /* sshll2 --> sxtl2. */
19554 case 412: value = 413; break; /* ushll --> uxtl. */
19555 case 414: value = 415; break; /* ushll2 --> uxtl2. */
19556 case 535: value = 536; break; /* dup --> mov. */
19557 case 618: value = 623; break; /* sbfm --> sxtw. */
19558 case 625: value = 627; break; /* bfm --> bfc. */
19559 case 629: value = 633; break; /* ubfm --> uxth. */
19560 case 663: value = 665; break; /* csinc --> cset. */
19561 case 666: value = 668; break; /* csinv --> csetm. */
19562 case 669: value = 670; break; /* csneg --> cneg. */
19563 case 688: value = 688; break; /* rev --> rev. */
19564 case 713: value = 714; break; /* lslv --> lsl. */
19565 case 715: value = 716; break; /* lsrv --> lsr. */
19566 case 717: value = 718; break; /* asrv --> asr. */
19567 case 719: value = 720; break; /* rorv --> ror. */
b731bc3b
SD
19568 case 722: value = 723; break; /* subps --> cmpp. */
19569 case 735: value = 736; break; /* madd --> mul. */
19570 case 737: value = 738; break; /* msub --> mneg. */
19571 case 739: value = 740; break; /* smaddl --> smull. */
19572 case 741: value = 742; break; /* smsubl --> smnegl. */
19573 case 744: value = 745; break; /* umaddl --> umull. */
19574 case 746: value = 747; break; /* umsubl --> umnegl. */
19575 case 757: value = 758; break; /* extr --> ror. */
19576 case 976: value = 977; break; /* and --> bic. */
19577 case 978: value = 979; break; /* orr --> mov. */
19578 case 981: value = 982; break; /* ands --> tst. */
19579 case 985: value = 987; break; /* orr --> uxtw. */
19580 case 988: value = 989; break; /* orn --> mvn. */
19581 case 992: value = 993; break; /* ands --> tst. */
19582 case 1023: value = 1119; break; /* ldaddb --> staddb. */
19583 case 1024: value = 1120; break; /* ldaddh --> staddh. */
19584 case 1025: value = 1121; break; /* ldadd --> stadd. */
19585 case 1027: value = 1122; break; /* ldaddlb --> staddlb. */
19586 case 1030: value = 1123; break; /* ldaddlh --> staddlh. */
19587 case 1033: value = 1124; break; /* ldaddl --> staddl. */
19588 case 1035: value = 1125; break; /* ldclrb --> stclrb. */
19589 case 1036: value = 1126; break; /* ldclrh --> stclrh. */
19590 case 1037: value = 1127; break; /* ldclr --> stclr. */
19591 case 1039: value = 1128; break; /* ldclrlb --> stclrlb. */
19592 case 1042: value = 1129; break; /* ldclrlh --> stclrlh. */
19593 case 1045: value = 1130; break; /* ldclrl --> stclrl. */
19594 case 1047: value = 1131; break; /* ldeorb --> steorb. */
19595 case 1048: value = 1132; break; /* ldeorh --> steorh. */
19596 case 1049: value = 1133; break; /* ldeor --> steor. */
19597 case 1051: value = 1134; break; /* ldeorlb --> steorlb. */
19598 case 1054: value = 1135; break; /* ldeorlh --> steorlh. */
19599 case 1057: value = 1136; break; /* ldeorl --> steorl. */
19600 case 1059: value = 1137; break; /* ldsetb --> stsetb. */
19601 case 1060: value = 1138; break; /* ldseth --> stseth. */
19602 case 1061: value = 1139; break; /* ldset --> stset. */
19603 case 1063: value = 1140; break; /* ldsetlb --> stsetlb. */
19604 case 1066: value = 1141; break; /* ldsetlh --> stsetlh. */
19605 case 1069: value = 1142; break; /* ldsetl --> stsetl. */
19606 case 1071: value = 1143; break; /* ldsmaxb --> stsmaxb. */
19607 case 1072: value = 1144; break; /* ldsmaxh --> stsmaxh. */
19608 case 1073: value = 1145; break; /* ldsmax --> stsmax. */
19609 case 1075: value = 1146; break; /* ldsmaxlb --> stsmaxlb. */
19610 case 1078: value = 1147; break; /* ldsmaxlh --> stsmaxlh. */
19611 case 1081: value = 1148; break; /* ldsmaxl --> stsmaxl. */
19612 case 1083: value = 1149; break; /* ldsminb --> stsminb. */
19613 case 1084: value = 1150; break; /* ldsminh --> stsminh. */
19614 case 1085: value = 1151; break; /* ldsmin --> stsmin. */
19615 case 1087: value = 1152; break; /* ldsminlb --> stsminlb. */
19616 case 1090: value = 1153; break; /* ldsminlh --> stsminlh. */
19617 case 1093: value = 1154; break; /* ldsminl --> stsminl. */
19618 case 1095: value = 1155; break; /* ldumaxb --> stumaxb. */
19619 case 1096: value = 1156; break; /* ldumaxh --> stumaxh. */
19620 case 1097: value = 1157; break; /* ldumax --> stumax. */
19621 case 1099: value = 1158; break; /* ldumaxlb --> stumaxlb. */
19622 case 1102: value = 1159; break; /* ldumaxlh --> stumaxlh. */
19623 case 1105: value = 1160; break; /* ldumaxl --> stumaxl. */
19624 case 1107: value = 1161; break; /* lduminb --> stuminb. */
19625 case 1108: value = 1162; break; /* lduminh --> stuminh. */
19626 case 1109: value = 1163; break; /* ldumin --> stumin. */
19627 case 1111: value = 1164; break; /* lduminlb --> stuminlb. */
19628 case 1114: value = 1165; break; /* lduminlh --> stuminlh. */
19629 case 1117: value = 1166; break; /* lduminl --> stuminl. */
19630 case 1167: value = 1168; break; /* movn --> mov. */
19631 case 1169: value = 1170; break; /* movz --> mov. */
19632 case 1175: value = 1216; break; /* hint --> autibsp. */
19633 case 1192: value = 1194; break; /* dsb --> pssbb. */
19634 case 1198: value = 1205; break; /* sys --> cpp. */
19635 case 1264: value = 2014; break; /* and --> bic. */
19636 case 1266: value = 1247; break; /* and --> mov. */
19637 case 1267: value = 1251; break; /* ands --> movs. */
19638 case 1302: value = 2015; break; /* cmpge --> cmple. */
19639 case 1305: value = 2018; break; /* cmpgt --> cmplt. */
19640 case 1307: value = 2016; break; /* cmphi --> cmplo. */
19641 case 1310: value = 2017; break; /* cmphs --> cmpls. */
19642 case 1332: value = 1244; break; /* cpy --> mov. */
19643 case 1333: value = 1246; break; /* cpy --> mov. */
19644 case 1334: value = 2025; break; /* cpy --> fmov. */
19645 case 1346: value = 1239; break; /* dup --> mov. */
19646 case 1347: value = 1241; break; /* dup --> mov. */
19647 case 1348: value = 2024; break; /* dup --> fmov. */
19648 case 1349: value = 1242; break; /* dupm --> mov. */
19649 case 1351: value = 2019; break; /* eor --> eon. */
19650 case 1353: value = 1252; break; /* eor --> not. */
19651 case 1354: value = 1253; break; /* eors --> nots. */
19652 case 1359: value = 2020; break; /* facge --> facle. */
19653 case 1360: value = 2021; break; /* facgt --> faclt. */
19654 case 1373: value = 2022; break; /* fcmge --> fcmle. */
19655 case 1375: value = 2023; break; /* fcmgt --> fcmlt. */
19656 case 1381: value = 1236; break; /* fcpy --> fmov. */
19657 case 1404: value = 1235; break; /* fdup --> fmov. */
193614f2 19658 case 1735: value = 1237; break; /* orr --> mov. */
b731bc3b
SD
19659 case 1736: value = 2026; break; /* orr --> orn. */
19660 case 1738: value = 1240; break; /* orr --> mov. */
19661 case 1739: value = 1250; break; /* orrs --> movs. */
19662 case 1801: value = 1245; break; /* sel --> mov. */
19663 case 1802: value = 1248; break; /* sel --> mov. */
a06ea964
NC
19664 default: return NULL;
19665 }
19666
19667 return aarch64_opcode_table + value;
19668}
19669
19670const aarch64_opcode *
19671aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
19672{
19673 /* Use the index as the key to locate the next opcode. */
19674 int key = opcode - aarch64_opcode_table;
19675 int value;
19676 switch (key)
19677 {
35822b38
MW
19678 case 3: value = 2; break; /* ngc --> sbc. */
19679 case 5: value = 4; break; /* ngcs --> sbcs. */
19680 case 8: value = 7; break; /* cmn --> adds. */
19681 case 11: value = 10; break; /* cmp --> subs. */
19682 case 13: value = 12; break; /* mov --> add. */
19683 case 15: value = 14; break; /* cmn --> adds. */
19684 case 18: value = 17; break; /* cmp --> subs. */
193614f2
SD
19685 case 23: value = 22; break; /* cmn --> adds. */
19686 case 25: value = 24; break; /* neg --> sub. */
19687 case 27: value = 28; break; /* cmp --> negs. */
19688 case 28: value = 26; break; /* negs --> subs. */
19689 case 153: value = 152; break; /* mov --> umov. */
c2c4ff8d 19690 case 155: value = 154; break; /* mov --> ins. */
193614f2
SD
19691 case 157: value = 156; break; /* mov --> ins. */
19692 case 243: value = 242; break; /* mvn --> not. */
19693 case 318: value = 317; break; /* mov --> orr. */
19694 case 389: value = 388; break; /* sxtl --> sshll. */
19695 case 391: value = 390; break; /* sxtl2 --> sshll2. */
19696 case 413: value = 412; break; /* uxtl --> ushll. */
19697 case 415: value = 414; break; /* uxtl2 --> ushll2. */
19698 case 536: value = 535; break; /* mov --> dup. */
19699 case 623: value = 622; break; /* sxtw --> sxth. */
19700 case 622: value = 621; break; /* sxth --> sxtb. */
19701 case 621: value = 624; break; /* sxtb --> asr. */
19702 case 624: value = 620; break; /* asr --> sbfx. */
19703 case 620: value = 619; break; /* sbfx --> sbfiz. */
19704 case 619: value = 618; break; /* sbfiz --> sbfm. */
19705 case 627: value = 628; break; /* bfc --> bfxil. */
19706 case 628: value = 626; break; /* bfxil --> bfi. */
19707 case 626: value = 625; break; /* bfi --> bfm. */
19708 case 633: value = 632; break; /* uxth --> uxtb. */
19709 case 632: value = 635; break; /* uxtb --> lsr. */
19710 case 635: value = 634; break; /* lsr --> lsl. */
19711 case 634: value = 631; break; /* lsl --> ubfx. */
19712 case 631: value = 630; break; /* ubfx --> ubfiz. */
19713 case 630: value = 629; break; /* ubfiz --> ubfm. */
19714 case 665: value = 664; break; /* cset --> cinc. */
19715 case 664: value = 663; break; /* cinc --> csinc. */
19716 case 668: value = 667; break; /* csetm --> cinv. */
19717 case 667: value = 666; break; /* cinv --> csinv. */
19718 case 670: value = 669; break; /* cneg --> csneg. */
19719 case 688: value = 689; break; /* rev --> rev64. */
19720 case 714: value = 713; break; /* lsl --> lslv. */
19721 case 716: value = 715; break; /* lsr --> lsrv. */
19722 case 718: value = 717; break; /* asr --> asrv. */
19723 case 720: value = 719; break; /* ror --> rorv. */
b731bc3b
SD
19724 case 723: value = 722; break; /* cmpp --> subps. */
19725 case 736: value = 735; break; /* mul --> madd. */
19726 case 738: value = 737; break; /* mneg --> msub. */
19727 case 740: value = 739; break; /* smull --> smaddl. */
19728 case 742: value = 741; break; /* smnegl --> smsubl. */
19729 case 745: value = 744; break; /* umull --> umaddl. */
19730 case 747: value = 746; break; /* umnegl --> umsubl. */
19731 case 758: value = 757; break; /* ror --> extr. */
19732 case 977: value = 976; break; /* bic --> and. */
19733 case 979: value = 978; break; /* mov --> orr. */
19734 case 982: value = 981; break; /* tst --> ands. */
19735 case 987: value = 986; break; /* uxtw --> mov. */
19736 case 986: value = 985; break; /* mov --> orr. */
19737 case 989: value = 988; break; /* mvn --> orn. */
19738 case 993: value = 992; break; /* tst --> ands. */
19739 case 1119: value = 1023; break; /* staddb --> ldaddb. */
19740 case 1120: value = 1024; break; /* staddh --> ldaddh. */
19741 case 1121: value = 1025; break; /* stadd --> ldadd. */
19742 case 1122: value = 1027; break; /* staddlb --> ldaddlb. */
19743 case 1123: value = 1030; break; /* staddlh --> ldaddlh. */
19744 case 1124: value = 1033; break; /* staddl --> ldaddl. */
19745 case 1125: value = 1035; break; /* stclrb --> ldclrb. */
19746 case 1126: value = 1036; break; /* stclrh --> ldclrh. */
19747 case 1127: value = 1037; break; /* stclr --> ldclr. */
19748 case 1128: value = 1039; break; /* stclrlb --> ldclrlb. */
19749 case 1129: value = 1042; break; /* stclrlh --> ldclrlh. */
19750 case 1130: value = 1045; break; /* stclrl --> ldclrl. */
19751 case 1131: value = 1047; break; /* steorb --> ldeorb. */
19752 case 1132: value = 1048; break; /* steorh --> ldeorh. */
19753 case 1133: value = 1049; break; /* steor --> ldeor. */
19754 case 1134: value = 1051; break; /* steorlb --> ldeorlb. */
19755 case 1135: value = 1054; break; /* steorlh --> ldeorlh. */
19756 case 1136: value = 1057; break; /* steorl --> ldeorl. */
19757 case 1137: value = 1059; break; /* stsetb --> ldsetb. */
19758 case 1138: value = 1060; break; /* stseth --> ldseth. */
19759 case 1139: value = 1061; break; /* stset --> ldset. */
19760 case 1140: value = 1063; break; /* stsetlb --> ldsetlb. */
19761 case 1141: value = 1066; break; /* stsetlh --> ldsetlh. */
19762 case 1142: value = 1069; break; /* stsetl --> ldsetl. */
19763 case 1143: value = 1071; break; /* stsmaxb --> ldsmaxb. */
19764 case 1144: value = 1072; break; /* stsmaxh --> ldsmaxh. */
19765 case 1145: value = 1073; break; /* stsmax --> ldsmax. */
19766 case 1146: value = 1075; break; /* stsmaxlb --> ldsmaxlb. */
19767 case 1147: value = 1078; break; /* stsmaxlh --> ldsmaxlh. */
19768 case 1148: value = 1081; break; /* stsmaxl --> ldsmaxl. */
19769 case 1149: value = 1083; break; /* stsminb --> ldsminb. */
19770 case 1150: value = 1084; break; /* stsminh --> ldsminh. */
19771 case 1151: value = 1085; break; /* stsmin --> ldsmin. */
19772 case 1152: value = 1087; break; /* stsminlb --> ldsminlb. */
19773 case 1153: value = 1090; break; /* stsminlh --> ldsminlh. */
19774 case 1154: value = 1093; break; /* stsminl --> ldsminl. */
19775 case 1155: value = 1095; break; /* stumaxb --> ldumaxb. */
19776 case 1156: value = 1096; break; /* stumaxh --> ldumaxh. */
19777 case 1157: value = 1097; break; /* stumax --> ldumax. */
19778 case 1158: value = 1099; break; /* stumaxlb --> ldumaxlb. */
19779 case 1159: value = 1102; break; /* stumaxlh --> ldumaxlh. */
19780 case 1160: value = 1105; break; /* stumaxl --> ldumaxl. */
19781 case 1161: value = 1107; break; /* stuminb --> lduminb. */
19782 case 1162: value = 1108; break; /* stuminh --> lduminh. */
19783 case 1163: value = 1109; break; /* stumin --> ldumin. */
19784 case 1164: value = 1111; break; /* stuminlb --> lduminlb. */
19785 case 1165: value = 1114; break; /* stuminlh --> lduminlh. */
19786 case 1166: value = 1117; break; /* stuminl --> lduminl. */
19787 case 1168: value = 1167; break; /* mov --> movn. */
19788 case 1170: value = 1169; break; /* mov --> movz. */
19789 case 1216: value = 1215; break; /* autibsp --> autibz. */
19790 case 1215: value = 1214; break; /* autibz --> autiasp. */
19791 case 1214: value = 1213; break; /* autiasp --> autiaz. */
19792 case 1213: value = 1212; break; /* autiaz --> pacibsp. */
19793 case 1212: value = 1211; break; /* pacibsp --> pacibz. */
19794 case 1211: value = 1210; break; /* pacibz --> paciasp. */
19795 case 1210: value = 1209; break; /* paciasp --> paciaz. */
19796 case 1209: value = 1190; break; /* paciaz --> psb. */
19797 case 1190: value = 1189; break; /* psb --> esb. */
19798 case 1189: value = 1188; break; /* esb --> autib1716. */
19799 case 1188: value = 1187; break; /* autib1716 --> autia1716. */
19800 case 1187: value = 1186; break; /* autia1716 --> pacib1716. */
19801 case 1186: value = 1185; break; /* pacib1716 --> pacia1716. */
19802 case 1185: value = 1184; break; /* pacia1716 --> xpaclri. */
19803 case 1184: value = 1183; break; /* xpaclri --> sevl. */
19804 case 1183: value = 1182; break; /* sevl --> sev. */
19805 case 1182: value = 1181; break; /* sev --> wfi. */
19806 case 1181: value = 1180; break; /* wfi --> wfe. */
19807 case 1180: value = 1179; break; /* wfe --> yield. */
19808 case 1179: value = 1178; break; /* yield --> bti. */
19809 case 1178: value = 1177; break; /* bti --> csdb. */
19810 case 1177: value = 1176; break; /* csdb --> nop. */
19811 case 1176: value = 1175; break; /* nop --> hint. */
19812 case 1194: value = 1193; break; /* pssbb --> ssbb. */
19813 case 1193: value = 1192; break; /* ssbb --> dsb. */
19814 case 1205: value = 1204; break; /* cpp --> dvp. */
19815 case 1204: value = 1203; break; /* dvp --> cfp. */
19816 case 1203: value = 1202; break; /* cfp --> tlbi. */
19817 case 1202: value = 1201; break; /* tlbi --> ic. */
19818 case 1201: value = 1200; break; /* ic --> dc. */
19819 case 1200: value = 1199; break; /* dc --> at. */
19820 case 1199: value = 1198; break; /* at --> sys. */
19821 case 2014: value = 1264; break; /* bic --> and. */
19822 case 1247: value = 1266; break; /* mov --> and. */
19823 case 1251: value = 1267; break; /* movs --> ands. */
19824 case 2015: value = 1302; break; /* cmple --> cmpge. */
19825 case 2018: value = 1305; break; /* cmplt --> cmpgt. */
19826 case 2016: value = 1307; break; /* cmplo --> cmphi. */
19827 case 2017: value = 1310; break; /* cmpls --> cmphs. */
19828 case 1244: value = 1332; break; /* mov --> cpy. */
19829 case 1246: value = 1333; break; /* mov --> cpy. */
19830 case 2025: value = 1249; break; /* fmov --> mov. */
19831 case 1249: value = 1334; break; /* mov --> cpy. */
19832 case 1239: value = 1346; break; /* mov --> dup. */
19833 case 1241: value = 1238; break; /* mov --> mov. */
19834 case 1238: value = 1347; break; /* mov --> dup. */
19835 case 2024: value = 1243; break; /* fmov --> mov. */
19836 case 1243: value = 1348; break; /* mov --> dup. */
19837 case 1242: value = 1349; break; /* mov --> dupm. */
19838 case 2019: value = 1351; break; /* eon --> eor. */
19839 case 1252: value = 1353; break; /* not --> eor. */
19840 case 1253: value = 1354; break; /* nots --> eors. */
19841 case 2020: value = 1359; break; /* facle --> facge. */
19842 case 2021: value = 1360; break; /* faclt --> facgt. */
19843 case 2022: value = 1373; break; /* fcmle --> fcmge. */
19844 case 2023: value = 1375; break; /* fcmlt --> fcmgt. */
19845 case 1236: value = 1381; break; /* fmov --> fcpy. */
19846 case 1235: value = 1404; break; /* fmov --> fdup. */
193614f2 19847 case 1237: value = 1735; break; /* mov --> orr. */
b731bc3b
SD
19848 case 2026: value = 1736; break; /* orn --> orr. */
19849 case 1240: value = 1738; break; /* mov --> orr. */
19850 case 1250: value = 1739; break; /* movs --> orrs. */
19851 case 1245: value = 1801; break; /* mov --> sel. */
19852 case 1248: value = 1802; break; /* mov --> sel. */
a06ea964
NC
19853 default: return NULL;
19854 }
19855
19856 return aarch64_opcode_table + value;
19857}
19858
561a72d4 19859bfd_boolean
a06ea964
NC
19860aarch64_extract_operand (const aarch64_operand *self,
19861 aarch64_opnd_info *info,
561a72d4
TC
19862 aarch64_insn code, const aarch64_inst *inst,
19863 aarch64_operand_error *errors)
a06ea964
NC
19864{
19865 /* Use the index as the key. */
19866 int key = self - aarch64_operands;
19867 switch (key)
19868 {
19869 case 1:
19870 case 2:
19871 case 3:
19872 case 4:
19873 case 5:
19874 case 6:
19875 case 7:
19876 case 9:
19877 case 10:
c84364ec 19878 case 11:
a06ea964
NC
19879 case 15:
19880 case 16:
ee804238 19881 case 17:
c84364ec 19882 case 18:
a06ea964
NC
19883 case 20:
19884 case 21:
19885 case 22:
19886 case 23:
19887 case 24:
19888 case 25:
19889 case 26:
ee804238 19890 case 27:
c84364ec 19891 case 28:
f42f1a1d 19892 case 29:
f42f1a1d
TC
19893 case 157:
19894 case 158:
19895 case 159:
19896 case 160:
c8d59609 19897 case 161:
369c9167 19898 case 162:
2ac435d4 19899 case 163:
ff605452 19900 case 164:
193614f2
SD
19901 case 165:
19902 case 166:
f42f1a1d
TC
19903 case 179:
19904 case 180:
582e12bf 19905 case 181:
c8d59609 19906 case 182:
369c9167 19907 case 183:
2ac435d4 19908 case 184:
ff605452 19909 case 185:
193614f2
SD
19910 case 186:
19911 case 187:
19912 case 191:
19913 case 194:
561a72d4 19914 return aarch64_ext_regno (self, info, code, inst, errors);
a06ea964 19915 case 8:
561a72d4 19916 return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
a06ea964 19917 case 12:
561a72d4 19918 return aarch64_ext_regno_pair (self, info, code, inst, errors);
ee804238 19919 case 13:
561a72d4 19920 return aarch64_ext_reg_extended (self, info, code, inst, errors);
c84364ec 19921 case 14:
561a72d4 19922 return aarch64_ext_reg_shifted (self, info, code, inst, errors);
c84364ec 19923 case 19:
561a72d4 19924 return aarch64_ext_ft (self, info, code, inst, errors);
a06ea964 19925 case 30:
a06ea964 19926 case 31:
a06ea964 19927 case 32:
a06ea964 19928 case 33:
193614f2 19929 case 196:
369c9167 19930 return aarch64_ext_reglane (self, info, code, inst, errors);
ee804238 19931 case 34:
369c9167 19932 return aarch64_ext_reglist (self, info, code, inst, errors);
c84364ec 19933 case 35:
369c9167 19934 return aarch64_ext_ldst_reglist (self, info, code, inst, errors);
a6a51754 19935 case 36:
369c9167 19936 return aarch64_ext_ldst_reglist_r (self, info, code, inst, errors);
a6a51754 19937 case 37:
369c9167 19938 return aarch64_ext_ldst_elemlist (self, info, code, inst, errors);
c84364ec 19939 case 38:
f42f1a1d
TC
19940 case 39:
19941 case 40:
369c9167 19942 case 41:
a06ea964
NC
19943 case 51:
19944 case 52:
19945 case 53:
19946 case 54:
19947 case 55:
19948 case 56:
19949 case 57:
ee804238 19950 case 58:
e950b345 19951 case 59:
c84364ec 19952 case 60:
f42f1a1d
TC
19953 case 61:
19954 case 62:
19955 case 63:
369c9167 19956 case 64:
193614f2
SD
19957 case 65:
19958 case 66:
f42f1a1d
TC
19959 case 77:
19960 case 78:
369c9167 19961 case 79:
193614f2
SD
19962 case 80:
19963 case 81:
ff605452 19964 case 154:
193614f2 19965 case 156:
f42f1a1d
TC
19966 case 171:
19967 case 172:
c8d59609 19968 case 173:
369c9167 19969 case 174:
2ac435d4 19970 case 175:
ff605452 19971 case 176:
193614f2
SD
19972 case 177:
19973 case 178:
561a72d4 19974 return aarch64_ext_imm (self, info, code, inst, errors);
a06ea964 19975 case 42:
ee804238 19976 case 43:
369c9167 19977 return aarch64_ext_advsimd_imm_shift (self, info, code, inst, errors);
c84364ec 19978 case 44:
f42f1a1d 19979 case 45:
f42f1a1d 19980 case 46:
369c9167
TC
19981 return aarch64_ext_advsimd_imm_modified (self, info, code, inst, errors);
19982 case 47:
561a72d4 19983 return aarch64_ext_shll_imm (self, info, code, inst, errors);
369c9167 19984 case 50:
193614f2 19985 case 145:
561a72d4 19986 return aarch64_ext_fpimm (self, info, code, inst, errors);
193614f2
SD
19987 case 67:
19988 case 152:
369c9167 19989 return aarch64_ext_limm (self, info, code, inst, errors);
193614f2 19990 case 68:
369c9167 19991 return aarch64_ext_aimm (self, info, code, inst, errors);
193614f2 19992 case 69:
369c9167 19993 return aarch64_ext_imm_half (self, info, code, inst, errors);
c2c4ff8d 19994 case 70:
193614f2 19995 return aarch64_ext_fbits (self, info, code, inst, errors);
f42f1a1d
TC
19996 case 72:
19997 case 73:
193614f2
SD
19998 case 150:
19999 return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
369c9167 20000 case 74:
193614f2
SD
20001 case 149:
20002 return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
20003 case 75:
20004 case 76:
561a72d4 20005 return aarch64_ext_cond (self, info, code, inst, errors);
a06ea964 20006 case 82:
193614f2
SD
20007 case 89:
20008 return aarch64_ext_addr_simple (self, info, code, inst, errors);
f42f1a1d 20009 case 83:
193614f2 20010 return aarch64_ext_addr_regoff (self, info, code, inst, errors);
a06ea964 20011 case 84:
a06ea964 20012 case 85:
369c9167 20013 case 86:
193614f2
SD
20014 return aarch64_ext_addr_simm (self, info, code, inst, errors);
20015 case 87:
20016 return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
1e6f4800 20017 case 88:
193614f2 20018 return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
2442d846 20019 case 90:
193614f2 20020 return aarch64_ext_addr_offset (self, info, code, inst, errors);
4df068de 20021 case 91:
193614f2 20022 return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
4df068de 20023 case 92:
193614f2 20024 return aarch64_ext_sysreg (self, info, code, inst, errors);
4df068de 20025 case 93:
193614f2 20026 return aarch64_ext_pstatefield (self, info, code, inst, errors);
4df068de
RS
20027 case 94:
20028 case 95:
20029 case 96:
20030 case 97:
20031 case 98:
193614f2 20032 return aarch64_ext_sysins_op (self, info, code, inst, errors);
4df068de
RS
20033 case 99:
20034 case 100:
193614f2 20035 return aarch64_ext_barrier (self, info, code, inst, errors);
4df068de 20036 case 101:
193614f2 20037 return aarch64_ext_prfop (self, info, code, inst, errors);
4df068de
RS
20038 case 102:
20039 case 103:
193614f2 20040 return aarch64_ext_hint (self, info, code, inst, errors);
4df068de 20041 case 104:
193614f2 20042 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
4df068de 20043 case 105:
f11ad6bc 20044 case 106:
2442d846 20045 case 107:
4df068de 20046 case 108:
193614f2 20047 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
2442d846 20048 case 109:
193614f2 20049 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
4df068de 20050 case 110:
193614f2 20051 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
4df068de
RS
20052 case 111:
20053 case 112:
4df068de
RS
20054 case 113:
20055 case 114:
193614f2 20056 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
4df068de
RS
20057 case 115:
20058 case 116:
4df068de 20059 case 117:
4df068de 20060 case 118:
4df068de 20061 case 119:
98907a70 20062 case 120:
4df068de 20063 case 121:
98907a70 20064 case 122:
98907a70 20065 case 123:
98907a70 20066 case 124:
98907a70 20067 case 125:
e950b345 20068 case 126:
98907a70 20069 case 127:
193614f2 20070 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
e950b345 20071 case 128:
c84364ec 20072 case 129:
3f06e550 20073 case 130:
c2c4ff8d 20074 case 131:
165d4950 20075 case 132:
e950b345 20076 case 133:
582e12bf 20077 case 134:
f42f1a1d 20078 case 135:
193614f2 20079 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
c2c4ff8d 20080 case 136:
c2c4ff8d 20081 case 137:
c2c4ff8d 20082 case 138:
c8d59609 20083 case 139:
193614f2 20084 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
369c9167 20085 case 140:
193614f2 20086 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
2ac435d4 20087 case 141:
193614f2 20088 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
ff605452 20089 case 142:
193614f2
SD
20090 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
20091 case 143:
20092 return aarch64_ext_sve_aimm (self, info, code, inst, errors);
369c9167 20093 case 144:
193614f2
SD
20094 return aarch64_ext_sve_asimm (self, info, code, inst, errors);
20095 case 146:
ff605452 20096 return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
193614f2 20097 case 147:
ff605452 20098 return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
193614f2 20099 case 148:
561a72d4 20100 return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
ff605452 20101 case 151:
193614f2 20102 return aarch64_ext_inv_limm (self, info, code, inst, errors);
ff605452 20103 case 153:
193614f2
SD
20104 return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
20105 case 155:
561a72d4 20106 return aarch64_ext_sve_scale (self, info, code, inst, errors);
2ac435d4 20107 case 167:
ff605452 20108 case 168:
193614f2
SD
20109 return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
20110 case 169:
20111 case 170:
561a72d4 20112 return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
ff605452 20113 case 188:
193614f2 20114 case 189:
2ac435d4 20115 case 190:
193614f2
SD
20116 return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
20117 case 192:
ff605452 20118 return aarch64_ext_sve_index (self, info, code, inst, errors);
ff605452 20119 case 193:
193614f2 20120 case 195:
561a72d4 20121 return aarch64_ext_sve_reglist (self, info, code, inst, errors);
a06ea964
NC
20122 default: assert (0); abort ();
20123 }
20124}
This page took 2.129229 seconds and 4 git commands to generate.