ath9k: remove pointless wrapper ath_rc_rate_getidx()
[deliverable/linux.git] / drivers / net / wireless / ath / ath9k / rc.c
1 /*
2 * Copyright (c) 2004 Video54 Technologies, Inc.
3 * Copyright (c) 2004-2009 Atheros Communications, Inc.
4 *
5 * Permission to use, copy, modify, and/or distribute this software for any
6 * purpose with or without fee is hereby granted, provided that the above
7 * copyright notice and this permission notice appear in all copies.
8 *
9 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
10 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
11 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
12 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
13 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
14 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
15 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
16 */
17
18 #include "ath9k.h"
19
20 static const struct ath_rate_table ar5416_11na_ratetable = {
21 42,
22 {
23 { VALID, VALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
24 5400, 0x0b, 0x00, 12,
25 0, 2, 1, 0, 0, 0, 0, 0 },
26 { VALID, VALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
27 7800, 0x0f, 0x00, 18,
28 0, 3, 1, 1, 1, 1, 1, 0 },
29 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
30 10000, 0x0a, 0x00, 24,
31 2, 4, 2, 2, 2, 2, 2, 0 },
32 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
33 13900, 0x0e, 0x00, 36,
34 2, 6, 2, 3, 3, 3, 3, 0 },
35 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
36 17300, 0x09, 0x00, 48,
37 4, 10, 3, 4, 4, 4, 4, 0 },
38 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
39 23000, 0x0d, 0x00, 72,
40 4, 14, 3, 5, 5, 5, 5, 0 },
41 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
42 27400, 0x08, 0x00, 96,
43 4, 20, 3, 6, 6, 6, 6, 0 },
44 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
45 29300, 0x0c, 0x00, 108,
46 4, 23, 3, 7, 7, 7, 7, 0 },
47 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 6500, /* 6.5 Mb */
48 6400, 0x80, 0x00, 0,
49 0, 2, 3, 8, 24, 8, 24, 3216 },
50 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 13000, /* 13 Mb */
51 12700, 0x81, 0x00, 1,
52 2, 4, 3, 9, 25, 9, 25, 6434 },
53 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 19500, /* 19.5 Mb */
54 18800, 0x82, 0x00, 2,
55 2, 6, 3, 10, 26, 10, 26, 9650 },
56 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 26000, /* 26 Mb */
57 25000, 0x83, 0x00, 3,
58 4, 10, 3, 11, 27, 11, 27, 12868 },
59 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 39000, /* 39 Mb */
60 36700, 0x84, 0x00, 4,
61 4, 14, 3, 12, 28, 12, 28, 19304 },
62 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 52000, /* 52 Mb */
63 48100, 0x85, 0x00, 5,
64 4, 20, 3, 13, 29, 13, 29, 25740 },
65 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 58500, /* 58.5 Mb */
66 53500, 0x86, 0x00, 6,
67 4, 23, 3, 14, 30, 14, 30, 28956 },
68 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 65000, /* 65 Mb */
69 59000, 0x87, 0x00, 7,
70 4, 25, 3, 15, 31, 15, 32, 32180 },
71 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 13000, /* 13 Mb */
72 12700, 0x88, 0x00,
73 8, 0, 2, 3, 16, 33, 16, 33, 6430 },
74 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 26000, /* 26 Mb */
75 24800, 0x89, 0x00, 9,
76 2, 4, 3, 17, 34, 17, 34, 12860 },
77 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 39000, /* 39 Mb */
78 36600, 0x8a, 0x00, 10,
79 2, 6, 3, 18, 35, 18, 35, 19300 },
80 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 52000, /* 52 Mb */
81 48100, 0x8b, 0x00, 11,
82 4, 10, 3, 19, 36, 19, 36, 25736 },
83 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 78000, /* 78 Mb */
84 69500, 0x8c, 0x00, 12,
85 4, 14, 3, 20, 37, 20, 37, 38600 },
86 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 104000, /* 104 Mb */
87 89500, 0x8d, 0x00, 13,
88 4, 20, 3, 21, 38, 21, 38, 51472 },
89 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 117000, /* 117 Mb */
90 98900, 0x8e, 0x00, 14,
91 4, 23, 3, 22, 39, 22, 39, 57890 },
92 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 130000, /* 130 Mb */
93 108300, 0x8f, 0x00, 15,
94 4, 25, 3, 23, 40, 23, 41, 64320 },
95 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 13500, /* 13.5 Mb */
96 13200, 0x80, 0x00, 0,
97 0, 2, 3, 8, 24, 24, 24, 6684 },
98 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 27500, /* 27.0 Mb */
99 25900, 0x81, 0x00, 1,
100 2, 4, 3, 9, 25, 25, 25, 13368 },
101 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 40500, /* 40.5 Mb */
102 38600, 0x82, 0x00, 2,
103 2, 6, 3, 10, 26, 26, 26, 20052 },
104 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 54000, /* 54 Mb */
105 49800, 0x83, 0x00, 3,
106 4, 10, 3, 11, 27, 27, 27, 26738 },
107 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 81500, /* 81 Mb */
108 72200, 0x84, 0x00, 4,
109 4, 14, 3, 12, 28, 28, 28, 40104 },
110 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 108000, /* 108 Mb */
111 92900, 0x85, 0x00, 5,
112 4, 20, 3, 13, 29, 29, 29, 53476 },
113 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 121500, /* 121.5 Mb */
114 102700, 0x86, 0x00, 6,
115 4, 23, 3, 14, 30, 30, 30, 60156 },
116 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 135000, /* 135 Mb */
117 112000, 0x87, 0x00, 7,
118 4, 25, 3, 15, 31, 32, 32, 66840 },
119 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS_HGI, 150000, /* 150 Mb */
120 122000, 0x87, 0x00, 7,
121 4, 25, 3, 15, 31, 32, 32, 74200 },
122 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 27000, /* 27 Mb */
123 25800, 0x88, 0x00, 8,
124 0, 2, 3, 16, 33, 33, 33, 13360 },
125 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 54000, /* 54 Mb */
126 49800, 0x89, 0x00, 9,
127 2, 4, 3, 17, 34, 34, 34, 26720 },
128 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 81000, /* 81 Mb */
129 71900, 0x8a, 0x00, 10,
130 2, 6, 3, 18, 35, 35, 35, 40080 },
131 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 108000, /* 108 Mb */
132 92500, 0x8b, 0x00, 11,
133 4, 10, 3, 19, 36, 36, 36, 53440 },
134 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 162000, /* 162 Mb */
135 130300, 0x8c, 0x00, 12,
136 4, 14, 3, 20, 37, 37, 37, 80160 },
137 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 216000, /* 216 Mb */
138 162800, 0x8d, 0x00, 13,
139 4, 20, 3, 21, 38, 38, 38, 106880 },
140 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 243000, /* 243 Mb */
141 178200, 0x8e, 0x00, 14,
142 4, 23, 3, 22, 39, 39, 39, 120240 },
143 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 270000, /* 270 Mb */
144 192100, 0x8f, 0x00, 15,
145 4, 25, 3, 23, 40, 41, 41, 133600 },
146 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS_HGI, 300000, /* 300 Mb */
147 207000, 0x8f, 0x00, 15,
148 4, 25, 3, 23, 40, 41, 41, 148400 },
149 },
150 50, /* probe interval */
151 50, /* rssi reduce interval */
152 WLAN_RC_HT_FLAG, /* Phy rates allowed initially */
153 };
154
155 /* 4ms frame limit not used for NG mode. The values filled
156 * for HT are the 64K max aggregate limit */
157
158 static const struct ath_rate_table ar5416_11ng_ratetable = {
159 46,
160 {
161 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 1000, /* 1 Mb */
162 900, 0x1b, 0x00, 2,
163 0, 0, 1, 0, 0, 0, 0, 0 },
164 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 2000, /* 2 Mb */
165 1900, 0x1a, 0x04, 4,
166 1, 1, 1, 1, 1, 1, 1, 0 },
167 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 5500, /* 5.5 Mb */
168 4900, 0x19, 0x04, 11,
169 2, 2, 2, 2, 2, 2, 2, 0 },
170 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 11000, /* 11 Mb */
171 8100, 0x18, 0x04, 22,
172 3, 3, 2, 3, 3, 3, 3, 0 },
173 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
174 5400, 0x0b, 0x00, 12,
175 4, 2, 1, 4, 4, 4, 4, 0 },
176 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
177 7800, 0x0f, 0x00, 18,
178 4, 3, 1, 5, 5, 5, 5, 0 },
179 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
180 10100, 0x0a, 0x00, 24,
181 6, 4, 1, 6, 6, 6, 6, 0 },
182 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
183 14100, 0x0e, 0x00, 36,
184 6, 6, 2, 7, 7, 7, 7, 0 },
185 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
186 17700, 0x09, 0x00, 48,
187 8, 10, 3, 8, 8, 8, 8, 0 },
188 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
189 23700, 0x0d, 0x00, 72,
190 8, 14, 3, 9, 9, 9, 9, 0 },
191 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
192 27400, 0x08, 0x00, 96,
193 8, 20, 3, 10, 10, 10, 10, 0 },
194 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
195 30900, 0x0c, 0x00, 108,
196 8, 23, 3, 11, 11, 11, 11, 0 },
197 { INVALID, INVALID, WLAN_RC_PHY_HT_20_SS, 6500, /* 6.5 Mb */
198 6400, 0x80, 0x00, 0,
199 4, 2, 3, 12, 28, 12, 28, 3216 },
200 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 13000, /* 13 Mb */
201 12700, 0x81, 0x00, 1,
202 6, 4, 3, 13, 29, 13, 29, 6434 },
203 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 19500, /* 19.5 Mb */
204 18800, 0x82, 0x00, 2,
205 6, 6, 3, 14, 30, 14, 30, 9650 },
206 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 26000, /* 26 Mb */
207 25000, 0x83, 0x00, 3,
208 8, 10, 3, 15, 31, 15, 31, 12868 },
209 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 39000, /* 39 Mb */
210 36700, 0x84, 0x00, 4,
211 8, 14, 3, 16, 32, 16, 32, 19304 },
212 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 52000, /* 52 Mb */
213 48100, 0x85, 0x00, 5,
214 8, 20, 3, 17, 33, 17, 33, 25740 },
215 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 58500, /* 58.5 Mb */
216 53500, 0x86, 0x00, 6,
217 8, 23, 3, 18, 34, 18, 34, 28956 },
218 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 65000, /* 65 Mb */
219 59000, 0x87, 0x00, 7,
220 8, 25, 3, 19, 35, 19, 36, 32180 },
221 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 13000, /* 13 Mb */
222 12700, 0x88, 0x00, 8,
223 4, 2, 3, 20, 37, 20, 37, 6430 },
224 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 26000, /* 26 Mb */
225 24800, 0x89, 0x00, 9,
226 6, 4, 3, 21, 38, 21, 38, 12860 },
227 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 39000, /* 39 Mb */
228 36600, 0x8a, 0x00, 10,
229 6, 6, 3, 22, 39, 22, 39, 19300 },
230 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 52000, /* 52 Mb */
231 48100, 0x8b, 0x00, 11,
232 8, 10, 3, 23, 40, 23, 40, 25736 },
233 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 78000, /* 78 Mb */
234 69500, 0x8c, 0x00, 12,
235 8, 14, 3, 24, 41, 24, 41, 38600 },
236 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 104000, /* 104 Mb */
237 89500, 0x8d, 0x00, 13,
238 8, 20, 3, 25, 42, 25, 42, 51472 },
239 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 117000, /* 117 Mb */
240 98900, 0x8e, 0x00, 14,
241 8, 23, 3, 26, 43, 26, 44, 57890 },
242 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 130000, /* 130 Mb */
243 108300, 0x8f, 0x00, 15,
244 8, 25, 3, 27, 44, 27, 45, 64320 },
245 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 13500, /* 13.5 Mb */
246 13200, 0x80, 0x00, 0,
247 8, 2, 3, 12, 28, 28, 28, 6684 },
248 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 27500, /* 27.0 Mb */
249 25900, 0x81, 0x00, 1,
250 8, 4, 3, 13, 29, 29, 29, 13368 },
251 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 40500, /* 40.5 Mb */
252 38600, 0x82, 0x00, 2,
253 8, 6, 3, 14, 30, 30, 30, 20052 },
254 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 54000, /* 54 Mb */
255 49800, 0x83, 0x00, 3,
256 8, 10, 3, 15, 31, 31, 31, 26738 },
257 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 81500, /* 81 Mb */
258 72200, 0x84, 0x00, 4,
259 8, 14, 3, 16, 32, 32, 32, 40104 },
260 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 108000, /* 108 Mb */
261 92900, 0x85, 0x00, 5,
262 8, 20, 3, 17, 33, 33, 33, 53476 },
263 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 121500, /* 121.5 Mb */
264 102700, 0x86, 0x00, 6,
265 8, 23, 3, 18, 34, 34, 34, 60156 },
266 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 135000, /* 135 Mb */
267 112000, 0x87, 0x00, 7,
268 8, 23, 3, 19, 35, 36, 36, 66840 },
269 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS_HGI, 150000, /* 150 Mb */
270 122000, 0x87, 0x00, 7,
271 8, 25, 3, 19, 35, 36, 36, 74200 },
272 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 27000, /* 27 Mb */
273 25800, 0x88, 0x00, 8,
274 8, 2, 3, 20, 37, 37, 37, 13360 },
275 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 54000, /* 54 Mb */
276 49800, 0x89, 0x00, 9,
277 8, 4, 3, 21, 38, 38, 38, 26720 },
278 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 81000, /* 81 Mb */
279 71900, 0x8a, 0x00, 10,
280 8, 6, 3, 22, 39, 39, 39, 40080 },
281 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 108000, /* 108 Mb */
282 92500, 0x8b, 0x00, 11,
283 8, 10, 3, 23, 40, 40, 40, 53440 },
284 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 162000, /* 162 Mb */
285 130300, 0x8c, 0x00, 12,
286 8, 14, 3, 24, 41, 41, 41, 80160 },
287 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 216000, /* 216 Mb */
288 162800, 0x8d, 0x00, 13,
289 8, 20, 3, 25, 42, 42, 42, 106880 },
290 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 243000, /* 243 Mb */
291 178200, 0x8e, 0x00, 14,
292 8, 23, 3, 26, 43, 43, 43, 120240 },
293 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 270000, /* 270 Mb */
294 192100, 0x8f, 0x00, 15,
295 8, 23, 3, 27, 44, 45, 45, 133600 },
296 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS_HGI, 300000, /* 300 Mb */
297 207000, 0x8f, 0x00, 15,
298 8, 25, 3, 27, 44, 45, 45, 148400 },
299 },
300 50, /* probe interval */
301 50, /* rssi reduce interval */
302 WLAN_RC_HT_FLAG, /* Phy rates allowed initially */
303 };
304
305 static const struct ath_rate_table ar5416_11a_ratetable = {
306 8,
307 {
308 { VALID, VALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
309 5400, 0x0b, 0x00, (0x80|12),
310 0, 2, 1, 0, 0 },
311 { VALID, VALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
312 7800, 0x0f, 0x00, 18,
313 0, 3, 1, 1, 0 },
314 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
315 10000, 0x0a, 0x00, (0x80|24),
316 2, 4, 2, 2, 0 },
317 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
318 13900, 0x0e, 0x00, 36,
319 2, 6, 2, 3, 0 },
320 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
321 17300, 0x09, 0x00, (0x80|48),
322 4, 10, 3, 4, 0 },
323 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
324 23000, 0x0d, 0x00, 72,
325 4, 14, 3, 5, 0 },
326 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
327 27400, 0x08, 0x00, 96,
328 4, 19, 3, 6, 0 },
329 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
330 29300, 0x0c, 0x00, 108,
331 4, 23, 3, 7, 0 },
332 },
333 50, /* probe interval */
334 50, /* rssi reduce interval */
335 0, /* Phy rates allowed initially */
336 };
337
338 static const struct ath_rate_table ar5416_11g_ratetable = {
339 12,
340 {
341 { VALID, VALID, WLAN_RC_PHY_CCK, 1000, /* 1 Mb */
342 900, 0x1b, 0x00, 2,
343 0, 0, 1, 0, 0 },
344 { VALID, VALID, WLAN_RC_PHY_CCK, 2000, /* 2 Mb */
345 1900, 0x1a, 0x04, 4,
346 1, 1, 1, 1, 0 },
347 { VALID, VALID, WLAN_RC_PHY_CCK, 5500, /* 5.5 Mb */
348 4900, 0x19, 0x04, 11,
349 2, 2, 2, 2, 0 },
350 { VALID, VALID, WLAN_RC_PHY_CCK, 11000, /* 11 Mb */
351 8100, 0x18, 0x04, 22,
352 3, 3, 2, 3, 0 },
353 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
354 5400, 0x0b, 0x00, 12,
355 4, 2, 1, 4, 0 },
356 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
357 7800, 0x0f, 0x00, 18,
358 4, 3, 1, 5, 0 },
359 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
360 10000, 0x0a, 0x00, 24,
361 6, 4, 1, 6, 0 },
362 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
363 13900, 0x0e, 0x00, 36,
364 6, 6, 2, 7, 0 },
365 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
366 17300, 0x09, 0x00, 48,
367 8, 10, 3, 8, 0 },
368 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
369 23000, 0x0d, 0x00, 72,
370 8, 14, 3, 9, 0 },
371 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
372 27400, 0x08, 0x00, 96,
373 8, 19, 3, 10, 0 },
374 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
375 29300, 0x0c, 0x00, 108,
376 8, 23, 3, 11, 0 },
377 },
378 50, /* probe interval */
379 50, /* rssi reduce interval */
380 0, /* Phy rates allowed initially */
381 };
382
383 static const struct ath_rate_table ar5416_11b_ratetable = {
384 4,
385 {
386 { VALID, VALID, WLAN_RC_PHY_CCK, 1000, /* 1 Mb */
387 900, 0x1b, 0x00, (0x80|2),
388 0, 0, 1, 0, 0 },
389 { VALID, VALID, WLAN_RC_PHY_CCK, 2000, /* 2 Mb */
390 1800, 0x1a, 0x04, (0x80|4),
391 1, 1, 1, 1, 0 },
392 { VALID, VALID, WLAN_RC_PHY_CCK, 5500, /* 5.5 Mb */
393 4300, 0x19, 0x04, (0x80|11),
394 1, 2, 2, 2, 0 },
395 { VALID, VALID, WLAN_RC_PHY_CCK, 11000, /* 11 Mb */
396 7100, 0x18, 0x04, (0x80|22),
397 1, 4, 100, 3, 0 },
398 },
399 100, /* probe interval */
400 100, /* rssi reduce interval */
401 0, /* Phy rates allowed initially */
402 };
403
404 static inline int8_t median(int8_t a, int8_t b, int8_t c)
405 {
406 if (a >= b) {
407 if (b >= c)
408 return b;
409 else if (a > c)
410 return c;
411 else
412 return a;
413 } else {
414 if (a >= c)
415 return a;
416 else if (b >= c)
417 return c;
418 else
419 return b;
420 }
421 }
422
423 static void ath_rc_sort_validrates(const struct ath_rate_table *rate_table,
424 struct ath_rate_priv *ath_rc_priv)
425 {
426 u8 i, j, idx, idx_next;
427
428 for (i = ath_rc_priv->max_valid_rate - 1; i > 0; i--) {
429 for (j = 0; j <= i-1; j++) {
430 idx = ath_rc_priv->valid_rate_index[j];
431 idx_next = ath_rc_priv->valid_rate_index[j+1];
432
433 if (rate_table->info[idx].ratekbps >
434 rate_table->info[idx_next].ratekbps) {
435 ath_rc_priv->valid_rate_index[j] = idx_next;
436 ath_rc_priv->valid_rate_index[j+1] = idx;
437 }
438 }
439 }
440 }
441
442 static void ath_rc_init_valid_txmask(struct ath_rate_priv *ath_rc_priv)
443 {
444 u8 i;
445
446 for (i = 0; i < ath_rc_priv->rate_table_size; i++)
447 ath_rc_priv->valid_rate_index[i] = 0;
448 }
449
450 static inline void ath_rc_set_valid_txmask(struct ath_rate_priv *ath_rc_priv,
451 u8 index, int valid_tx_rate)
452 {
453 ASSERT(index <= ath_rc_priv->rate_table_size);
454 ath_rc_priv->valid_rate_index[index] = valid_tx_rate ? 1 : 0;
455 }
456
457 static inline int ath_rc_isvalid_txmask(struct ath_rate_priv *ath_rc_priv,
458 u8 index)
459 {
460 ASSERT(index <= ath_rc_priv->rate_table_size);
461 return ath_rc_priv->valid_rate_index[index];
462 }
463
464 static inline
465 int ath_rc_get_nextvalid_txrate(const struct ath_rate_table *rate_table,
466 struct ath_rate_priv *ath_rc_priv,
467 u8 cur_valid_txrate,
468 u8 *next_idx)
469 {
470 u8 i;
471
472 for (i = 0; i < ath_rc_priv->max_valid_rate - 1; i++) {
473 if (ath_rc_priv->valid_rate_index[i] == cur_valid_txrate) {
474 *next_idx = ath_rc_priv->valid_rate_index[i+1];
475 return 1;
476 }
477 }
478
479 /* No more valid rates */
480 *next_idx = 0;
481
482 return 0;
483 }
484
485 /* Return true only for single stream */
486
487 static int ath_rc_valid_phyrate(u32 phy, u32 capflag, int ignore_cw)
488 {
489 if (WLAN_RC_PHY_HT(phy) && !(capflag & WLAN_RC_HT_FLAG))
490 return 0;
491 if (WLAN_RC_PHY_DS(phy) && !(capflag & WLAN_RC_DS_FLAG))
492 return 0;
493 if (WLAN_RC_PHY_SGI(phy) && !(capflag & WLAN_RC_SGI_FLAG))
494 return 0;
495 if (!ignore_cw && WLAN_RC_PHY_HT(phy))
496 if (WLAN_RC_PHY_40(phy) && !(capflag & WLAN_RC_40_FLAG))
497 return 0;
498 if (!WLAN_RC_PHY_40(phy) && (capflag & WLAN_RC_40_FLAG))
499 return 0;
500 return 1;
501 }
502
503 static inline int
504 ath_rc_get_nextlowervalid_txrate(const struct ath_rate_table *rate_table,
505 struct ath_rate_priv *ath_rc_priv,
506 u8 cur_valid_txrate, u8 *next_idx)
507 {
508 int8_t i;
509
510 for (i = 1; i < ath_rc_priv->max_valid_rate ; i++) {
511 if (ath_rc_priv->valid_rate_index[i] == cur_valid_txrate) {
512 *next_idx = ath_rc_priv->valid_rate_index[i-1];
513 return 1;
514 }
515 }
516
517 return 0;
518 }
519
520 static u8 ath_rc_init_validrates(struct ath_rate_priv *ath_rc_priv,
521 const struct ath_rate_table *rate_table,
522 u32 capflag)
523 {
524 u8 i, hi = 0;
525 u32 valid;
526
527 for (i = 0; i < rate_table->rate_cnt; i++) {
528 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
529 rate_table->info[i].valid_single_stream :
530 rate_table->info[i].valid);
531 if (valid == 1) {
532 u32 phy = rate_table->info[i].phy;
533 u8 valid_rate_count = 0;
534
535 if (!ath_rc_valid_phyrate(phy, capflag, 0))
536 continue;
537
538 valid_rate_count = ath_rc_priv->valid_phy_ratecnt[phy];
539
540 ath_rc_priv->valid_phy_rateidx[phy][valid_rate_count] = i;
541 ath_rc_priv->valid_phy_ratecnt[phy] += 1;
542 ath_rc_set_valid_txmask(ath_rc_priv, i, 1);
543 hi = A_MAX(hi, i);
544 }
545 }
546
547 return hi;
548 }
549
550 static u8 ath_rc_setvalid_rates(struct ath_rate_priv *ath_rc_priv,
551 const struct ath_rate_table *rate_table,
552 struct ath_rateset *rateset,
553 u32 capflag)
554 {
555 u8 i, j, hi = 0;
556
557 /* Use intersection of working rates and valid rates */
558 for (i = 0; i < rateset->rs_nrates; i++) {
559 for (j = 0; j < rate_table->rate_cnt; j++) {
560 u32 phy = rate_table->info[j].phy;
561 u32 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
562 rate_table->info[j].valid_single_stream :
563 rate_table->info[j].valid);
564 u8 rate = rateset->rs_rates[i];
565 u8 dot11rate = rate_table->info[j].dot11rate;
566
567 /* We allow a rate only if its valid and the
568 * capflag matches one of the validity
569 * (VALID/VALID_20/VALID_40) flags */
570
571 if (((rate & 0x7F) == (dot11rate & 0x7F)) &&
572 ((valid & WLAN_RC_CAP_MODE(capflag)) ==
573 WLAN_RC_CAP_MODE(capflag)) &&
574 !WLAN_RC_PHY_HT(phy)) {
575 u8 valid_rate_count = 0;
576
577 if (!ath_rc_valid_phyrate(phy, capflag, 0))
578 continue;
579
580 valid_rate_count =
581 ath_rc_priv->valid_phy_ratecnt[phy];
582
583 ath_rc_priv->valid_phy_rateidx[phy]
584 [valid_rate_count] = j;
585 ath_rc_priv->valid_phy_ratecnt[phy] += 1;
586 ath_rc_set_valid_txmask(ath_rc_priv, j, 1);
587 hi = A_MAX(hi, j);
588 }
589 }
590 }
591
592 return hi;
593 }
594
595 static u8 ath_rc_setvalid_htrates(struct ath_rate_priv *ath_rc_priv,
596 const struct ath_rate_table *rate_table,
597 u8 *mcs_set, u32 capflag)
598 {
599 struct ath_rateset *rateset = (struct ath_rateset *)mcs_set;
600
601 u8 i, j, hi = 0;
602
603 /* Use intersection of working rates and valid rates */
604 for (i = 0; i < rateset->rs_nrates; i++) {
605 for (j = 0; j < rate_table->rate_cnt; j++) {
606 u32 phy = rate_table->info[j].phy;
607 u32 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
608 rate_table->info[j].valid_single_stream :
609 rate_table->info[j].valid);
610 u8 rate = rateset->rs_rates[i];
611 u8 dot11rate = rate_table->info[j].dot11rate;
612
613 if (((rate & 0x7F) != (dot11rate & 0x7F)) ||
614 !WLAN_RC_PHY_HT(phy) ||
615 !WLAN_RC_PHY_HT_VALID(valid, capflag))
616 continue;
617
618 if (!ath_rc_valid_phyrate(phy, capflag, 0))
619 continue;
620
621 ath_rc_priv->valid_phy_rateidx[phy]
622 [ath_rc_priv->valid_phy_ratecnt[phy]] = j;
623 ath_rc_priv->valid_phy_ratecnt[phy] += 1;
624 ath_rc_set_valid_txmask(ath_rc_priv, j, 1);
625 hi = A_MAX(hi, j);
626 }
627 }
628
629 return hi;
630 }
631
632 static u8 ath_rc_ratefind_ht(struct ath_softc *sc,
633 struct ath_rate_priv *ath_rc_priv,
634 const struct ath_rate_table *rate_table,
635 int *is_probing)
636 {
637 u32 dt, best_thruput, this_thruput, now_msec;
638 u8 rate, next_rate, best_rate, maxindex, minindex;
639 int8_t rssi_last, rssi_reduce = 0, index = 0;
640
641 *is_probing = 0;
642
643 rssi_last = median(ath_rc_priv->rssi_last,
644 ath_rc_priv->rssi_last_prev,
645 ath_rc_priv->rssi_last_prev2);
646
647 /*
648 * Age (reduce) last ack rssi based on how old it is.
649 * The bizarre numbers are so the delta is 160msec,
650 * meaning we divide by 16.
651 * 0msec <= dt <= 25msec: don't derate
652 * 25msec <= dt <= 185msec: derate linearly from 0 to 10dB
653 * 185msec <= dt: derate by 10dB
654 */
655
656 now_msec = jiffies_to_msecs(jiffies);
657 dt = now_msec - ath_rc_priv->rssi_time;
658
659 if (dt >= 185)
660 rssi_reduce = 10;
661 else if (dt >= 25)
662 rssi_reduce = (u8)((dt - 25) >> 4);
663
664 /* Now reduce rssi_last by rssi_reduce */
665 if (rssi_last < rssi_reduce)
666 rssi_last = 0;
667 else
668 rssi_last -= rssi_reduce;
669
670 /*
671 * Now look up the rate in the rssi table and return it.
672 * If no rates match then we return 0 (lowest rate)
673 */
674
675 best_thruput = 0;
676 maxindex = ath_rc_priv->max_valid_rate-1;
677
678 minindex = 0;
679 best_rate = minindex;
680
681 /*
682 * Try the higher rate first. It will reduce memory moving time
683 * if we have very good channel characteristics.
684 */
685 for (index = maxindex; index >= minindex ; index--) {
686 u8 per_thres;
687
688 rate = ath_rc_priv->valid_rate_index[index];
689 if (rate > ath_rc_priv->rate_max_phy)
690 continue;
691
692 /*
693 * For TCP the average collision rate is around 11%,
694 * so we ignore PERs less than this. This is to
695 * prevent the rate we are currently using (whose
696 * PER might be in the 10-15 range because of TCP
697 * collisions) looking worse than the next lower
698 * rate whose PER has decayed close to 0. If we
699 * used to next lower rate, its PER would grow to
700 * 10-15 and we would be worse off then staying
701 * at the current rate.
702 */
703 per_thres = ath_rc_priv->state[rate].per;
704 if (per_thres < 12)
705 per_thres = 12;
706
707 this_thruput = rate_table->info[rate].user_ratekbps *
708 (100 - per_thres);
709
710 if (best_thruput <= this_thruput) {
711 best_thruput = this_thruput;
712 best_rate = rate;
713 }
714 }
715
716 rate = best_rate;
717 ath_rc_priv->rssi_last_lookup = rssi_last;
718
719 /*
720 * Must check the actual rate (ratekbps) to account for
721 * non-monoticity of 11g's rate table
722 */
723
724 if (rate >= ath_rc_priv->rate_max_phy) {
725 rate = ath_rc_priv->rate_max_phy;
726
727 /* Probe the next allowed phy state */
728 if (ath_rc_get_nextvalid_txrate(rate_table,
729 ath_rc_priv, rate, &next_rate) &&
730 (now_msec - ath_rc_priv->probe_time >
731 rate_table->probe_interval) &&
732 (ath_rc_priv->hw_maxretry_pktcnt >= 1)) {
733 rate = next_rate;
734 ath_rc_priv->probe_rate = rate;
735 ath_rc_priv->probe_time = now_msec;
736 ath_rc_priv->hw_maxretry_pktcnt = 0;
737 *is_probing = 1;
738 }
739 }
740
741 if (rate > (ath_rc_priv->rate_table_size - 1))
742 rate = ath_rc_priv->rate_table_size - 1;
743
744 if (rate_table->info[rate].valid &&
745 (ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG))
746 return rate;
747
748 if (rate_table->info[rate].valid_single_stream &&
749 !(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG));
750 return rate;
751
752 /* This should not happen */
753 WARN_ON(1);
754
755 rate = ath_rc_priv->valid_rate_index[0];
756
757 return rate;
758 }
759
760 static void ath_rc_rate_set_series(const struct ath_rate_table *rate_table,
761 struct ieee80211_tx_rate *rate,
762 struct ieee80211_tx_rate_control *txrc,
763 u8 tries, u8 rix, int rtsctsenable)
764 {
765 rate->count = tries;
766 rate->idx = rix;
767
768 if (txrc->short_preamble)
769 rate->flags |= IEEE80211_TX_RC_USE_SHORT_PREAMBLE;
770 if (txrc->rts || rtsctsenable)
771 rate->flags |= IEEE80211_TX_RC_USE_RTS_CTS;
772 if (WLAN_RC_PHY_40(rate_table->info[rix].phy))
773 rate->flags |= IEEE80211_TX_RC_40_MHZ_WIDTH;
774 if (WLAN_RC_PHY_SGI(rate_table->info[rix].phy))
775 rate->flags |= IEEE80211_TX_RC_SHORT_GI;
776 if (WLAN_RC_PHY_HT(rate_table->info[rix].phy))
777 rate->flags |= IEEE80211_TX_RC_MCS;
778 }
779
780 static void ath_rc_rate_set_rtscts(struct ath_softc *sc,
781 const struct ath_rate_table *rate_table,
782 struct ieee80211_tx_info *tx_info)
783 {
784 struct ieee80211_tx_rate *rates = tx_info->control.rates;
785 int i = 0, rix = 0, cix, enable_g_protection = 0;
786
787 /* get the cix for the lowest valid rix */
788 for (i = 3; i >= 0; i--) {
789 if (rates[i].count && (rates[i].idx >= 0)) {
790 rix = rates[i].idx;
791 break;
792 }
793 }
794 cix = rate_table->info[rix].ctrl_rate;
795
796 /* All protection frames are transmited at 2Mb/s for 802.11g,
797 * otherwise we transmit them at 1Mb/s */
798 if (sc->hw->conf.channel->band == IEEE80211_BAND_2GHZ &&
799 !conf_is_ht(&sc->hw->conf))
800 enable_g_protection = 1;
801
802 /*
803 * If 802.11g protection is enabled, determine whether to use RTS/CTS or
804 * just CTS. Note that this is only done for OFDM/HT unicast frames.
805 */
806 if ((sc->sc_flags & SC_OP_PROTECT_ENABLE) &&
807 !(tx_info->flags & IEEE80211_TX_CTL_NO_ACK) &&
808 (rate_table->info[rix].phy == WLAN_RC_PHY_OFDM ||
809 WLAN_RC_PHY_HT(rate_table->info[rix].phy))) {
810 rates[0].flags |= IEEE80211_TX_RC_USE_CTS_PROTECT;
811 cix = rate_table->info[enable_g_protection].ctrl_rate;
812 }
813
814 tx_info->control.rts_cts_rate_idx = cix;
815 }
816
817 static void ath_rc_ratefind(struct ath_softc *sc,
818 struct ath_rate_priv *ath_rc_priv,
819 struct ieee80211_tx_rate_control *txrc)
820 {
821 const struct ath_rate_table *rate_table;
822 struct sk_buff *skb = txrc->skb;
823 struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
824 struct ieee80211_tx_rate *rates = tx_info->control.rates;
825 struct ieee80211_hdr *hdr = (struct ieee80211_hdr *)skb->data;
826 __le16 fc = hdr->frame_control;
827 u8 try_per_rate, i = 0, rix, nrix;
828 int is_probe = 0;
829
830 /*
831 * For Multi Rate Retry we use a different number of
832 * retry attempt counts. This ends up looking like this:
833 *
834 * MRR[0] = 2
835 * MRR[1] = 2
836 * MRR[2] = 2
837 * MRR[3] = 4
838 *
839 */
840 try_per_rate = sc->hw->max_rate_tries;
841
842 rate_table = sc->cur_rate_table;
843 rix = ath_rc_ratefind_ht(sc, ath_rc_priv, rate_table, &is_probe);
844 nrix = rix;
845
846 if (is_probe) {
847 /* set one try for probe rates. For the
848 * probes don't enable rts */
849 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
850 1, nrix, 0);
851
852 /* Get the next tried/allowed rate. No RTS for the next series
853 * after the probe rate
854 */
855 ath_rc_get_nextlowervalid_txrate(rate_table, ath_rc_priv,
856 rix, &nrix);
857 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
858 try_per_rate, nrix, 0);
859
860 tx_info->flags |= IEEE80211_TX_CTL_RATE_CTRL_PROBE;
861 } else {
862 /* Set the choosen rate. No RTS for first series entry. */
863 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
864 try_per_rate, nrix, 0);
865 }
866
867 /* Fill in the other rates for multirate retry */
868 for ( ; i < 4; i++) {
869 /* Use twice the number of tries for the last MRR segment. */
870 if (i + 1 == 4)
871 try_per_rate = 4;
872
873 ath_rc_get_nextlowervalid_txrate(rate_table, ath_rc_priv,
874 rix, &nrix);
875 /* All other rates in the series have RTS enabled */
876 ath_rc_rate_set_series(rate_table, &rates[i], txrc,
877 try_per_rate, nrix, 1);
878 }
879
880 /*
881 * NB:Change rate series to enable aggregation when operating
882 * at lower MCS rates. When first rate in series is MCS2
883 * in HT40 @ 2.4GHz, series should look like:
884 *
885 * {MCS2, MCS1, MCS0, MCS0}.
886 *
887 * When first rate in series is MCS3 in HT20 @ 2.4GHz, series should
888 * look like:
889 *
890 * {MCS3, MCS2, MCS1, MCS1}
891 *
892 * So, set fourth rate in series to be same as third one for
893 * above conditions.
894 */
895 if ((sc->hw->conf.channel->band == IEEE80211_BAND_2GHZ) &&
896 (conf_is_ht(&sc->hw->conf))) {
897 u8 dot11rate = rate_table->info[rix].dot11rate;
898 u8 phy = rate_table->info[rix].phy;
899 if (i == 4 &&
900 ((dot11rate == 2 && phy == WLAN_RC_PHY_HT_40_SS) ||
901 (dot11rate == 3 && phy == WLAN_RC_PHY_HT_20_SS))) {
902 rates[3].idx = rates[2].idx;
903 rates[3].flags = rates[2].flags;
904 }
905 }
906
907 /*
908 * Force hardware to use computed duration for next
909 * fragment by disabling multi-rate retry, which
910 * updates duration based on the multi-rate duration table.
911 *
912 * FIXME: Fix duration
913 */
914 if (!(tx_info->flags & IEEE80211_TX_CTL_NO_ACK) &&
915 (ieee80211_has_morefrags(fc) ||
916 (le16_to_cpu(hdr->seq_ctrl) & IEEE80211_SCTL_FRAG))) {
917 rates[1].count = rates[2].count = rates[3].count = 0;
918 rates[1].idx = rates[2].idx = rates[3].idx = 0;
919 rates[0].count = ATH_TXMAXTRY;
920 }
921
922 /* Setup RTS/CTS */
923 ath_rc_rate_set_rtscts(sc, rate_table, tx_info);
924 }
925
926 static bool ath_rc_update_per(struct ath_softc *sc,
927 const struct ath_rate_table *rate_table,
928 struct ath_rate_priv *ath_rc_priv,
929 struct ath_tx_info_priv *tx_info_priv,
930 int tx_rate, int xretries, int retries,
931 u32 now_msec)
932 {
933 bool state_change = false;
934 int count;
935 u8 last_per;
936 static u32 nretry_to_per_lookup[10] = {
937 100 * 0 / 1,
938 100 * 1 / 4,
939 100 * 1 / 2,
940 100 * 3 / 4,
941 100 * 4 / 5,
942 100 * 5 / 6,
943 100 * 6 / 7,
944 100 * 7 / 8,
945 100 * 8 / 9,
946 100 * 9 / 10
947 };
948
949 last_per = ath_rc_priv->state[tx_rate].per;
950
951 if (xretries) {
952 if (xretries == 1) {
953 ath_rc_priv->state[tx_rate].per += 30;
954 if (ath_rc_priv->state[tx_rate].per > 100)
955 ath_rc_priv->state[tx_rate].per = 100;
956 } else {
957 /* xretries == 2 */
958 count = ARRAY_SIZE(nretry_to_per_lookup);
959 if (retries >= count)
960 retries = count - 1;
961
962 /* new_PER = 7/8*old_PER + 1/8*(currentPER) */
963 ath_rc_priv->state[tx_rate].per =
964 (u8)(last_per - (last_per >> 3) + (100 >> 3));
965 }
966
967 /* xretries == 1 or 2 */
968
969 if (ath_rc_priv->probe_rate == tx_rate)
970 ath_rc_priv->probe_rate = 0;
971
972 } else { /* xretries == 0 */
973 count = ARRAY_SIZE(nretry_to_per_lookup);
974 if (retries >= count)
975 retries = count - 1;
976
977 if (tx_info_priv->n_bad_frames) {
978 /* new_PER = 7/8*old_PER + 1/8*(currentPER)
979 * Assuming that n_frames is not 0. The current PER
980 * from the retries is 100 * retries / (retries+1),
981 * since the first retries attempts failed, and the
982 * next one worked. For the one that worked,
983 * n_bad_frames subframes out of n_frames wored,
984 * so the PER for that part is
985 * 100 * n_bad_frames / n_frames, and it contributes
986 * 100 * n_bad_frames / (n_frames * (retries+1)) to
987 * the above PER. The expression below is a
988 * simplified version of the sum of these two terms.
989 */
990 if (tx_info_priv->n_frames > 0) {
991 int n_frames, n_bad_frames;
992 u8 cur_per, new_per;
993
994 n_bad_frames = retries * tx_info_priv->n_frames +
995 tx_info_priv->n_bad_frames;
996 n_frames = tx_info_priv->n_frames * (retries + 1);
997 cur_per = (100 * n_bad_frames / n_frames) >> 3;
998 new_per = (u8)(last_per - (last_per >> 3) + cur_per);
999 ath_rc_priv->state[tx_rate].per = new_per;
1000 }
1001 } else {
1002 ath_rc_priv->state[tx_rate].per =
1003 (u8)(last_per - (last_per >> 3) +
1004 (nretry_to_per_lookup[retries] >> 3));
1005 }
1006
1007 ath_rc_priv->rssi_last_prev2 = ath_rc_priv->rssi_last_prev;
1008 ath_rc_priv->rssi_last_prev = ath_rc_priv->rssi_last;
1009 ath_rc_priv->rssi_last = tx_info_priv->tx.ts_rssi;
1010 ath_rc_priv->rssi_time = now_msec;
1011
1012 /*
1013 * If we got at most one retry then increase the max rate if
1014 * this was a probe. Otherwise, ignore the probe.
1015 */
1016 if (ath_rc_priv->probe_rate && ath_rc_priv->probe_rate == tx_rate) {
1017 if (retries > 0 || 2 * tx_info_priv->n_bad_frames >
1018 tx_info_priv->n_frames) {
1019 /*
1020 * Since we probed with just a single attempt,
1021 * any retries means the probe failed. Also,
1022 * if the attempt worked, but more than half
1023 * the subframes were bad then also consider
1024 * the probe a failure.
1025 */
1026 ath_rc_priv->probe_rate = 0;
1027 } else {
1028 u8 probe_rate = 0;
1029
1030 ath_rc_priv->rate_max_phy =
1031 ath_rc_priv->probe_rate;
1032 probe_rate = ath_rc_priv->probe_rate;
1033
1034 if (ath_rc_priv->state[probe_rate].per > 30)
1035 ath_rc_priv->state[probe_rate].per = 20;
1036
1037 ath_rc_priv->probe_rate = 0;
1038
1039 /*
1040 * Since this probe succeeded, we allow the next
1041 * probe twice as soon. This allows the maxRate
1042 * to move up faster if the probes are
1043 * succesful.
1044 */
1045 ath_rc_priv->probe_time =
1046 now_msec - rate_table->probe_interval / 2;
1047 }
1048 }
1049
1050 if (retries > 0) {
1051 /*
1052 * Don't update anything. We don't know if
1053 * this was because of collisions or poor signal.
1054 *
1055 * Later: if rssi_ack is close to
1056 * ath_rc_priv->state[txRate].rssi_thres and we see lots
1057 * of retries, then we could increase
1058 * ath_rc_priv->state[txRate].rssi_thres.
1059 */
1060 ath_rc_priv->hw_maxretry_pktcnt = 0;
1061 } else {
1062 int32_t rssi_ackAvg;
1063 int8_t rssi_thres;
1064 int8_t rssi_ack_vmin;
1065
1066 /*
1067 * It worked with no retries. First ignore bogus (small)
1068 * rssi_ack values.
1069 */
1070 if (tx_rate == ath_rc_priv->rate_max_phy &&
1071 ath_rc_priv->hw_maxretry_pktcnt < 255) {
1072 ath_rc_priv->hw_maxretry_pktcnt++;
1073 }
1074
1075 if (tx_info_priv->tx.ts_rssi <
1076 rate_table->info[tx_rate].rssi_ack_validmin)
1077 goto exit;
1078
1079 /* Average the rssi */
1080 if (tx_rate != ath_rc_priv->rssi_sum_rate) {
1081 ath_rc_priv->rssi_sum_rate = tx_rate;
1082 ath_rc_priv->rssi_sum =
1083 ath_rc_priv->rssi_sum_cnt = 0;
1084 }
1085
1086 ath_rc_priv->rssi_sum += tx_info_priv->tx.ts_rssi;
1087 ath_rc_priv->rssi_sum_cnt++;
1088
1089 if (ath_rc_priv->rssi_sum_cnt < 4)
1090 goto exit;
1091
1092 rssi_ackAvg =
1093 (ath_rc_priv->rssi_sum + 2) / 4;
1094 rssi_thres =
1095 ath_rc_priv->state[tx_rate].rssi_thres;
1096 rssi_ack_vmin =
1097 rate_table->info[tx_rate].rssi_ack_validmin;
1098
1099 ath_rc_priv->rssi_sum =
1100 ath_rc_priv->rssi_sum_cnt = 0;
1101
1102 /* Now reduce the current rssi threshold */
1103 if ((rssi_ackAvg < rssi_thres + 2) &&
1104 (rssi_thres > rssi_ack_vmin)) {
1105 ath_rc_priv->state[tx_rate].rssi_thres--;
1106 }
1107
1108 state_change = true;
1109 }
1110 }
1111 exit:
1112 return state_change;
1113 }
1114
1115 /* Update PER, RSSI and whatever else that the code thinks it is doing.
1116 If you can make sense of all this, you really need to go out more. */
1117
1118 static void ath_rc_update_ht(struct ath_softc *sc,
1119 struct ath_rate_priv *ath_rc_priv,
1120 struct ath_tx_info_priv *tx_info_priv,
1121 int tx_rate, int xretries, int retries)
1122 {
1123 #define CHK_RSSI(rate) \
1124 ((ath_rc_priv->state[(rate)].rssi_thres + \
1125 rate_table->info[(rate)].rssi_ack_deltamin) > \
1126 ath_rc_priv->state[(rate)+1].rssi_thres)
1127
1128 u32 now_msec = jiffies_to_msecs(jiffies);
1129 int rate;
1130 u8 last_per;
1131 bool state_change = false;
1132 const struct ath_rate_table *rate_table = sc->cur_rate_table;
1133 int size = ath_rc_priv->rate_table_size;
1134
1135 if ((tx_rate < 0) || (tx_rate > rate_table->rate_cnt))
1136 return;
1137
1138 /* To compensate for some imbalance between ctrl and ext. channel */
1139
1140 if (WLAN_RC_PHY_40(rate_table->info[tx_rate].phy))
1141 tx_info_priv->tx.ts_rssi =
1142 tx_info_priv->tx.ts_rssi < 3 ? 0 :
1143 tx_info_priv->tx.ts_rssi - 3;
1144
1145 last_per = ath_rc_priv->state[tx_rate].per;
1146
1147 /* Update PER first */
1148 state_change = ath_rc_update_per(sc, rate_table, ath_rc_priv,
1149 tx_info_priv, tx_rate, xretries,
1150 retries, now_msec);
1151
1152 /*
1153 * If this rate looks bad (high PER) then stop using it for
1154 * a while (except if we are probing).
1155 */
1156 if (ath_rc_priv->state[tx_rate].per >= 55 && tx_rate > 0 &&
1157 rate_table->info[tx_rate].ratekbps <=
1158 rate_table->info[ath_rc_priv->rate_max_phy].ratekbps) {
1159 ath_rc_get_nextlowervalid_txrate(rate_table, ath_rc_priv,
1160 (u8)tx_rate, &ath_rc_priv->rate_max_phy);
1161
1162 /* Don't probe for a little while. */
1163 ath_rc_priv->probe_time = now_msec;
1164 }
1165
1166 if (state_change) {
1167 /*
1168 * Make sure the rates above this have higher rssi thresholds.
1169 * (Note: Monotonicity is kept within the OFDM rates and
1170 * within the CCK rates. However, no adjustment is
1171 * made to keep the rssi thresholds monotonically
1172 * increasing between the CCK and OFDM rates.)
1173 */
1174 for (rate = tx_rate; rate < size - 1; rate++) {
1175 if (rate_table->info[rate+1].phy !=
1176 rate_table->info[tx_rate].phy)
1177 break;
1178
1179 if (CHK_RSSI(rate)) {
1180 ath_rc_priv->state[rate+1].rssi_thres =
1181 ath_rc_priv->state[rate].rssi_thres +
1182 rate_table->info[rate].rssi_ack_deltamin;
1183 }
1184 }
1185
1186 /* Make sure the rates below this have lower rssi thresholds. */
1187 for (rate = tx_rate - 1; rate >= 0; rate--) {
1188 if (rate_table->info[rate].phy !=
1189 rate_table->info[tx_rate].phy)
1190 break;
1191
1192 if (CHK_RSSI(rate)) {
1193 if (ath_rc_priv->state[rate+1].rssi_thres <
1194 rate_table->info[rate].rssi_ack_deltamin)
1195 ath_rc_priv->state[rate].rssi_thres = 0;
1196 else {
1197 ath_rc_priv->state[rate].rssi_thres =
1198 ath_rc_priv->state[rate+1].rssi_thres -
1199 rate_table->info[rate].rssi_ack_deltamin;
1200 }
1201
1202 if (ath_rc_priv->state[rate].rssi_thres <
1203 rate_table->info[rate].rssi_ack_validmin) {
1204 ath_rc_priv->state[rate].rssi_thres =
1205 rate_table->info[rate].rssi_ack_validmin;
1206 }
1207 }
1208 }
1209 }
1210
1211 /* Make sure the rates below this have lower PER */
1212 /* Monotonicity is kept only for rates below the current rate. */
1213 if (ath_rc_priv->state[tx_rate].per < last_per) {
1214 for (rate = tx_rate - 1; rate >= 0; rate--) {
1215 if (rate_table->info[rate].phy !=
1216 rate_table->info[tx_rate].phy)
1217 break;
1218
1219 if (ath_rc_priv->state[rate].per >
1220 ath_rc_priv->state[rate+1].per) {
1221 ath_rc_priv->state[rate].per =
1222 ath_rc_priv->state[rate+1].per;
1223 }
1224 }
1225 }
1226
1227 /* Maintain monotonicity for rates above the current rate */
1228 for (rate = tx_rate; rate < size - 1; rate++) {
1229 if (ath_rc_priv->state[rate+1].per <
1230 ath_rc_priv->state[rate].per)
1231 ath_rc_priv->state[rate+1].per =
1232 ath_rc_priv->state[rate].per;
1233 }
1234
1235 /* Every so often, we reduce the thresholds and
1236 * PER (different for CCK and OFDM). */
1237 if (now_msec - ath_rc_priv->rssi_down_time >=
1238 rate_table->rssi_reduce_interval) {
1239
1240 for (rate = 0; rate < size; rate++) {
1241 if (ath_rc_priv->state[rate].rssi_thres >
1242 rate_table->info[rate].rssi_ack_validmin)
1243 ath_rc_priv->state[rate].rssi_thres -= 1;
1244 }
1245 ath_rc_priv->rssi_down_time = now_msec;
1246 }
1247
1248 /* Every so often, we reduce the thresholds
1249 * and PER (different for CCK and OFDM). */
1250 if (now_msec - ath_rc_priv->per_down_time >=
1251 rate_table->rssi_reduce_interval) {
1252 for (rate = 0; rate < size; rate++) {
1253 ath_rc_priv->state[rate].per =
1254 7 * ath_rc_priv->state[rate].per / 8;
1255 }
1256
1257 ath_rc_priv->per_down_time = now_msec;
1258 }
1259
1260 ath_debug_stat_retries(sc, tx_rate, xretries, retries,
1261 ath_rc_priv->state[tx_rate].per);
1262
1263 #undef CHK_RSSI
1264 }
1265
1266 static int ath_rc_get_rateindex(const struct ath_rate_table *rate_table,
1267 struct ieee80211_tx_rate *rate)
1268 {
1269 int rix;
1270
1271 if ((rate->flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1272 (rate->flags & IEEE80211_TX_RC_SHORT_GI))
1273 rix = rate_table->info[rate->idx].ht_index;
1274 else if (rate->flags & IEEE80211_TX_RC_SHORT_GI)
1275 rix = rate_table->info[rate->idx].sgi_index;
1276 else if (rate->flags & IEEE80211_TX_RC_40_MHZ_WIDTH)
1277 rix = rate_table->info[rate->idx].cw40index;
1278 else
1279 rix = rate_table->info[rate->idx].base_index;
1280
1281 return rix;
1282 }
1283
1284 static void ath_rc_tx_status(struct ath_softc *sc,
1285 struct ath_rate_priv *ath_rc_priv,
1286 struct ieee80211_tx_info *tx_info,
1287 int final_ts_idx, int xretries, int long_retry)
1288 {
1289 struct ath_tx_info_priv *tx_info_priv = ATH_TX_INFO_PRIV(tx_info);
1290 const struct ath_rate_table *rate_table;
1291 struct ieee80211_tx_rate *rates = tx_info->status.rates;
1292 u8 flags;
1293 u32 i = 0, rix;
1294
1295 rate_table = sc->cur_rate_table;
1296
1297 /*
1298 * If the first rate is not the final index, there
1299 * are intermediate rate failures to be processed.
1300 */
1301 if (final_ts_idx != 0) {
1302 /* Process intermediate rates that failed.*/
1303 for (i = 0; i < final_ts_idx ; i++) {
1304 if (rates[i].count != 0 && (rates[i].idx >= 0)) {
1305 flags = rates[i].flags;
1306
1307 /* If HT40 and we have switched mode from
1308 * 40 to 20 => don't update */
1309
1310 if ((flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1311 !(ath_rc_priv->ht_cap & WLAN_RC_40_FLAG))
1312 return;
1313
1314 rix = ath_rc_get_rateindex(rate_table, &rates[i]);
1315 ath_rc_update_ht(sc, ath_rc_priv,
1316 tx_info_priv, rix,
1317 xretries ? 1 : 2,
1318 rates[i].count);
1319 }
1320 }
1321 } else {
1322 /*
1323 * Handle the special case of MIMO PS burst, where the second
1324 * aggregate is sent out with only one rate and one try.
1325 * Treating it as an excessive retry penalizes the rate
1326 * inordinately.
1327 */
1328 if (rates[0].count == 1 && xretries == 1)
1329 xretries = 2;
1330 }
1331
1332 flags = rates[i].flags;
1333
1334 /* If HT40 and we have switched mode from 40 to 20 => don't update */
1335 if ((flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1336 !(ath_rc_priv->ht_cap & WLAN_RC_40_FLAG))
1337 return;
1338
1339 rix = ath_rc_get_rateindex(rate_table, &rates[i]);
1340 ath_rc_update_ht(sc, ath_rc_priv, tx_info_priv, rix,
1341 xretries, long_retry);
1342 }
1343
1344 static const
1345 struct ath_rate_table *ath_choose_rate_table(struct ath_softc *sc,
1346 enum ieee80211_band band,
1347 bool is_ht,
1348 bool is_cw_40)
1349 {
1350 int mode = 0;
1351
1352 switch(band) {
1353 case IEEE80211_BAND_2GHZ:
1354 mode = ATH9K_MODE_11G;
1355 if (is_ht)
1356 mode = ATH9K_MODE_11NG_HT20;
1357 if (is_cw_40)
1358 mode = ATH9K_MODE_11NG_HT40PLUS;
1359 break;
1360 case IEEE80211_BAND_5GHZ:
1361 mode = ATH9K_MODE_11A;
1362 if (is_ht)
1363 mode = ATH9K_MODE_11NA_HT20;
1364 if (is_cw_40)
1365 mode = ATH9K_MODE_11NA_HT40PLUS;
1366 break;
1367 default:
1368 DPRINTF(sc, ATH_DBG_CONFIG, "Invalid band\n");
1369 return NULL;
1370 }
1371
1372 BUG_ON(mode >= ATH9K_MODE_MAX);
1373
1374 DPRINTF(sc, ATH_DBG_CONFIG, "Choosing rate table for mode: %d\n", mode);
1375 return sc->hw_rate_table[mode];
1376 }
1377
1378 static void ath_rc_init(struct ath_softc *sc,
1379 struct ath_rate_priv *ath_rc_priv,
1380 struct ieee80211_supported_band *sband,
1381 struct ieee80211_sta *sta,
1382 const struct ath_rate_table *rate_table)
1383 {
1384 struct ath_rateset *rateset = &ath_rc_priv->neg_rates;
1385 u8 *ht_mcs = (u8 *)&ath_rc_priv->neg_ht_rates;
1386 u8 i, j, k, hi = 0, hthi = 0;
1387
1388 if (!rate_table) {
1389 DPRINTF(sc, ATH_DBG_FATAL, "Rate table not initialized\n");
1390 return;
1391 }
1392
1393 /* Initial rate table size. Will change depending
1394 * on the working rate set */
1395 ath_rc_priv->rate_table_size = RATE_TABLE_SIZE;
1396
1397 /* Initialize thresholds according to the global rate table */
1398 for (i = 0 ; i < ath_rc_priv->rate_table_size; i++) {
1399 ath_rc_priv->state[i].rssi_thres =
1400 rate_table->info[i].rssi_ack_validmin;
1401 ath_rc_priv->state[i].per = 0;
1402 }
1403
1404 /* Determine the valid rates */
1405 ath_rc_init_valid_txmask(ath_rc_priv);
1406
1407 for (i = 0; i < WLAN_RC_PHY_MAX; i++) {
1408 for (j = 0; j < MAX_TX_RATE_PHY; j++)
1409 ath_rc_priv->valid_phy_rateidx[i][j] = 0;
1410 ath_rc_priv->valid_phy_ratecnt[i] = 0;
1411 }
1412
1413 if (!rateset->rs_nrates) {
1414 /* No working rate, just initialize valid rates */
1415 hi = ath_rc_init_validrates(ath_rc_priv, rate_table,
1416 ath_rc_priv->ht_cap);
1417 } else {
1418 /* Use intersection of working rates and valid rates */
1419 hi = ath_rc_setvalid_rates(ath_rc_priv, rate_table,
1420 rateset, ath_rc_priv->ht_cap);
1421 if (ath_rc_priv->ht_cap & WLAN_RC_HT_FLAG) {
1422 hthi = ath_rc_setvalid_htrates(ath_rc_priv,
1423 rate_table,
1424 ht_mcs,
1425 ath_rc_priv->ht_cap);
1426 }
1427 hi = A_MAX(hi, hthi);
1428 }
1429
1430 ath_rc_priv->rate_table_size = hi + 1;
1431 ath_rc_priv->rate_max_phy = 0;
1432 ASSERT(ath_rc_priv->rate_table_size <= RATE_TABLE_SIZE);
1433
1434 for (i = 0, k = 0; i < WLAN_RC_PHY_MAX; i++) {
1435 for (j = 0; j < ath_rc_priv->valid_phy_ratecnt[i]; j++) {
1436 ath_rc_priv->valid_rate_index[k++] =
1437 ath_rc_priv->valid_phy_rateidx[i][j];
1438 }
1439
1440 if (!ath_rc_valid_phyrate(i, rate_table->initial_ratemax, 1)
1441 || !ath_rc_priv->valid_phy_ratecnt[i])
1442 continue;
1443
1444 ath_rc_priv->rate_max_phy = ath_rc_priv->valid_phy_rateidx[i][j-1];
1445 }
1446 ASSERT(ath_rc_priv->rate_table_size <= RATE_TABLE_SIZE);
1447 ASSERT(k <= RATE_TABLE_SIZE);
1448
1449 ath_rc_priv->max_valid_rate = k;
1450 ath_rc_sort_validrates(rate_table, ath_rc_priv);
1451 ath_rc_priv->rate_max_phy = ath_rc_priv->valid_rate_index[k-4];
1452 sc->cur_rate_table = rate_table;
1453
1454 DPRINTF(sc, ATH_DBG_CONFIG, "RC Initialized with capabilities: 0x%x\n",
1455 ath_rc_priv->ht_cap);
1456 }
1457
1458 static u8 ath_rc_build_ht_caps(struct ath_softc *sc, struct ieee80211_sta *sta,
1459 bool is_cw40, bool is_sgi40)
1460 {
1461 u8 caps = 0;
1462
1463 if (sta->ht_cap.ht_supported) {
1464 caps = WLAN_RC_HT_FLAG;
1465 if (sc->sc_ah->caps.tx_chainmask != 1 &&
1466 ath9k_hw_getcapability(sc->sc_ah, ATH9K_CAP_DS, 0, NULL)) {
1467 if (sta->ht_cap.mcs.rx_mask[1])
1468 caps |= WLAN_RC_DS_FLAG;
1469 }
1470 if (is_cw40)
1471 caps |= WLAN_RC_40_FLAG;
1472 if (is_sgi40)
1473 caps |= WLAN_RC_SGI_FLAG;
1474 }
1475
1476 return caps;
1477 }
1478
1479 /***********************************/
1480 /* mac80211 Rate Control callbacks */
1481 /***********************************/
1482
1483 static void ath_tx_status(void *priv, struct ieee80211_supported_band *sband,
1484 struct ieee80211_sta *sta, void *priv_sta,
1485 struct sk_buff *skb)
1486 {
1487 struct ath_softc *sc = priv;
1488 struct ath_rate_priv *ath_rc_priv = priv_sta;
1489 struct ath_tx_info_priv *tx_info_priv = NULL;
1490 struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
1491 struct ieee80211_hdr *hdr;
1492 int final_ts_idx, tx_status = 0, is_underrun = 0;
1493 __le16 fc;
1494
1495 hdr = (struct ieee80211_hdr *)skb->data;
1496 fc = hdr->frame_control;
1497 tx_info_priv = ATH_TX_INFO_PRIV(tx_info);
1498 final_ts_idx = tx_info_priv->tx.ts_rateindex;
1499
1500 if (!priv_sta || !ieee80211_is_data(fc) ||
1501 !tx_info_priv->update_rc)
1502 goto exit;
1503
1504 if (tx_info_priv->tx.ts_status & ATH9K_TXERR_FILT)
1505 goto exit;
1506
1507 /*
1508 * If underrun error is seen assume it as an excessive retry only
1509 * if prefetch trigger level have reached the max (0x3f for 5416)
1510 * Adjust the long retry as if the frame was tried hw->max_rate_tries
1511 * times. This affects how ratectrl updates PER for the failed rate.
1512 */
1513 if (tx_info_priv->tx.ts_flags &
1514 (ATH9K_TX_DATA_UNDERRUN | ATH9K_TX_DELIM_UNDERRUN) &&
1515 ((sc->sc_ah->tx_trig_level) >= ath_rc_priv->tx_triglevel_max)) {
1516 tx_status = 1;
1517 is_underrun = 1;
1518 }
1519
1520 if ((tx_info_priv->tx.ts_status & ATH9K_TXERR_XRETRY) ||
1521 (tx_info_priv->tx.ts_status & ATH9K_TXERR_FIFO))
1522 tx_status = 1;
1523
1524 ath_rc_tx_status(sc, ath_rc_priv, tx_info, final_ts_idx, tx_status,
1525 (is_underrun) ? sc->hw->max_rate_tries :
1526 tx_info_priv->tx.ts_longretry);
1527
1528 /* Check if aggregation has to be enabled for this tid */
1529 if (conf_is_ht(&sc->hw->conf) &&
1530 !(skb->protocol == cpu_to_be16(ETH_P_PAE))) {
1531 if (ieee80211_is_data_qos(fc)) {
1532 u8 *qc, tid;
1533 struct ath_node *an;
1534
1535 qc = ieee80211_get_qos_ctl(hdr);
1536 tid = qc[0] & 0xf;
1537 an = (struct ath_node *)sta->drv_priv;
1538
1539 if(ath_tx_aggr_check(sc, an, tid))
1540 ieee80211_start_tx_ba_session(sc->hw, hdr->addr1, tid);
1541 }
1542 }
1543
1544 ath_debug_stat_rc(sc, skb);
1545 exit:
1546 kfree(tx_info_priv);
1547 }
1548
1549 static void ath_get_rate(void *priv, struct ieee80211_sta *sta, void *priv_sta,
1550 struct ieee80211_tx_rate_control *txrc)
1551 {
1552 struct ieee80211_supported_band *sband = txrc->sband;
1553 struct sk_buff *skb = txrc->skb;
1554 struct ieee80211_hdr *hdr = (struct ieee80211_hdr *)skb->data;
1555 struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
1556 struct ath_softc *sc = priv;
1557 struct ath_rate_priv *ath_rc_priv = priv_sta;
1558 __le16 fc = hdr->frame_control;
1559
1560 /* lowest rate for management and NO_ACK frames */
1561 if (!ieee80211_is_data(fc) ||
1562 tx_info->flags & IEEE80211_TX_CTL_NO_ACK || !sta) {
1563 tx_info->control.rates[0].idx = rate_lowest_index(sband, sta);
1564 tx_info->control.rates[0].count =
1565 (tx_info->flags & IEEE80211_TX_CTL_NO_ACK) ?
1566 1 : ATH_MGT_TXMAXTRY;
1567 return;
1568 }
1569
1570 /* Find tx rate for unicast frames */
1571 ath_rc_ratefind(sc, ath_rc_priv, txrc);
1572 }
1573
1574 static void ath_rate_init(void *priv, struct ieee80211_supported_band *sband,
1575 struct ieee80211_sta *sta, void *priv_sta)
1576 {
1577 struct ath_softc *sc = priv;
1578 struct ath_rate_priv *ath_rc_priv = priv_sta;
1579 const struct ath_rate_table *rate_table = NULL;
1580 bool is_cw40, is_sgi40;
1581 int i, j = 0;
1582
1583 for (i = 0; i < sband->n_bitrates; i++) {
1584 if (sta->supp_rates[sband->band] & BIT(i)) {
1585 ath_rc_priv->neg_rates.rs_rates[j]
1586 = (sband->bitrates[i].bitrate * 2) / 10;
1587 j++;
1588 }
1589 }
1590 ath_rc_priv->neg_rates.rs_nrates = j;
1591
1592 if (sta->ht_cap.ht_supported) {
1593 for (i = 0, j = 0; i < 77; i++) {
1594 if (sta->ht_cap.mcs.rx_mask[i/8] & (1<<(i%8)))
1595 ath_rc_priv->neg_ht_rates.rs_rates[j++] = i;
1596 if (j == ATH_RATE_MAX)
1597 break;
1598 }
1599 ath_rc_priv->neg_ht_rates.rs_nrates = j;
1600 }
1601
1602 is_cw40 = sta->ht_cap.cap & IEEE80211_HT_CAP_SUP_WIDTH_20_40;
1603 is_sgi40 = sta->ht_cap.cap & IEEE80211_HT_CAP_SGI_40;
1604
1605 /* Choose rate table first */
1606
1607 if ((sc->sc_ah->opmode == NL80211_IFTYPE_STATION) ||
1608 (sc->sc_ah->opmode == NL80211_IFTYPE_MESH_POINT) ||
1609 (sc->sc_ah->opmode == NL80211_IFTYPE_ADHOC)) {
1610 rate_table = ath_choose_rate_table(sc, sband->band,
1611 sta->ht_cap.ht_supported,
1612 is_cw40);
1613 } else if (sc->sc_ah->opmode == NL80211_IFTYPE_AP) {
1614 /* cur_rate_table would be set on init through config() */
1615 rate_table = sc->cur_rate_table;
1616 }
1617
1618 ath_rc_priv->ht_cap = ath_rc_build_ht_caps(sc, sta, is_cw40, is_sgi40);
1619 ath_rc_init(sc, priv_sta, sband, sta, rate_table);
1620 }
1621
1622 static void ath_rate_update(void *priv, struct ieee80211_supported_band *sband,
1623 struct ieee80211_sta *sta, void *priv_sta,
1624 u32 changed)
1625 {
1626 struct ath_softc *sc = priv;
1627 struct ath_rate_priv *ath_rc_priv = priv_sta;
1628 const struct ath_rate_table *rate_table = NULL;
1629 bool oper_cw40 = false, oper_sgi40;
1630 bool local_cw40 = (ath_rc_priv->ht_cap & WLAN_RC_40_FLAG) ?
1631 true : false;
1632 bool local_sgi40 = (ath_rc_priv->ht_cap & WLAN_RC_SGI_FLAG) ?
1633 true : false;
1634
1635 /* FIXME: Handle AP mode later when we support CWM */
1636
1637 if (changed & IEEE80211_RC_HT_CHANGED) {
1638 if (sc->sc_ah->opmode != NL80211_IFTYPE_STATION)
1639 return;
1640
1641 if (sc->hw->conf.channel_type == NL80211_CHAN_HT40MINUS ||
1642 sc->hw->conf.channel_type == NL80211_CHAN_HT40PLUS)
1643 oper_cw40 = true;
1644
1645 oper_sgi40 = (sta->ht_cap.cap & IEEE80211_HT_CAP_SGI_40) ?
1646 true : false;
1647
1648 if ((local_cw40 != oper_cw40) || (local_sgi40 != oper_sgi40)) {
1649 rate_table = ath_choose_rate_table(sc, sband->band,
1650 sta->ht_cap.ht_supported,
1651 oper_cw40);
1652 ath_rc_priv->ht_cap = ath_rc_build_ht_caps(sc, sta,
1653 oper_cw40, oper_sgi40);
1654 ath_rc_init(sc, priv_sta, sband, sta, rate_table);
1655
1656 DPRINTF(sc, ATH_DBG_CONFIG,
1657 "Operating HT Bandwidth changed to: %d\n",
1658 sc->hw->conf.channel_type);
1659 }
1660 }
1661 }
1662
1663 static void *ath_rate_alloc(struct ieee80211_hw *hw, struct dentry *debugfsdir)
1664 {
1665 struct ath_wiphy *aphy = hw->priv;
1666 return aphy->sc;
1667 }
1668
1669 static void ath_rate_free(void *priv)
1670 {
1671 return;
1672 }
1673
1674 static void *ath_rate_alloc_sta(void *priv, struct ieee80211_sta *sta, gfp_t gfp)
1675 {
1676 struct ath_softc *sc = priv;
1677 struct ath_rate_priv *rate_priv;
1678
1679 rate_priv = kzalloc(sizeof(struct ath_rate_priv), gfp);
1680 if (!rate_priv) {
1681 DPRINTF(sc, ATH_DBG_FATAL,
1682 "Unable to allocate private rc structure\n");
1683 return NULL;
1684 }
1685
1686 rate_priv->rssi_down_time = jiffies_to_msecs(jiffies);
1687 rate_priv->tx_triglevel_max = sc->sc_ah->caps.tx_triglevel_max;
1688
1689 return rate_priv;
1690 }
1691
1692 static void ath_rate_free_sta(void *priv, struct ieee80211_sta *sta,
1693 void *priv_sta)
1694 {
1695 struct ath_rate_priv *rate_priv = priv_sta;
1696 kfree(rate_priv);
1697 }
1698
1699 static struct rate_control_ops ath_rate_ops = {
1700 .module = NULL,
1701 .name = "ath9k_rate_control",
1702 .tx_status = ath_tx_status,
1703 .get_rate = ath_get_rate,
1704 .rate_init = ath_rate_init,
1705 .rate_update = ath_rate_update,
1706 .alloc = ath_rate_alloc,
1707 .free = ath_rate_free,
1708 .alloc_sta = ath_rate_alloc_sta,
1709 .free_sta = ath_rate_free_sta,
1710 };
1711
1712 void ath_rate_attach(struct ath_softc *sc)
1713 {
1714 sc->hw_rate_table[ATH9K_MODE_11B] =
1715 &ar5416_11b_ratetable;
1716 sc->hw_rate_table[ATH9K_MODE_11A] =
1717 &ar5416_11a_ratetable;
1718 sc->hw_rate_table[ATH9K_MODE_11G] =
1719 &ar5416_11g_ratetable;
1720 sc->hw_rate_table[ATH9K_MODE_11NA_HT20] =
1721 &ar5416_11na_ratetable;
1722 sc->hw_rate_table[ATH9K_MODE_11NG_HT20] =
1723 &ar5416_11ng_ratetable;
1724 sc->hw_rate_table[ATH9K_MODE_11NA_HT40PLUS] =
1725 &ar5416_11na_ratetable;
1726 sc->hw_rate_table[ATH9K_MODE_11NA_HT40MINUS] =
1727 &ar5416_11na_ratetable;
1728 sc->hw_rate_table[ATH9K_MODE_11NG_HT40PLUS] =
1729 &ar5416_11ng_ratetable;
1730 sc->hw_rate_table[ATH9K_MODE_11NG_HT40MINUS] =
1731 &ar5416_11ng_ratetable;
1732 }
1733
1734 int ath_rate_control_register(void)
1735 {
1736 return ieee80211_rate_control_register(&ath_rate_ops);
1737 }
1738
1739 void ath_rate_control_unregister(void)
1740 {
1741 ieee80211_rate_control_unregister(&ath_rate_ops);
1742 }
This page took 0.107408 seconds and 6 git commands to generate.