x86-64: fix Intel64 handling of branch with data16 prefix
[deliverable/binutils-gdb.git] / gas / testsuite / gas / mep / allinsn.le.d
1 #as: -EL
2 #objdump: -dr
3 #source: allinsn.s
4 #name: allinsn.le
5
6 .*: +file format .*
7
8 Disassembly of section .text:
9
10 00000000 <sb>:
11 0: 88 07 sb \$7,\(\$8\)
12 2: 98 05 sb \$5,\(\$9\)
13 4: e8 07 sb \$7,\(\$gp\)
14 6: 88 0e sb \$gp,\(\$8\)
15 8: e8 0f sb \$sp,\(\$gp\)
16
17 0000000a <sh>:
18 a: 89 03 sh \$3,\(\$8\)
19 c: 19 0c sh \$12,\(\$1\)
20 e: 29 0d sh \$tp,\(\$2\)
21 10: 89 02 sh \$2,\(\$8\)
22 12: a9 0c sh \$12,\(\$10\)
23
24 00000014 <sw>:
25 14: 0a 0b sw \$11,\(\$0\)
26 16: 7a 03 sw \$3,\(\$7\)
27 18: ea 0d sw \$tp,\(\$gp\)
28 1a: 9a 08 sw \$8,\(\$9\)
29 1c: 8a 0e sw \$gp,\(\$8\)
30
31 0000001e <lb>:
32 1e: bc 0c lb \$12,\(\$11\)
33 20: 2c 09 lb \$9,\(\$2\)
34 22: bc 08 lb \$8,\(\$11\)
35 24: 2c 0e lb \$gp,\(\$2\)
36 26: cc 02 lb \$2,\(\$12\)
37
38 00000028 <lh>:
39 28: 8d 0f lh \$sp,\(\$8\)
40 2a: ad 03 lh \$3,\(\$10\)
41 2c: fd 09 lh \$9,\(\$sp\)
42 2e: fd 06 lh \$6,\(\$sp\)
43 30: bd 0f lh \$sp,\(\$11\)
44
45 00000032 <lw>:
46 32: ae 0c lw \$12,\(\$10\)
47 34: de 09 lw \$9,\(\$tp\)
48 36: ee 0c lw \$12,\(\$gp\)
49 38: be 0c lw \$12,\(\$11\)
50 3a: ae 0d lw \$tp,\(\$10\)
51
52 0000003c <lbu>:
53 3c: eb 0e lbu \$gp,\(\$gp\)
54 3e: 8b 0c lbu \$12,\(\$8\)
55 40: 1b 0e lbu \$gp,\(\$1\)
56 42: cb 08 lbu \$8,\(\$12\)
57 44: 1b 0c lbu \$12,\(\$1\)
58
59 00000046 <lhu>:
60 46: 4f 0f lhu \$sp,\(\$4\)
61 48: 4f 0e lhu \$gp,\(\$4\)
62 4a: 4f 05 lhu \$5,\(\$4\)
63 4c: df 0f lhu \$sp,\(\$tp\)
64 4e: ff 04 lhu \$4,\(\$sp\)
65
66 00000050 <sw_sp>:
67 50: 8a c9 03 00 sw \$9,3\(\$8\)
68 54: 5a ca 04 00 sw \$10,4\(\$5\)
69 58: ea c0 03 00 sw \$0,3\(\$gp\)
70 5c: 8a c0 02 00 sw \$0,2\(\$8\)
71 60: 8a cf 01 00 sw \$sp,1\(\$8\)
72
73 00000064 <lw_sp>:
74 64: 5e cd 01 00 lw \$tp,1\(\$5\)
75 68: 0e cf 01 00 lw \$sp,1\(\$0\)
76 6c: ce c0 04 00 lw \$0,4\(\$12\)
77 70: de cb 01 00 lw \$11,1\(\$tp\)
78 74: 4e c9 03 00 lw \$9,3\(\$4\)
79
80 00000078 <sb_tp>:
81 78: 18 c5 01 00 sb \$5,1\(\$1\)
82 7c: 98 ca 01 00 sb \$10,1\(\$9\)
83 80: 38 c5 03 00 sb \$5,3\(\$3\)
84 84: 38 c5 01 00 sb \$5,1\(\$3\)
85 88: 48 ca 04 00 sb \$10,4\(\$4\)
86
87 0000008c <sh_tp>:
88 8c: 09 c3 01 00 sh \$3,1\(\$0\)
89 90: 99 cd 01 00 sh \$tp,1\(\$9\)
90 94: a9 c9 04 00 sh \$9,4\(\$10\)
91 98: e9 cf 03 00 sh \$sp,3\(\$gp\)
92 9c: 99 ce 04 00 sh \$gp,4\(\$9\)
93
94 000000a0 <sw_tp>:
95 a0: da c6 02 00 sw \$6,2\(\$tp\)
96 a4: fa c6 01 00 sw \$6,1\(\$sp\)
97 a8: 3a c2 02 00 sw \$2,2\(\$3\)
98 ac: ca c6 02 00 sw \$6,2\(\$12\)
99 b0: ba c3 01 00 sw \$3,1\(\$11\)
100
101 000000b4 <lb_tp>:
102 b4: bc cd 04 00 lb \$tp,4\(\$11\)
103 b8: 8c cd 04 00 lb \$tp,4\(\$8\)
104 bc: 5c c5 04 00 lb \$5,4\(\$5\)
105 c0: ec cf 02 00 lb \$sp,2\(\$gp\)
106 c4: 3c c3 02 00 lb \$3,2\(\$3\)
107
108 000000c8 <lh_tp>:
109 c8: 8d c7 02 00 lh \$7,2\(\$8\)
110 cc: 8d c4 03 00 lh \$4,3\(\$8\)
111 d0: fd ce 01 00 lh \$gp,1\(\$sp\)
112 d4: 0d c9 01 00 lh \$9,1\(\$0\)
113 d8: 0d cd 02 00 lh \$tp,2\(\$0\)
114
115 000000dc <lw_tp>:
116 dc: 07 48 lw \$8,0x4\(\$sp\)
117 de: 9e cb 04 00 lw \$11,4\(\$9\)
118 e2: 2e ce 01 00 lw \$gp,1\(\$2\)
119 e6: ee c9 02 00 lw \$9,2\(\$gp\)
120 ea: ce c8 01 00 lw \$8,1\(\$12\)
121
122 000000ee <lbu_tp>:
123 ee: 9b cc 01 00 lbu \$12,1\(\$9\)
124 f2: 9b cb 01 00 lbu \$11,1\(\$9\)
125 f6: 8b ce 03 00 lbu \$gp,3\(\$8\)
126 fa: fb c0 02 00 lbu \$0,2\(\$sp\)
127 fe: bb cd 01 00 lbu \$tp,1\(\$11\)
128
129 00000102 <lhu_tp>:
130 102: af ce 02 00 lhu \$gp,2\(\$10\)
131 106: 8f cb 01 00 lhu \$11,1\(\$8\)
132 10a: 0f c1 01 00 lhu \$1,1\(\$0\)
133 10e: ff c7 02 00 lhu \$7,2\(\$sp\)
134 112: 83 8b lhu \$3,0x2\(\$tp\)
135
136 00000114 <sb16>:
137 114: b8 c7 ff ff sb \$7,-1\(\$11\)
138 118: e8 cd 01 00 sb \$tp,1\(\$gp\)
139 11c: e8 c3 01 00 sb \$3,1\(\$gp\)
140 120: 68 ce 02 00 sb \$gp,2\(\$6\)
141 124: 78 ce 01 00 sb \$gp,1\(\$7\)
142
143 00000128 <sh16>:
144 128: 49 cc ff ff sh \$12,-1\(\$4\)
145 12c: 19 cf 01 00 sh \$sp,1\(\$1\)
146 130: c9 c2 fe ff sh \$2,-2\(\$12\)
147 134: b9 c9 02 00 sh \$9,2\(\$11\)
148 138: c9 c9 fe ff sh \$9,-2\(\$12\)
149
150 0000013c <sw16>:
151 13c: ea cb ff ff sw \$11,-1\(\$gp\)
152 140: 06 44 sw \$4,0x4\(\$sp\)
153 142: 3a c2 fe ff sw \$2,-2\(\$3\)
154 146: 2a c6 ff ff sw \$6,-1\(\$2\)
155 14a: da c8 fe ff sw \$8,-2\(\$tp\)
156
157 0000014e <lb16>:
158 14e: 2c ca fe ff lb \$10,-2\(\$2\)
159 152: bc c3 fe ff lb \$3,-2\(\$11\)
160 156: 5c cc 01 00 lb \$12,1\(\$5\)
161 15a: 5c c5 01 00 lb \$5,1\(\$5\)
162 15e: dc cb 02 00 lb \$11,2\(\$tp\)
163
164 00000162 <lh16>:
165 162: bd cf ff ff lh \$sp,-1\(\$11\)
166 166: bd cd fe ff lh \$tp,-2\(\$11\)
167 16a: ad c2 01 00 lh \$2,1\(\$10\)
168 16e: 7d c8 ff ff lh \$8,-1\(\$7\)
169 172: bd ce ff ff lh \$gp,-1\(\$11\)
170
171 00000176 <lw16>:
172 176: 5e c0 ff ff lw \$0,-1\(\$5\)
173 17a: 7e cc fe ff lw \$12,-2\(\$7\)
174 17e: 3e c1 fe ff lw \$1,-2\(\$3\)
175 182: 7e c1 02 00 lw \$1,2\(\$7\)
176 186: 8e c4 01 00 lw \$4,1\(\$8\)
177
178 0000018a <lbu16>:
179 18a: 4b cc ff ff lbu \$12,-1\(\$4\)
180 18e: bb ce 01 00 lbu \$gp,1\(\$11\)
181 192: db c1 ff ff lbu \$1,-1\(\$tp\)
182 196: db c9 ff ff lbu \$9,-1\(\$tp\)
183 19a: fb c8 01 00 lbu \$8,1\(\$sp\)
184
185 0000019e <lhu16>:
186 19e: ff cd ff ff lhu \$tp,-1\(\$sp\)
187 1a2: 8f ce 02 00 lhu \$gp,2\(\$8\)
188 1a6: cf cf ff ff lhu \$sp,-1\(\$12\)
189 1aa: 0f c3 ff ff lhu \$3,-1\(\$0\)
190 1ae: cf c3 fe ff lhu \$3,-2\(\$12\)
191
192 000001b2 <sw24>:
193 1b2: 06 eb 00 00 sw \$11,\(0x4\)
194 1b6: 06 ef 00 00 sw \$sp,\(0x4\)
195 1ba: 0a e7 00 00 sw \$7,\(0x8\)
196 1be: 12 ea 00 00 sw \$10,\(0x10\)
197 1c2: a2 e8 00 00 sw \$8,\(0xa0\)
198
199 000001c6 <lw24>:
200 1c6: 07 e4 00 00 lw \$4,\(0x4\)
201 1ca: 07 ef 00 00 lw \$sp,\(0x4\)
202 1ce: 13 e4 00 00 lw \$4,\(0x10\)
203 1d2: 03 e8 00 00 lw \$8,\(0x0\)
204 1d6: 0b ed 00 00 lw \$tp,\(0x8\)
205
206 000001da <extb>:
207 1da: 0d 1d extb \$tp
208 1dc: 0d 1d extb \$tp
209 1de: 0d 16 extb \$6
210 1e0: 0d 1e extb \$gp
211 1e2: 0d 1a extb \$10
212
213 000001e4 <exth>:
214 1e4: 2d 1f exth \$sp
215 1e6: 2d 12 exth \$2
216 1e8: 2d 15 exth \$5
217 1ea: 2d 1a exth \$10
218 1ec: 2d 14 exth \$4
219
220 000001ee <extub>:
221 1ee: 8d 12 extub \$2
222 1f0: 8d 1d extub \$tp
223 1f2: 8d 13 extub \$3
224 1f4: 8d 19 extub \$9
225 1f6: 8d 1e extub \$gp
226
227 000001f8 <extuh>:
228 1f8: ad 18 extuh \$8
229 1fa: ad 18 extuh \$8
230 1fc: ad 14 extuh \$4
231 1fe: ad 10 extuh \$0
232 200: ad 10 extuh \$0
233
234 00000202 <ssarb>:
235 202: 8c 12 ssarb 2\(\$8\)
236 204: dc 12 ssarb 2\(\$tp\)
237 206: dc 11 ssarb 1\(\$tp\)
238 208: 5c 12 ssarb 2\(\$5\)
239 20a: 9c 10 ssarb 0\(\$9\)
240
241 0000020c <mov>:
242 20c: 30 02 mov \$2,\$3
243 20e: b0 03 mov \$3,\$11
244 210: a0 0f mov \$sp,\$10
245 212: 00 0f mov \$sp,\$0
246 214: d0 03 mov \$3,\$tp
247
248 00000216 <movi8>:
249 216: ff 5b mov \$11,-1
250 218: 02 56 mov \$6,2
251 21a: ff 5f mov \$sp,-1
252 21c: 01 5f mov \$sp,1
253 21e: ff 5e mov \$gp,-1
254
255 00000220 <movi16>:
256 220: 00 5f mov \$sp,0
257 222: 02 50 mov \$0,2
258 224: ff 58 mov \$8,-1
259 226: 01 5c mov \$12,1
260 228: ff 57 mov \$7,-1
261
262 0000022a <movu24>:
263 22a: 01 d2 00 00 movu \$2,0x1
264 22e: 11 ca 04 00 movu \$10,0x4
265 232: 11 c9 00 00 movu \$9,0x0
266 236: 03 d4 00 00 movu \$4,0x3
267 23a: 11 ce 01 00 movu \$gp,0x1
268
269 0000023e <movu16>:
270 23e: 11 cf 01 00 movu \$sp,0x1
271 242: 03 d6 00 00 movu \$6,0x3
272 246: 03 d0 00 00 movu \$0,0x3
273 24a: 11 ce 03 00 movu \$gp,0x3
274 24e: 11 ca 02 00 movu \$10,0x2
275
276 00000252 <movh>:
277 252: 21 c8 02 00 movh \$8,0x2
278 256: 21 cd 01 00 movh \$tp,0x1
279 25a: 21 ce 02 00 movh \$gp,0x2
280 25e: 21 cc 00 00 movh \$12,0x0
281 262: 21 cb 02 00 movh \$11,0x2
282
283 00000266 <add3>:
284 266: 36 9b add3 \$6,\$11,\$3
285 268: 5e 9d add3 \$gp,\$tp,\$5
286 26a: 73 9b add3 \$3,\$11,\$7
287 26c: dd 9e add3 \$tp,\$gp,\$tp
288 26e: 80 9e add3 \$0,\$gp,\$8
289
290 00000270 <add>:
291 270: 08 6c add \$12,2
292 272: fc 6c add \$12,-1
293 274: 04 64 add \$4,1
294 276: 04 66 add \$6,1
295 278: 08 66 add \$6,2
296
297 0000027a <add3i>:
298 27a: 04 4b add3 \$11,\$sp,0x4
299 27c: f0 c4 01 00 add3 \$4,\$sp,1
300 280: 00 40 add3 \$0,\$sp,0x0
301 282: f0 cd 03 00 add3 \$tp,\$sp,3
302 286: 00 4b add3 \$11,\$sp,0x0
303
304 00000288 <advck3>:
305 288: a7 0e advck3 \$0,\$gp,\$10
306 28a: 07 0d advck3 \$0,\$tp,\$0
307 28c: d7 0e advck3 \$0,\$gp,\$tp
308 28e: 87 07 advck3 \$0,\$7,\$8
309 290: 27 01 advck3 \$0,\$1,\$2
310
311 00000292 <sub>:
312 292: e4 08 sub \$8,\$gp
313 294: 94 01 sub \$1,\$9
314 296: 74 0d sub \$tp,\$7
315 298: 34 0f sub \$sp,\$3
316 29a: 74 02 sub \$2,\$7
317
318 0000029c <sbvck3>:
319 29c: e5 03 sbvck3 \$0,\$3,\$gp
320 29e: 75 03 sbvck3 \$0,\$3,\$7
321 2a0: a5 0a sbvck3 \$0,\$10,\$10
322 2a2: d5 04 sbvck3 \$0,\$4,\$tp
323 2a4: f5 0a sbvck3 \$0,\$10,\$sp
324
325 000002a6 <neg>:
326 2a6: 71 0e neg \$gp,\$7
327 2a8: 71 01 neg \$1,\$7
328 2aa: b1 02 neg \$2,\$11
329 2ac: 81 0d neg \$tp,\$8
330 2ae: d1 0e neg \$gp,\$tp
331
332 000002b0 <slt3>:
333 2b0: 82 0e slt3 \$0,\$gp,\$8
334 2b2: d2 04 slt3 \$0,\$4,\$tp
335 2b4: e2 0a slt3 \$0,\$10,\$gp
336 2b6: 52 0e slt3 \$0,\$gp,\$5
337 2b8: c2 03 slt3 \$0,\$3,\$12
338
339 000002ba <sltu3>:
340 2ba: 83 02 sltu3 \$0,\$2,\$8
341 2bc: b3 0e sltu3 \$0,\$gp,\$11
342 2be: d3 02 sltu3 \$0,\$2,\$tp
343 2c0: 83 09 sltu3 \$0,\$9,\$8
344 2c2: 93 06 sltu3 \$0,\$6,\$9
345
346 000002c4 <slt3i>:
347 2c4: 11 66 slt3 \$0,\$6,0x2
348 2c6: 09 6b slt3 \$0,\$11,0x1
349 2c8: 01 6f slt3 \$0,\$sp,0x0
350 2ca: 01 63 slt3 \$0,\$3,0x0
351 2cc: 01 6d slt3 \$0,\$tp,0x0
352
353 000002ce <sltu3i>:
354 2ce: 25 6e sltu3 \$0,\$gp,0x4
355 2d0: 1d 6d sltu3 \$0,\$tp,0x3
356 2d2: 0d 63 sltu3 \$0,\$3,0x1
357 2d4: 05 6c sltu3 \$0,\$12,0x0
358 2d6: 1d 61 sltu3 \$0,\$1,0x3
359
360 000002d8 <sl1ad3>:
361 2d8: e6 28 sl1ad3 \$0,\$8,\$gp
362 2da: 26 24 sl1ad3 \$0,\$4,\$2
363 2dc: c6 2f sl1ad3 \$0,\$sp,\$12
364 2de: 16 29 sl1ad3 \$0,\$9,\$1
365 2e0: 26 28 sl1ad3 \$0,\$8,\$2
366
367 000002e2 <sl2ad3>:
368 2e2: d7 28 sl2ad3 \$0,\$8,\$tp
369 2e4: 37 22 sl2ad3 \$0,\$2,\$3
370 2e6: 97 28 sl2ad3 \$0,\$8,\$9
371 2e8: c7 27 sl2ad3 \$0,\$7,\$12
372 2ea: c7 24 sl2ad3 \$0,\$4,\$12
373
374 000002ec <add3x>:
375 2ec: b0 cd 01 00 add3 \$tp,\$11,1
376 2f0: 40 cd ff ff add3 \$tp,\$4,-1
377 2f4: d0 c2 01 00 add3 \$2,\$tp,1
378 2f8: e0 c3 01 00 add3 \$3,\$gp,1
379 2fc: f0 ca 02 00 add3 \$10,\$sp,2
380
381 00000300 <slt3x>:
382 300: 12 c8 ff ff slt3 \$8,\$1,-1
383 304: 32 c0 fe ff slt3 \$0,\$3,-2
384 308: f2 c9 ff ff slt3 \$9,\$sp,-1
385 30c: 82 c3 02 00 slt3 \$3,\$8,2
386 310: e2 cd 00 00 slt3 \$tp,\$gp,0
387
388 00000314 <sltu3x>:
389 314: b3 cf 02 00 sltu3 \$sp,\$11,0x2
390 318: 03 c6 01 00 sltu3 \$6,\$0,0x1
391 31c: b3 c9 03 00 sltu3 \$9,\$11,0x3
392 320: 05 64 sltu3 \$0,\$4,0x0
393 322: e3 cd 04 00 sltu3 \$tp,\$gp,0x4
394
395 00000326 <or>:
396 326: e0 1f or \$sp,\$gp
397 328: 30 18 or \$8,\$3
398 32a: f0 10 or \$0,\$sp
399 32c: 00 1d or \$tp,\$0
400 32e: 60 18 or \$8,\$6
401
402 00000330 <and>:
403 330: f1 1f and \$sp,\$sp
404 332: e1 16 and \$6,\$gp
405 334: 21 14 and \$4,\$2
406 336: 81 15 and \$5,\$8
407 338: e1 17 and \$7,\$gp
408
409 0000033a <xor>:
410 33a: c2 11 xor \$1,\$12
411 33c: d2 1c xor \$12,\$tp
412 33e: 82 1a xor \$10,\$8
413 340: b2 1f xor \$sp,\$11
414 342: 82 1c xor \$12,\$8
415
416 00000344 <nor>:
417 344: 53 19 nor \$9,\$5
418 346: 23 18 nor \$8,\$2
419 348: 93 1f nor \$sp,\$9
420 34a: f3 15 nor \$5,\$sp
421 34c: e3 1f nor \$sp,\$gp
422
423 0000034e <or3>:
424 34e: f4 cd 02 00 or3 \$tp,\$sp,0x2
425 352: d4 cf 03 00 or3 \$sp,\$tp,0x3
426 356: a4 c0 04 00 or3 \$0,\$10,0x4
427 35a: f4 c9 03 00 or3 \$9,\$sp,0x3
428 35e: f4 c9 00 00 or3 \$9,\$sp,0x0
429
430 00000362 <and3>:
431 362: 85 c5 01 00 and3 \$5,\$8,0x1
432 366: e5 cb 03 00 and3 \$11,\$gp,0x3
433 36a: 05 c6 00 00 and3 \$6,\$0,0x0
434 36e: f5 cf 00 00 and3 \$sp,\$sp,0x0
435 372: a5 c1 03 00 and3 \$1,\$10,0x3
436
437 00000376 <xor3>:
438 376: 06 c0 02 00 xor3 \$0,\$0,0x2
439 37a: 66 cf 00 00 xor3 \$sp,\$6,0x0
440 37e: 56 cd 00 00 xor3 \$tp,\$5,0x0
441 382: 76 cf 00 00 xor3 \$sp,\$7,0x0
442 386: f6 cf 02 00 xor3 \$sp,\$sp,0x2
443
444 0000038a <sra>:
445 38a: 1d 24 sra \$4,\$1
446 38c: fd 28 sra \$8,\$sp
447 38e: 1d 21 sra \$1,\$1
448 390: 5d 20 sra \$0,\$5
449 392: 1d 29 sra \$9,\$1
450
451 00000394 <srl>:
452 394: bc 22 srl \$2,\$11
453 396: 7c 2f srl \$sp,\$7
454 398: 7c 21 srl \$1,\$7
455 39a: dc 23 srl \$3,\$tp
456 39c: 1c 2e srl \$gp,\$1
457
458 0000039e <sll>:
459 39e: 0e 2b sll \$11,\$0
460 3a0: 8e 2d sll \$tp,\$8
461 3a2: 9e 28 sll \$8,\$9
462 3a4: fe 2d sll \$tp,\$sp
463 3a6: fe 2f sll \$sp,\$sp
464
465 000003a8 <srai>:
466 3a8: 13 61 sra \$1,0x2
467 3aa: 1b 6f sra \$sp,0x3
468 3ac: 1b 6f sra \$sp,0x3
469 3ae: 23 66 sra \$6,0x4
470 3b0: 1b 6f sra \$sp,0x3
471
472 000003b2 <srli>:
473 3b2: 02 6a srl \$10,0x0
474 3b4: 1a 69 srl \$9,0x3
475 3b6: 22 66 srl \$6,0x4
476 3b8: 12 6a srl \$10,0x2
477 3ba: 1a 68 srl \$8,0x3
478
479 000003bc <slli>:
480 3bc: 06 60 sll \$0,0x0
481 3be: 06 64 sll \$4,0x0
482 3c0: 16 6d sll \$tp,0x2
483 3c2: 16 6b sll \$11,0x2
484 3c4: 06 66 sll \$6,0x0
485
486 000003c6 <sll3>:
487 3c6: 27 6d sll3 \$0,\$tp,0x4
488 3c8: 07 6e sll3 \$0,\$gp,0x0
489 3ca: 17 68 sll3 \$0,\$8,0x2
490 3cc: 17 63 sll3 \$0,\$3,0x2
491 3ce: 07 68 sll3 \$0,\$8,0x0
492
493 000003d0 <fsft>:
494 3d0: af 2e fsft \$gp,\$10
495 3d2: 9f 2e fsft \$gp,\$9
496 3d4: df 2f fsft \$sp,\$tp
497 3d6: 3f 2b fsft \$11,\$3
498 3d8: 3f 25 fsft \$5,\$3
499
500 000003da <bra>:
501 3da: 02 b0 bra 3dc <bra\+0x2>
502 3dc: fe bf bra 3da <bra>
503 3de: 02 b0 bra 3e0 <bra\+0x6>
504 3e0: 00 b0 bra 3e0 <bra\+0x6>
505 3e2: 02 b0 bra 3e4 <beqz>
506
507 000003e4 <beqz>:
508 3e4: fe a1 beqz \$1,3e2 <bra\+0x8>
509 3e6: 02 af beqz \$sp,3e8 <beqz\+0x4>
510 3e8: 04 a4 beqz \$4,3ec <beqz\+0x8>
511 3ea: 00 a4 beqz \$4,3ea <beqz\+0x6>
512 3ec: fe a9 beqz \$9,3ea <beqz\+0x6>
513
514 000003ee <bnez>:
515 3ee: 03 a8 bnez \$8,3f0 <bnez\+0x2>
516 3f0: 03 ad bnez \$tp,3f2 <bnez\+0x4>
517 3f2: 01 ae bnez \$gp,3f2 <bnez\+0x4>
518 3f4: 03 a6 bnez \$6,3f6 <bnez\+0x8>
519 3f6: fd a8 bnez \$8,3f2 <bnez\+0x4>
520
521 000003f8 <beqi>:
522 3f8: 30 ed 00 00 beqi \$tp,0x3,3f8 <beqi>
523 3fc: 40 e0 ff ff beqi \$0,0x4,3fa <beqi\+0x2>
524 400: 40 ef ff ff beqi \$sp,0x4,3fe <beqi\+0x6>
525 404: 20 ed 00 00 beqi \$tp,0x2,404 <beqi\+0xc>
526 408: 20 e4 fc ff beqi \$4,0x2,400 <beqi\+0x8>
527
528 0000040c <bnei>:
529 40c: 14 e8 00 00 bnei \$8,0x1,40c <bnei>
530 410: 14 e5 01 00 bnei \$5,0x1,412 <bnei\+0x6>
531 414: 04 e5 04 00 bnei \$5,0x0,41c <bnei\+0x10>
532 418: 44 e9 ff ff bnei \$9,0x4,416 <bnei\+0xa>
533 41c: 44 e0 fc ff bnei \$0,0x4,414 <bnei\+0x8>
534
535 00000420 <blti>:
536 420: 3c e7 00 00 blti \$7,0x3,420 <blti>
537 424: 1c e1 00 00 blti \$1,0x1,424 <blti\+0x4>
538 428: 2c e8 01 00 blti \$8,0x2,42a <blti\+0xa>
539 42c: 2c eb 01 00 blti \$11,0x2,42e <blti\+0xe>
540 430: 3c ef ff ff blti \$sp,0x3,42e <blti\+0xe>
541
542 00000434 <bgei>:
543 434: 38 e4 fc ff bgei \$4,0x3,42c <blti\+0xc>
544 438: 08 e7 01 00 bgei \$7,0x0,43a <bgei\+0x6>
545 43c: 18 ed 00 00 bgei \$tp,0x1,43c <bgei\+0x8>
546 440: 28 e5 ff ff bgei \$5,0x2,43e <bgei\+0xa>
547 444: 48 ec fc ff bgei \$12,0x4,43c <bgei\+0x8>
548
549 00000448 <beq>:
550 448: 21 e7 ff ff beq \$7,\$2,446 <bgei\+0x12>
551 44c: 31 e1 fc ff beq \$1,\$3,444 <bgei\+0x10>
552 450: 01 e2 01 00 beq \$2,\$0,452 <beq\+0xa>
553 454: 81 ef 01 00 beq \$sp,\$8,456 <beq\+0xe>
554 458: 01 e3 00 00 beq \$3,\$0,458 <beq\+0x10>
555
556 0000045c <bne>:
557 45c: 35 e6 00 00 bne \$6,\$3,45c <bne>
558 460: 35 ef fc ff bne \$sp,\$3,458 <beq\+0x10>
559 464: 05 e8 01 00 bne \$8,\$0,466 <bne\+0xa>
560 468: f5 ee 04 00 bne \$gp,\$sp,470 <bsr12>
561 46c: 45 ef 01 00 bne \$sp,\$4,46e <bne\+0x12>
562
563 00000470 <bsr12>:
564 470: 03 b0 bsr 472 <bsr12\+0x2>
565 472: f9 bf bsr 46a <bne\+0xe>
566 474: f1 bf bsr 464 <bne\+0x8>
567 476: ff bf bsr 474 <bsr12\+0x4>
568 478: f9 bf bsr 470 <bsr12>
569
570 0000047a <bsr24>:
571 47a: 05 b0 bsr 47e <bsr24\+0x4>
572 47c: ff bf bsr 47a <bsr24>
573 47e: fd bf bsr 47a <bsr24>
574 480: 01 b0 bsr 480 <bsr24\+0x6>
575 482: 03 b0 bsr 484 <jmp>
576
577 00000484 <jmp>:
578 484: 2e 10 jmp \$2
579 486: de 10 jmp \$tp
580 488: 5e 10 jmp \$5
581 48a: fe 10 jmp \$sp
582 48c: 8e 10 jmp \$8
583
584 0000048e <jmp24>:
585 48e: 28 d8 00 00 jmp 4 <sb\+0x4>
586 492: 18 d8 00 00 jmp 2 <sb\+0x2>
587 496: 08 d8 00 00 jmp 0 <sb>
588 49a: 18 d8 00 00 jmp 2 <sb\+0x2>
589 49e: 28 d8 00 00 jmp 4 <sb\+0x4>
590
591 000004a2 <jsr>:
592 4a2: ff 10 jsr \$sp
593 4a4: df 10 jsr \$tp
594 4a6: df 10 jsr \$tp
595 4a8: 6f 10 jsr \$6
596 4aa: 6f 10 jsr \$6
597
598 000004ac <ret>:
599 4ac: 02 70 ret
600
601 000004ae <repeat>:
602 4ae: 09 e4 01 00 repeat \$4,4b0 <repeat\+0x2>
603 4b2: 09 e8 02 00 repeat \$8,4b6 <repeat\+0x8>
604 4b6: 09 e0 04 00 repeat \$0,4be <repeat\+0x10>
605 4ba: 09 e6 01 00 repeat \$6,4bc <repeat\+0xe>
606 4be: 09 e4 01 00 repeat \$4,4c0 <repeat\+0x12>
607
608 000004c2 <erepeat>:
609 4c2: 19 e0 01 00 erepeat 4c4 <erepeat\+0x2>
610 4c6: 19 e0 00 00 erepeat 4c6 <erepeat\+0x4>
611 4ca: 19 e0 01 00 erepeat 4cc <erepeat\+0xa>
612 4ce: 19 e0 ff ff erepeat 4cc <erepeat\+0xa>
613 4d2: 19 e0 00 00 erepeat 4d2 <erepeat\+0x10>
614
615 000004d6 <stc>:
616 4d6: e8 7d stc \$tp,\$mb1
617 4d8: c9 7d stc \$tp,\$ccfg
618 4da: 89 7b stc \$11,\$dbg
619 4dc: c9 7a stc \$10,\$ccfg
620 4de: 39 79 stc \$9,\$epc
621
622 000004e0 <ldc>:
623 4e0: 8a 7d ldc \$tp,\$lo
624 4e2: 7b 78 ldc \$8,\$npc
625 4e4: ca 79 ldc \$9,\$mb0
626 4e6: 2a 7f ldc \$sp,\$sar
627 4e8: cb 79 ldc \$9,\$ccfg
628
629 000004ea <di>:
630 4ea: 00 70 di
631
632 000004ec <ei>:
633 4ec: 10 70 ei
634
635 000004ee <reti>:
636 4ee: 12 70 reti
637
638 000004f0 <halt>:
639 4f0: 22 70 halt
640
641 000004f2 <swi>:
642 4f2: 26 70 swi 0x2
643 4f4: 06 70 swi 0x0
644 4f6: 26 70 swi 0x2
645 4f8: 36 70 swi 0x3
646 4fa: 16 70 swi 0x1
647
648 000004fc <break>:
649 4fc: 32 70 break
650
651 000004fe <syncm>:
652 4fe: 11 70 syncm
653
654 00000500 <stcb>:
655 500: 04 f5 04 00 stcb \$5,0x4
656 504: 04 f5 01 00 stcb \$5,0x1
657 508: 04 fe 00 00 stcb \$gp,0x0
658 50c: 04 ff 04 00 stcb \$sp,0x4
659 510: 04 fb 02 00 stcb \$11,0x2
660
661 00000514 <ldcb>:
662 514: 14 f2 03 00 ldcb \$2,0x3
663 518: 14 f2 04 00 ldcb \$2,0x4
664 51c: 14 f9 01 00 ldcb \$9,0x1
665 520: 14 fa 04 00 ldcb \$10,0x4
666 524: 14 f1 04 00 ldcb \$1,0x4
667
668 00000528 <bsetm>:
669 528: a0 20 bsetm \(\$10\),0x0
670 52a: f0 20 bsetm \(\$sp\),0x0
671 52c: 10 22 bsetm \(\$1\),0x2
672 52e: f0 24 bsetm \(\$sp\),0x4
673 530: 80 24 bsetm \(\$8\),0x4
674
675 00000532 <bclrm>:
676 532: 51 20 bclrm \(\$5\),0x0
677 534: 51 22 bclrm \(\$5\),0x2
678 536: 81 20 bclrm \(\$8\),0x0
679 538: 91 22 bclrm \(\$9\),0x2
680 53a: 51 23 bclrm \(\$5\),0x3
681
682 0000053c <bnotm>:
683 53c: e2 24 bnotm \(\$gp\),0x4
684 53e: b2 24 bnotm \(\$11\),0x4
685 540: a2 20 bnotm \(\$10\),0x0
686 542: d2 24 bnotm \(\$tp\),0x4
687 544: 82 20 bnotm \(\$8\),0x0
688
689 00000546 <btstm>:
690 546: e3 20 btstm \$0,\(\$gp\),0x0
691 548: e3 21 btstm \$0,\(\$gp\),0x1
692 54a: b3 20 btstm \$0,\(\$11\),0x0
693 54c: e3 23 btstm \$0,\(\$gp\),0x3
694 54e: 83 22 btstm \$0,\(\$8\),0x2
695
696 00000550 <tas>:
697 550: d4 27 tas \$7,\(\$tp\)
698 552: c4 27 tas \$7,\(\$12\)
699 554: 84 23 tas \$3,\(\$8\)
700 556: 54 22 tas \$2,\(\$5\)
701 558: a4 26 tas \$6,\(\$10\)
702
703 0000055a <cache>:
704 55a: d4 71 cache 0x1,\(\$tp\)
705 55c: c4 73 cache 0x3,\(\$12\)
706 55e: 94 73 cache 0x3,\(\$9\)
707 560: 24 74 cache 0x4,\(\$2\)
708 562: 74 74 cache 0x4,\(\$7\)
709
710 00000564 <mul>:
711 564: e4 18 mul \$8,\$gp
712 566: 94 12 mul \$2,\$9
713 568: f4 1e mul \$gp,\$sp
714 56a: 74 19 mul \$9,\$7
715 56c: b4 17 mul \$7,\$11
716
717 0000056e <mulu>:
718 56e: 55 12 mulu \$2,\$5
719 570: e5 16 mulu \$6,\$gp
720 572: f5 1e mulu \$gp,\$sp
721 574: e5 1b mulu \$11,\$gp
722 576: 95 13 mulu \$3,\$9
723
724 00000578 <mulr>:
725 578: 66 1c mulr \$12,\$6
726 57a: 86 1d mulr \$tp,\$8
727 57c: a6 17 mulr \$7,\$10
728 57e: 16 1e mulr \$gp,\$1
729 580: f6 10 mulr \$0,\$sp
730
731 00000582 <mulru>:
732 582: 27 14 mulru \$4,\$2
733 584: 17 1e mulru \$gp,\$1
734 586: 47 1f mulru \$sp,\$4
735 588: 67 1a mulru \$10,\$6
736 58a: e7 10 mulru \$0,\$gp
737
738 0000058c <madd>:
739 58c: b1 f4 04 30 madd \$4,\$11
740 590: e1 ff 04 30 madd \$sp,\$gp
741 594: f1 fe 04 30 madd \$gp,\$sp
742 598: d1 f4 04 30 madd \$4,\$tp
743 59c: e1 f1 04 30 madd \$1,\$gp
744
745 000005a0 <maddu>:
746 5a0: 11 f0 05 30 maddu \$0,\$1
747 5a4: 61 f7 05 30 maddu \$7,\$6
748 5a8: 51 f9 05 30 maddu \$9,\$5
749 5ac: f1 fe 05 30 maddu \$gp,\$sp
750 5b0: d1 f7 05 30 maddu \$7,\$tp
751
752 000005b4 <maddr>:
753 5b4: 81 f6 06 30 maddr \$6,\$8
754 5b8: e1 f9 06 30 maddr \$9,\$gp
755 5bc: e1 f8 06 30 maddr \$8,\$gp
756 5c0: 21 f3 06 30 maddr \$3,\$2
757 5c4: b1 f1 06 30 maddr \$1,\$11
758
759 000005c8 <maddru>:
760 5c8: 31 fa 07 30 maddru \$10,\$3
761 5cc: c1 ff 07 30 maddru \$sp,\$12
762 5d0: 81 f8 07 30 maddru \$8,\$8
763 5d4: 31 fe 07 30 maddru \$gp,\$3
764 5d8: f1 f8 07 30 maddru \$8,\$sp
765
766 000005dc <div>:
767 5dc: 38 19 div \$9,\$3
768 5de: e8 14 div \$4,\$gp
769 5e0: c8 12 div \$2,\$12
770 5e2: d8 18 div \$8,\$tp
771 5e4: 68 1d div \$tp,\$6
772
773 000005e6 <divu>:
774 5e6: 59 19 divu \$9,\$5
775 5e8: d9 18 divu \$8,\$tp
776 5ea: e9 10 divu \$0,\$gp
777 5ec: 59 19 divu \$9,\$5
778 5ee: 59 10 divu \$0,\$5
779
780 000005f0 <dret>:
781 5f0: 13 70 dret
782
783 000005f2 <dbreak>:
784 5f2: 33 70 dbreak
785
786 000005f4 <ldz>:
787 5f4: 41 fe 00 00 ldz \$gp,\$4
788 5f8: b1 fa 00 00 ldz \$10,\$11
789 5fc: 91 f9 00 00 ldz \$9,\$9
790 600: d1 ff 00 00 ldz \$sp,\$tp
791 604: 31 fe 00 00 ldz \$gp,\$3
792
793 00000608 <abs>:
794 608: 91 ff 03 00 abs \$sp,\$9
795 60c: 41 f5 03 00 abs \$5,\$4
796 610: d1 fd 03 00 abs \$tp,\$tp
797 614: 31 f0 03 00 abs \$0,\$3
798 618: e1 f3 03 00 abs \$3,\$gp
799
800 0000061c <ave>:
801 61c: a1 fb 02 00 ave \$11,\$10
802 620: a1 f8 02 00 ave \$8,\$10
803 624: 21 fe 02 00 ave \$gp,\$2
804 628: c1 fa 02 00 ave \$10,\$12
805 62c: 81 ff 02 00 ave \$sp,\$8
806
807 00000630 <min>:
808 630: 31 f8 04 00 min \$8,\$3
809 634: 01 f7 04 00 min \$7,\$0
810 638: 21 f2 04 00 min \$2,\$2
811 63c: 61 f5 04 00 min \$5,\$6
812 640: 51 fb 04 00 min \$11,\$5
813
814 00000644 <max>:
815 644: f1 fb 05 00 max \$11,\$sp
816 648: 01 fe 05 00 max \$gp,\$0
817 64c: f1 fc 05 00 max \$12,\$sp
818 650: 21 fe 05 00 max \$gp,\$2
819 654: f1 fe 05 00 max \$gp,\$sp
820
821 00000658 <minu>:
822 658: 81 fb 06 00 minu \$11,\$8
823 65c: 51 f7 06 00 minu \$7,\$5
824 660: e1 f8 06 00 minu \$8,\$gp
825 664: 41 fb 06 00 minu \$11,\$4
826 668: f1 f2 06 00 minu \$2,\$sp
827
828 0000066c <maxu>:
829 66c: 31 f3 07 00 maxu \$3,\$3
830 670: 01 fd 07 00 maxu \$tp,\$0
831 674: 81 f4 07 00 maxu \$4,\$8
832 678: 21 fe 07 00 maxu \$gp,\$2
833 67c: 81 fc 07 00 maxu \$12,\$8
834
835 00000680 <clip>:
836 680: 01 fa 08 10 clip \$10,0x1
837 684: 01 ff 20 10 clip \$sp,0x4
838 688: 01 f4 18 10 clip \$4,0x3
839 68c: 01 ff 18 10 clip \$sp,0x3
840 690: 01 f1 00 10 clip \$1,0x0
841
842 00000694 <clipu>:
843 694: 01 fa 21 10 clipu \$10,0x4
844 698: 01 fd 09 10 clipu \$tp,0x1
845 69c: 01 f5 21 10 clipu \$5,0x4
846 6a0: 01 fe 01 10 clipu \$gp,0x0
847 6a4: 01 f5 09 10 clipu \$5,0x1
848
849 000006a8 <sadd>:
850 6a8: 01 f5 08 00 sadd \$5,\$0
851 6ac: 31 ff 08 00 sadd \$sp,\$3
852 6b0: a1 f0 08 00 sadd \$0,\$10
853 6b4: c1 ff 08 00 sadd \$sp,\$12
854 6b8: 21 f4 08 00 sadd \$4,\$2
855
856 000006bc <ssub>:
857 6bc: a1 f1 0a 00 ssub \$1,\$10
858 6c0: 71 f4 0a 00 ssub \$4,\$7
859 6c4: 31 f8 0a 00 ssub \$8,\$3
860 6c8: e1 f7 0a 00 ssub \$7,\$gp
861 6cc: 41 fd 0a 00 ssub \$tp,\$4
862
863 000006d0 <saddu>:
864 6d0: e1 f9 09 00 saddu \$9,\$gp
865 6d4: a1 f0 09 00 saddu \$0,\$10
866 6d8: c1 f7 09 00 saddu \$7,\$12
867 6dc: f1 f5 09 00 saddu \$5,\$sp
868 6e0: 31 fd 09 00 saddu \$tp,\$3
869
870 000006e4 <ssubu>:
871 6e4: e1 ff 0b 00 ssubu \$sp,\$gp
872 6e8: f1 f0 0b 00 ssubu \$0,\$sp
873 6ec: a1 f3 0b 00 ssubu \$3,\$10
874 6f0: d1 ff 0b 00 ssubu \$sp,\$tp
875 6f4: 91 f2 0b 00 ssubu \$2,\$9
876
877 000006f8 <swcp>:
878 6f8: d8 33 swcp \$c3,\(\$tp\)
879 6fa: d8 3f swcp \$c15,\(\$tp\)
880 6fc: 08 3d swcp \$c13,\(\$0\)
881 6fe: c8 3c swcp \$c12,\(\$12\)
882 700: e8 39 swcp \$c9,\(\$gp\)
883
884 00000702 <lwcp>:
885 702: 39 37 lwcp \$c7,\(\$3\)
886 704: 39 36 lwcp \$c6,\(\$3\)
887 706: 29 30 lwcp \$c0,\(\$2\)
888 708: 89 38 lwcp \$c8,\(\$8\)
889 70a: d9 3b lwcp \$c11,\(\$tp\)
890
891 0000070c <smcp>:
892 70c: 9a 3e smcp \$c14,\(\$9\)
893 70e: 8a 32 smcp \$c2,\(\$8\)
894 710: fa 3e smcp \$c14,\(\$sp\)
895 712: 8a 3a smcp \$c10,\(\$8\)
896 714: 8a 32 smcp \$c2,\(\$8\)
897
898 00000716 <lmcp>:
899 716: 1b 3b lmcp \$c11,\(\$1\)
900 718: 8b 38 lmcp \$c8,\(\$8\)
901 71a: db 3b lmcp \$c11,\(\$tp\)
902 71c: 0b 38 lmcp \$c8,\(\$0\)
903 71e: eb 38 lmcp \$c8,\(\$gp\)
904
905 00000720 <swcpi>:
906 720: 00 37 swcpi \$c7,\(\$0\+\)
907 722: e0 36 swcpi \$c6,\(\$gp\+\)
908 724: 80 3c swcpi \$c12,\(\$8\+\)
909 726: f0 3e swcpi \$c14,\(\$sp\+\)
910 728: 00 36 swcpi \$c6,\(\$0\+\)
911
912 0000072a <lwcpi>:
913 72a: 21 38 lwcpi \$c8,\(\$2\+\)
914 72c: 01 39 lwcpi \$c9,\(\$0\+\)
915 72e: e1 33 lwcpi \$c3,\(\$gp\+\)
916 730: 51 3d lwcpi \$c13,\(\$5\+\)
917 732: e1 3b lwcpi \$c11,\(\$gp\+\)
918
919 00000734 <smcpi>:
920 734: 22 38 smcpi \$c8,\(\$2\+\)
921 736: 92 3b smcpi \$c11,\(\$9\+\)
922 738: 32 34 smcpi \$c4,\(\$3\+\)
923 73a: 22 3e smcpi \$c14,\(\$2\+\)
924 73c: 32 39 smcpi \$c9,\(\$3\+\)
925
926 0000073e <lmcpi>:
927 73e: e3 36 lmcpi \$c6,\(\$gp\+\)
928 740: 53 39 lmcpi \$c9,\(\$5\+\)
929 742: 63 3a lmcpi \$c10,\(\$6\+\)
930 744: 63 31 lmcpi \$c1,\(\$6\+\)
931 746: 83 32 lmcpi \$c2,\(\$8\+\)
932
933 00000748 <swcp16>:
934 748: 2c f0 ff ff swcp \$c0,-1\(\$2\)
935 74c: ac f5 01 00 swcp \$c5,1\(\$10\)
936 750: cc f8 02 00 swcp \$c8,2\(\$12\)
937 754: 1c fe ff ff swcp \$c14,-1\(\$1\)
938 758: 3c fc 02 00 swcp \$c12,2\(\$3\)
939
940 0000075c <lwcp16>:
941 75c: 5d f8 ff ff lwcp \$c8,-1\(\$5\)
942 760: fd fc 01 00 lwcp \$c12,1\(\$sp\)
943 764: 0d f1 02 00 lwcp \$c1,2\(\$0\)
944 768: dd f4 01 00 lwcp \$c4,1\(\$tp\)
945 76c: bd f6 02 00 lwcp \$c6,2\(\$11\)
946
947 00000770 <smcp16>:
948 770: ae f9 ff ff smcp \$c9,-1\(\$10\)
949 774: ee fe 01 00 smcp \$c14,1\(\$gp\)
950 778: fe f3 02 00 smcp \$c3,2\(\$sp\)
951 77c: 8e ff fe ff smcp \$c15,-2\(\$8\)
952 780: de fd 01 00 smcp \$c13,1\(\$tp\)
953
954 00000784 <lmcp16>:
955 784: ff f0 01 00 lmcp \$c0,1\(\$sp\)
956 788: 8f ff 01 00 lmcp \$c15,1\(\$8\)
957 78c: 8f f2 ff ff lmcp \$c2,-1\(\$8\)
958 790: 8f fe 01 00 lmcp \$c14,1\(\$8\)
959 794: af f1 ff ff lmcp \$c1,-1\(\$10\)
960
961 00000798 <sbcpa>:
962 798: f5 fe 02 00 sbcpa \$c14,\(\$sp\+\),2
963 79c: 45 f2 fe 00 sbcpa \$c2,\(\$4\+\),-2
964 7a0: 15 f8 00 00 sbcpa \$c8,\(\$1\+\),0
965 7a4: 35 fb 00 00 sbcpa \$c11,\(\$3\+\),0
966 7a8: e5 f9 fe 00 sbcpa \$c9,\(\$gp\+\),-2
967
968 000007ac <lbcpa>:
969 7ac: 25 f7 fe 40 lbcpa \$c7,\(\$2\+\),-2
970 7b0: f5 fc 02 40 lbcpa \$c12,\(\$sp\+\),2
971 7b4: 45 f5 fe 40 lbcpa \$c5,\(\$4\+\),-2
972 7b8: 45 f7 fe 40 lbcpa \$c7,\(\$4\+\),-2
973 7bc: f5 f8 00 40 lbcpa \$c8,\(\$sp\+\),0
974
975 000007c0 <shcpa>:
976 7c0: e5 f0 00 10 shcpa \$c0,\(\$gp\+\),0
977 7c4: f5 fc 10 10 shcpa \$c12,\(\$sp\+\),16
978 7c8: 45 f1 04 10 shcpa \$c1,\(\$4\+\),4
979 7cc: 45 f5 e0 10 shcpa \$c5,\(\$4\+\),-32
980 7d0: f5 f1 00 10 shcpa \$c1,\(\$sp\+\),0
981
982 000007d4 <lhcpa>:
983 7d4: 45 f4 00 50 lhcpa \$c4,\(\$4\+\),0
984 7d8: 55 f6 30 50 lhcpa \$c6,\(\$5\+\),48
985 7dc: 65 f3 cc 50 lhcpa \$c3,\(\$6\+\),-52
986 7e0: 65 f8 e8 50 lhcpa \$c8,\(\$6\+\),-24
987 7e4: 95 f0 00 50 lhcpa \$c0,\(\$9\+\),0
988
989 000007e8 <swcpa>:
990 7e8: 95 f1 10 20 swcpa \$c1,\(\$9\+\),16
991 7ec: f5 f7 20 20 swcpa \$c7,\(\$sp\+\),32
992 7f0: c5 f3 30 20 swcpa \$c3,\(\$12\+\),48
993 7f4: 95 fa 08 20 swcpa \$c10,\(\$9\+\),8
994 7f8: 85 fe 04 20 swcpa \$c14,\(\$8\+\),4
995
996 000007fc <lwcpa>:
997 7fc: e5 f6 f8 60 lwcpa \$c6,\(\$gp\+\),-8
998 800: 75 f4 04 60 lwcpa \$c4,\(\$7\+\),4
999 804: e5 fb f0 60 lwcpa \$c11,\(\$gp\+\),-16
1000 808: f5 fa e0 60 lwcpa \$c10,\(\$sp\+\),-32
1001 80c: 25 f2 08 60 lwcpa \$c2,\(\$2\+\),8
1002
1003 00000810 <smcpa>:
1004 810: f5 fd f8 30 smcpa \$c13,\(\$sp\+\),-8
1005 814: 75 f6 f8 30 smcpa \$c6,\(\$7\+\),-8
1006 818: 35 f5 10 30 smcpa \$c5,\(\$3\+\),16
1007 81c: f5 fd 10 30 smcpa \$c13,\(\$sp\+\),16
1008 820: c5 f3 30 30 smcpa \$c3,\(\$12\+\),48
1009
1010 00000824 <lmcpa>:
1011 824: 45 f9 00 70 lmcpa \$c9,\(\$4\+\),0
1012 828: f5 f3 f0 70 lmcpa \$c3,\(\$sp\+\),-16
1013 82c: d5 ff 08 70 lmcpa \$c15,\(\$tp\+\),8
1014 830: 85 f8 f8 70 lmcpa \$c8,\(\$8\+\),-8
1015 834: 95 fa 00 70 lmcpa \$c10,\(\$9\+\),0
1016
1017 00000838 <sbcpm0>:
1018 838: d5 fa 08 08 sbcpm0 \$c10,\(\$tp\+\),8
1019 83c: 55 fd f8 08 sbcpm0 \$c13,\(\$5\+\),-8
1020 840: 55 f4 f8 08 sbcpm0 \$c4,\(\$5\+\),-8
1021 844: d5 fa 10 08 sbcpm0 \$c10,\(\$tp\+\),16
1022 848: 55 f4 e8 08 sbcpm0 \$c4,\(\$5\+\),-24
1023
1024 0000084c <lbcpm0>:
1025 84c: 45 f0 00 48 lbcpm0 \$c0,\(\$4\+\),0
1026 850: 75 f9 f8 48 lbcpm0 \$c9,\(\$7\+\),-8
1027 854: 85 fc 18 48 lbcpm0 \$c12,\(\$8\+\),24
1028 858: c5 f8 10 48 lbcpm0 \$c8,\(\$12\+\),16
1029 85c: 85 f7 10 48 lbcpm0 \$c7,\(\$8\+\),16
1030
1031 00000860 <shcpm0>:
1032 860: d5 f2 02 18 shcpm0 \$c2,\(\$tp\+\),2
1033 864: f5 f7 fe 18 shcpm0 \$c7,\(\$sp\+\),-2
1034 868: 25 f8 02 18 shcpm0 \$c8,\(\$2\+\),2
1035 86c: 55 fd 00 18 shcpm0 \$c13,\(\$5\+\),0
1036 870: e5 f3 08 18 shcpm0 \$c3,\(\$gp\+\),8
1037
1038 00000874 <lhcpm0>:
1039 874: 45 f7 08 58 lhcpm0 \$c7,\(\$4\+\),8
1040 878: 35 f3 fe 58 lhcpm0 \$c3,\(\$3\+\),-2
1041 87c: 15 f3 00 58 lhcpm0 \$c3,\(\$1\+\),0
1042 880: e5 f2 00 58 lhcpm0 \$c2,\(\$gp\+\),0
1043 884: 65 fc 02 58 lhcpm0 \$c12,\(\$6\+\),2
1044
1045 00000888 <swcpm0>:
1046 888: 85 f8 20 28 swcpm0 \$c8,\(\$8\+\),32
1047 88c: f5 f9 00 28 swcpm0 \$c9,\(\$sp\+\),0
1048 890: 25 f9 f0 28 swcpm0 \$c9,\(\$2\+\),-16
1049 894: e5 f0 30 28 swcpm0 \$c0,\(\$gp\+\),48
1050 898: 15 ff 08 28 swcpm0 \$c15,\(\$1\+\),8
1051
1052 0000089c <lwcpm0>:
1053 89c: a5 fe fc 68 lwcpm0 \$c14,\(\$10\+\),-4
1054 8a0: f5 fb fc 68 lwcpm0 \$c11,\(\$sp\+\),-4
1055 8a4: 75 f5 f8 68 lwcpm0 \$c5,\(\$7\+\),-8
1056 8a8: c5 f2 20 68 lwcpm0 \$c2,\(\$12\+\),32
1057 8ac: e5 f2 10 68 lwcpm0 \$c2,\(\$gp\+\),16
1058
1059 000008b0 <smcpm0>:
1060 8b0: c5 f1 08 38 smcpm0 \$c1,\(\$12\+\),8
1061 8b4: 45 f8 f0 38 smcpm0 \$c8,\(\$4\+\),-16
1062 8b8: b5 fa 00 38 smcpm0 \$c10,\(\$11\+\),0
1063 8bc: 35 f1 f0 38 smcpm0 \$c1,\(\$3\+\),-16
1064 8c0: f5 fb f8 38 smcpm0 \$c11,\(\$sp\+\),-8
1065
1066 000008c4 <lmcpm0>:
1067 8c4: a5 fe 00 78 lmcpm0 \$c14,\(\$10\+\),0
1068 8c8: f5 f6 f0 78 lmcpm0 \$c6,\(\$sp\+\),-16
1069 8cc: 15 fd 08 78 lmcpm0 \$c13,\(\$1\+\),8
1070 8d0: d5 fa e8 78 lmcpm0 \$c10,\(\$tp\+\),-24
1071 8d4: e5 f7 e8 78 lmcpm0 \$c7,\(\$gp\+\),-24
1072
1073 000008d8 <sbcpm1>:
1074 8d8: 85 f9 00 0c sbcpm1 \$c9,\(\$8\+\),0
1075 8dc: c5 f7 e8 0c sbcpm1 \$c7,\(\$12\+\),-24
1076 8e0: 55 ff e8 0c sbcpm1 \$c15,\(\$5\+\),-24
1077 8e4: d5 f5 10 0c sbcpm1 \$c5,\(\$tp\+\),16
1078 8e8: 15 f6 80 0c sbcpm1 \$c6,\(\$1\+\),-128
1079
1080 000008ec <lbcpm1>:
1081 8ec: e5 f6 02 4c lbcpm1 \$c6,\(\$gp\+\),2
1082 8f0: d5 f7 fe 4c lbcpm1 \$c7,\(\$tp\+\),-2
1083 8f4: d5 f4 01 4c lbcpm1 \$c4,\(\$tp\+\),1
1084 8f8: 25 fc fe 4c lbcpm1 \$c12,\(\$2\+\),-2
1085 8fc: 75 fb 01 4c lbcpm1 \$c11,\(\$7\+\),1
1086
1087 00000900 <shcpm1>:
1088 900: 85 f4 18 1c shcpm1 \$c4,\(\$8\+\),24
1089 904: 65 fb f0 1c shcpm1 \$c11,\(\$6\+\),-16
1090 908: 85 f7 08 1c shcpm1 \$c7,\(\$8\+\),8
1091 90c: c5 f5 10 1c shcpm1 \$c5,\(\$12\+\),16
1092 910: 85 f0 e0 1c shcpm1 \$c0,\(\$8\+\),-32
1093
1094 00000914 <lhcpm1>:
1095 914: 05 fb 00 5c lhcpm1 \$c11,\(\$0\+\),0
1096 918: d5 f7 fe 5c lhcpm1 \$c7,\(\$tp\+\),-2
1097 91c: 85 fa 08 5c lhcpm1 \$c10,\(\$8\+\),8
1098 920: d5 f3 00 5c lhcpm1 \$c3,\(\$tp\+\),0
1099 924: 65 f9 02 5c lhcpm1 \$c9,\(\$6\+\),2
1100
1101 00000928 <swcpm1>:
1102 928: 85 f9 18 2c swcpm1 \$c9,\(\$8\+\),24
1103 92c: e5 f9 00 2c swcpm1 \$c9,\(\$gp\+\),0
1104 930: 85 f9 10 2c swcpm1 \$c9,\(\$8\+\),16
1105 934: 15 fe 00 2c swcpm1 \$c14,\(\$1\+\),0
1106 938: f5 f2 08 2c swcpm1 \$c2,\(\$sp\+\),8
1107
1108 0000093c <lwcpm1>:
1109 93c: 85 f8 00 6c lwcpm1 \$c8,\(\$8\+\),0
1110 940: e5 f3 f0 6c lwcpm1 \$c3,\(\$gp\+\),-16
1111 944: 65 f7 f8 6c lwcpm1 \$c7,\(\$6\+\),-8
1112 948: 85 fe e8 6c lwcpm1 \$c14,\(\$8\+\),-24
1113 94c: 85 f3 18 6c lwcpm1 \$c3,\(\$8\+\),24
1114
1115 00000950 <smcpm1>:
1116 950: 45 fa 00 3c smcpm1 \$c10,\(\$4\+\),0
1117 954: f5 f6 f0 3c smcpm1 \$c6,\(\$sp\+\),-16
1118 958: 75 fd e8 3c smcpm1 \$c13,\(\$7\+\),-24
1119 95c: e5 f3 f8 3c smcpm1 \$c3,\(\$gp\+\),-8
1120 960: 25 f0 08 3c smcpm1 \$c0,\(\$2\+\),8
1121
1122 00000964 <lmcpm1>:
1123 964: 15 fc 00 7c lmcpm1 \$c12,\(\$1\+\),0
1124 968: 65 f0 08 7c lmcpm1 \$c0,\(\$6\+\),8
1125 96c: 25 f6 f8 7c lmcpm1 \$c6,\(\$2\+\),-8
1126 970: e5 fc f0 7c lmcpm1 \$c12,\(\$gp\+\),-16
1127 974: f5 fe 30 7c lmcpm1 \$c14,\(\$sp\+\),48
1128
1129 00000... <bcpeq>:
1130 ...: 44 d8 00 00 bcpeq 0x4,... <bcpeq>
1131 ...: 04 d8 ff ff bcpeq 0x0,... <bcpeq\+0x2>
1132 ...: 44 d8 ff ff bcpeq 0x4,... <bcpeq\+0x6>
1133 ...: 14 d8 01 00 bcpeq 0x1,... <bcpeq\+0xe>
1134 ...: 24 d8 01 00 bcpeq 0x2,... <bcpeq\+0x12>
1135
1136 00000... <bcpne>:
1137 ...: 25 d8 00 00 bcpne 0x2,... <bcpne>
1138 ...: 45 d8 00 00 bcpne 0x4,... <bcpne\+0x4>
1139 ...: 15 d8 00 00 bcpne 0x1,... <bcpne\+0x8>
1140 ...: 45 d8 00 00 bcpne 0x4,... <bcpne\+0xc>
1141 ...: 15 d8 01 00 bcpne 0x1,... <bcpne\+0x12>
1142
1143 00000... <bcpat>:
1144 ...: 16 d8 ff ff bcpat 0x1,... <bcpne\+0x12>
1145 ...: 06 d8 01 00 bcpat 0x0,... <bcpat\+0x6>
1146 ...: 06 d8 ff ff bcpat 0x0,... <bcpat\+0x6>
1147 ...: 26 d8 00 00 bcpat 0x2,... <bcpat\+0xc>
1148 ...: 16 d8 ff ff bcpat 0x1,... <bcpat\+0xe>
1149
1150 00000... <bcpaf>:
1151 ...: 47 d8 00 00 bcpaf 0x4,... <bcpaf>
1152 ...: 37 d8 00 00 bcpaf 0x3,... <bcpaf\+0x4>
1153 ...: 47 d8 00 00 bcpaf 0x4,... <bcpaf\+0x8>
1154 ...: 17 d8 01 00 bcpaf 0x1,... <bcpaf\+0xe>
1155 ...: 47 d8 01 00 bcpaf 0x4,... <bcpaf\+0x12>
1156
1157 00000... <synccp>:
1158 ...: 21 70 synccp
1159
1160 00000... <jsrv>:
1161 ...: bf 18 jsrv \$11
1162 ...: 5f 18 jsrv \$5
1163 ...: af 18 jsrv \$10
1164 ...: cf 18 jsrv \$12
1165 ...: af 18 jsrv \$10
1166
1167 00000... <bsrv>:
1168 ...: fb df ff ff bsrv ... <jsrv\+0x8>
1169 ...: fb df ff ff bsrv ... <bsrv\+0x2>
1170 ...: fb df ff ff bsrv ... <bsrv\+0x6>
1171 ...: 1b d8 00 00 bsrv ... <bsrv\+0xe>
1172 ...: 0b d8 00 00 bsrv ... <bsrv\+0x10>
1173
1174 00000... <case106341>:
1175 ...: 78 7a stc \$10,\$hi
1176 ...: 8a 70 ldc \$0,\$lo
1177
1178 00000... <case106821>:
1179 ...: 08 00 sb \$0,\(\$0\)
1180 ...: 09 00 sh \$0,\(\$0\)
1181 ...: 0a 00 sw \$0,\(\$0\)
1182 ...: 0c 00 lb \$0,\(\$0\)
1183 ...: 0d 00 lh \$0,\(\$0\)
1184 ...: 0e 00 lw \$0,\(\$0\)
1185 ...: 0b 00 lbu \$0,\(\$0\)
1186 ...: 0f 00 lhu \$0,\(\$0\)
1187 ...: 08 00 sb \$0,\(\$0\)
1188 ...: 08 00 sb \$0,\(\$0\)
1189 ...: 08 00 sb \$0,\(\$0\)
1190 ...: 08 00 sb \$0,\(\$0\)
1191 ...: 08 00 sb \$0,\(\$0\)
1192 ...: 08 00 sb \$0,\(\$0\)
1193 ...: 09 00 sh \$0,\(\$0\)
1194 ...: 09 00 sh \$0,\(\$0\)
1195 ...: 09 00 sh \$0,\(\$0\)
1196 ...: 09 00 sh \$0,\(\$0\)
1197 ...: 09 00 sh \$0,\(\$0\)
1198 ...: 09 00 sh \$0,\(\$0\)
1199 ...: 0a 00 sw \$0,\(\$0\)
1200 ...: 0a 00 sw \$0,\(\$0\)
1201 ...: 0a 00 sw \$0,\(\$0\)
1202 ...: 0a 00 sw \$0,\(\$0\)
1203 ...: 0a 00 sw \$0,\(\$0\)
1204 ...: 0a 00 sw \$0,\(\$0\)
1205 ...: 0c 00 lb \$0,\(\$0\)
1206 ...: 0c 00 lb \$0,\(\$0\)
1207 ...: 0c 00 lb \$0,\(\$0\)
1208 ...: 0c 00 lb \$0,\(\$0\)
1209 ...: 0c 00 lb \$0,\(\$0\)
1210 ...: 0c 00 lb \$0,\(\$0\)
1211 ...: 0d 00 lh \$0,\(\$0\)
1212 ...: 0d 00 lh \$0,\(\$0\)
1213 ...: 0d 00 lh \$0,\(\$0\)
1214 ...: 0d 00 lh \$0,\(\$0\)
1215 ...: 0d 00 lh \$0,\(\$0\)
1216 ...: 0d 00 lh \$0,\(\$0\)
1217 ...: 0e 00 lw \$0,\(\$0\)
1218 ...: 0e 00 lw \$0,\(\$0\)
1219 ...: 0e 00 lw \$0,\(\$0\)
1220 ...: 0e 00 lw \$0,\(\$0\)
1221 ...: 0e 00 lw \$0,\(\$0\)
1222 ...: 0e 00 lw \$0,\(\$0\)
1223 ...: 0b 00 lbu \$0,\(\$0\)
1224 ...: 0b 00 lbu \$0,\(\$0\)
1225 ...: 0b 00 lbu \$0,\(\$0\)
1226 ...: 0b 00 lbu \$0,\(\$0\)
1227 ...: 0b 00 lbu \$0,\(\$0\)
1228 ...: 0b 00 lbu \$0,\(\$0\)
1229 ...: 0f 00 lhu \$0,\(\$0\)
1230 ...: 0f 00 lhu \$0,\(\$0\)
1231 ...: 0f 00 lhu \$0,\(\$0\)
1232 ...: 0f 00 lhu \$0,\(\$0\)
1233 ...: 0f 00 lhu \$0,\(\$0\)
1234 ...: 0f 00 lhu \$0,\(\$0\)
1235 ...: 08 c0 01 00 sb \$0,1\(\$0\)
1236 ...: 08 c0 01 00 sb \$0,1\(\$0\)
1237 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1238 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1239 ...: 08 c0 01 00 sb \$0,1\(\$0\)
1240 ...: 08 c0 01 00 sb \$0,1\(\$0\)
1241 ...: 09 c0 01 00 sh \$0,1\(\$0\)
1242 ...: 09 c0 01 00 sh \$0,1\(\$0\)
1243 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1244 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1245 ...: 09 c0 01 00 sh \$0,1\(\$0\)
1246 ...: 09 c0 01 00 sh \$0,1\(\$0\)
1247 ...: 0a c0 01 00 sw \$0,1\(\$0\)
1248 ...: 0a c0 01 00 sw \$0,1\(\$0\)
1249 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1250 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1251 ...: 0a c0 01 00 sw \$0,1\(\$0\)
1252 ...: 0a c0 01 00 sw \$0,1\(\$0\)
1253 ...: 0c c0 01 00 lb \$0,1\(\$0\)
1254 ...: 0c c0 01 00 lb \$0,1\(\$0\)
1255 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1256 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1257 ...: 0c c0 01 00 lb \$0,1\(\$0\)
1258 ...: 0c c0 01 00 lb \$0,1\(\$0\)
1259 ...: 0d c0 01 00 lh \$0,1\(\$0\)
1260 ...: 0d c0 01 00 lh \$0,1\(\$0\)
1261 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1262 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1263 ...: 0d c0 01 00 lh \$0,1\(\$0\)
1264 ...: 0d c0 01 00 lh \$0,1\(\$0\)
1265 ...: 0e c0 01 00 lw \$0,1\(\$0\)
1266 ...: 0e c0 01 00 lw \$0,1\(\$0\)
1267 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1268 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1269 ...: 0e c0 01 00 lw \$0,1\(\$0\)
1270 ...: 0e c0 01 00 lw \$0,1\(\$0\)
1271 ...: 0b c0 01 00 lbu \$0,1\(\$0\)
1272 ...: 0b c0 01 00 lbu \$0,1\(\$0\)
1273 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1274 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1275 ...: 0b c0 01 00 lbu \$0,1\(\$0\)
1276 ...: 0b c0 01 00 lbu \$0,1\(\$0\)
1277 ...: 0f c0 01 00 lhu \$0,1\(\$0\)
1278 ...: 0f c0 01 00 lhu \$0,1\(\$0\)
1279 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1280 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1281 ...: 0f c0 01 00 lhu \$0,1\(\$0\)
1282 ...: 0f c0 01 00 lhu \$0,1\(\$0\)
1283 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1284 ...: R_MEP_16 .text\+0x...
1285 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1286 ...: R_MEP_LOW16 .text\+0x...
1287 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1288 ...: R_MEP_HI16S .text\+0x...
1289 ...: 08 c0 00 00 sb \$0,0\(\$0\)
1290 ...: R_MEP_HI16U .text\+0x...
1291 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1292 ...: R_MEP_16 .text\+0x...
1293 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1294 ...: R_MEP_LOW16 .text\+0x...
1295 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1296 ...: R_MEP_HI16S .text\+0x...
1297 ...: 09 c0 00 00 sh \$0,0\(\$0\)
1298 ...: R_MEP_HI16U .text\+0x...
1299 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1300 ...: R_MEP_16 .text\+0x...
1301 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1302 ...: R_MEP_LOW16 .text\+0x...
1303 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1304 ...: R_MEP_HI16S .text\+0x...
1305 ...: 0a c0 00 00 sw \$0,0\(\$0\)
1306 ...: R_MEP_HI16U .text\+0x...
1307 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1308 ...: R_MEP_16 .text\+0x...
1309 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1310 ...: R_MEP_LOW16 .text\+0x...
1311 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1312 ...: R_MEP_HI16S .text\+0x...
1313 ...: 0c c0 00 00 lb \$0,0\(\$0\)
1314 ...: R_MEP_HI16U .text\+0x...
1315 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1316 ...: R_MEP_16 .text\+0x...
1317 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1318 ...: R_MEP_LOW16 .text\+0x...
1319 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1320 ...: R_MEP_HI16S .text\+0x...
1321 ...: 0d c0 00 00 lh \$0,0\(\$0\)
1322 ...: R_MEP_HI16U .text\+0x...
1323 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1324 ...: R_MEP_16 .text\+0x...
1325 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1326 ...: R_MEP_LOW16 .text\+0x...
1327 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1328 ...: R_MEP_HI16S .text\+0x...
1329 ...: 0e c0 00 00 lw \$0,0\(\$0\)
1330 ...: R_MEP_HI16U .text\+0x...
1331 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1332 ...: R_MEP_16 .text\+0x...
1333 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1334 ...: R_MEP_LOW16 .text\+0x...
1335 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1336 ...: R_MEP_HI16S .text\+0x...
1337 ...: 0b c0 00 00 lbu \$0,0\(\$0\)
1338 ...: R_MEP_HI16U .text\+0x...
1339 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1340 ...: R_MEP_16 .text\+0x...
1341 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1342 ...: R_MEP_LOW16 .text\+0x...
1343 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1344 ...: R_MEP_HI16S .text\+0x...
1345 ...: 0f c0 00 00 lhu \$0,0\(\$0\)
1346 ...: R_MEP_HI16U .text\+0x...
This page took 0.060504 seconds and 4 git commands to generate.