Duh. Check in the vr5400 stuff from the directory that doesn't have
[deliverable/binutils-gdb.git] / opcodes / mips-opc.c
1 /* mips.h. Mips opcode list for GDB, the GNU debugger.
2 Copyright 1993, 1994, 1995, 1996, 1997 Free Software Foundation, Inc.
3 Contributed by Ralph Campbell and OSF
4 Commented and modified by Ian Lance Taylor, Cygnus Support
5
6 This file is part of GDB, GAS, and the GNU binutils.
7
8 GDB, GAS, and the GNU binutils are free software; you can redistribute
9 them and/or modify them under the terms of the GNU General Public
10 License as published by the Free Software Foundation; either version
11 1, or (at your option) any later version.
12
13 GDB, GAS, and the GNU binutils are distributed in the hope that they
14 will be useful, but WITHOUT ANY WARRANTY; without even the implied
15 warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See
16 the GNU General Public License for more details.
17
18 You should have received a copy of the GNU General Public License
19 along with this file; see the file COPYING. If not, write to the Free
20 Software Foundation, 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. */
21
22 #include <stdio.h>
23 #include "ansidecl.h"
24 #include "opcode/mips.h"
25
26 /* Short hand so the lines aren't too long. */
27
28 #define LDD INSN_LOAD_MEMORY_DELAY
29 #define LCD INSN_LOAD_COPROC_DELAY
30 #define UBD INSN_UNCOND_BRANCH_DELAY
31 #define CBD INSN_COND_BRANCH_DELAY
32 #define COD INSN_COPROC_MOVE_DELAY
33 #define CLD INSN_COPROC_MEMORY_DELAY
34 #define CBL INSN_COND_BRANCH_LIKELY
35 #define TRAP INSN_TRAP
36 #define SM INSN_STORE_MEMORY
37
38 #define WR_d INSN_WRITE_GPR_D
39 #define WR_t INSN_WRITE_GPR_T
40 #define WR_31 INSN_WRITE_GPR_31
41 #define WR_D INSN_WRITE_FPR_D
42 #define WR_T INSN_WRITE_FPR_T
43 #define WR_S INSN_WRITE_FPR_S
44 #define RD_s INSN_READ_GPR_S
45 #define RD_b INSN_READ_GPR_S
46 #define RD_t INSN_READ_GPR_T
47 #define RD_S INSN_READ_FPR_S
48 #define RD_T INSN_READ_FPR_T
49 #define RD_R INSN_READ_FPR_R
50 #define WR_CC INSN_WRITE_COND_CODE
51 #define RD_CC INSN_READ_COND_CODE
52 #define RD_C0 INSN_COP
53 #define RD_C1 INSN_COP
54 #define RD_C2 INSN_COP
55 #define RD_C3 INSN_COP
56 #define WR_C0 INSN_COP
57 #define WR_C1 INSN_COP
58 #define WR_C2 INSN_COP
59 #define WR_C3 INSN_COP
60 #define WR_HI INSN_WRITE_HI
61 #define WR_LO INSN_WRITE_LO
62 #define RD_HI INSN_READ_HI
63 #define RD_LO INSN_READ_LO
64
65 /* start-sanitize-vr5400 */
66 #define WR_HILO WR_HI|WR_LO
67 #define RD_HILO RD_HI|RD_LO
68 #define MOD_HILO WR_HILO|RD_HILO
69 /* end-sanitize-vr5400 */
70
71 #define I1 INSN_ISA1
72 #define I2 INSN_ISA2
73 #define I3 INSN_ISA3
74 #define I4 INSN_ISA4
75 #define P3 INSN_4650
76 #define L1 INSN_4010
77 #define V1 INSN_4100
78 #define T3 INSN_3900
79 /* start-sanitize-vr5400 */
80 #define N5 INSN_5400
81 /* end-sanitize-vr5400 */
82 /* start-sanitize-r5900 */
83 #define T5 INSN_5900
84 /* end-sanitize-r5900 */
85
86 #define G1 (T3 \
87 /* start-sanitize-r5900 */ \
88 | T5 \
89 /* end-sanitize-r5900 */ \
90 )
91
92
93 /* The order of overloaded instructions matters. Label arguments and
94 register arguments look the same. Instructions that can have either
95 for arguments must apear in the correct order in this table for the
96 assembler to pick the right one. In other words, entries with
97 immediate operands must apear after the same instruction with
98 registers.
99
100 Many instructions are short hand for other instructions (i.e., The
101 jal <register> instruction is short for jalr <register>). */
102
103 const struct mips_opcode mips_builtin_opcodes[] = {
104 /* These instructions appear first so that the disassembler will find
105 them first. The assemblers uses a hash table based on the
106 instruction name anyhow. */
107 /* name, args, mask, match, pinfo */
108 {"nop", "", 0x00000000, 0xffffffff, 0, I1 },
109 {"li", "t,j", 0x24000000, 0xffe00000, WR_t, I1 }, /* addiu */
110 {"li", "t,i", 0x34000000, 0xffe00000, WR_t, I1 }, /* ori */
111 {"li", "t,I", 0, (int) M_LI, INSN_MACRO },
112 {"move", "d,s", 0x0000002d, 0xfc1f07ff, WR_d|RD_s, I3 },/* daddu */
113 {"move", "d,s", 0x00000021, 0xfc1f07ff, WR_d|RD_s, I1 },/* addu */
114 {"move", "d,s", 0x00000025, 0xfc1f07ff, WR_d|RD_s, I1 },/* or */
115 {"b", "p", 0x10000000, 0xffff0000, UBD, I1 },/* beq 0,0 */
116 {"b", "p", 0x04010000, 0xffff0000, UBD, I1 },/* bgez 0 */
117 {"bal", "p", 0x04110000, 0xffff0000, UBD|WR_31, I1 },/* bgezal 0*/
118
119 {"abs", "d,v", 0, (int) M_ABS, INSN_MACRO },
120 {"abs.s", "D,V", 0x46000005, 0xffff003f, WR_D|RD_S|FP_S, I1 },
121 {"abs.d", "D,V", 0x46200005, 0xffff003f, WR_D|RD_S|FP_D, I1 },
122 {"add", "d,v,t", 0x00000020, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
123 {"add", "t,r,I", 0, (int) M_ADD_I, INSN_MACRO },
124 {"add.s", "D,V,T", 0x46000000, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1},
125 {"add.d", "D,V,T", 0x46200000, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1},
126 {"addi", "t,r,j", 0x20000000, 0xfc000000, WR_t|RD_s, I1 },
127 {"addiu", "t,r,j", 0x24000000, 0xfc000000, WR_t|RD_s, I1 },
128 {"addu", "d,v,t", 0x00000021, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
129 {"addu", "t,r,I", 0, (int) M_ADDU_I, INSN_MACRO },
130 {"and", "d,v,t", 0x00000024, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
131 {"and", "t,r,I", 0, (int) M_AND_I, INSN_MACRO },
132 {"andi", "t,r,i", 0x30000000, 0xfc000000, WR_t|RD_s, I1 },
133 /* b is at the top of the table. */
134 /* bal is at the top of the table. */
135 {"bc0f", "p", 0x41000000, 0xffff0000, CBD|RD_CC, I1 },
136 {"bc0fl", "p", 0x41020000, 0xffff0000, CBL|RD_CC, I2|T3 },
137 {"bc1f", "p", 0x45000000, 0xffff0000, CBD|RD_CC|FP_S, I1 },
138 {"bc1f", "N,p", 0x45000000, 0xffe30000, CBD|RD_CC|FP_S, I4 },
139 {"bc1fl", "p", 0x45020000, 0xffff0000, CBL|RD_CC|FP_S, I2|T3 },
140 {"bc1fl", "N,p", 0x45020000, 0xffe30000, CBL|RD_CC|FP_S, I4 },
141 {"bc2f", "p", 0x49000000, 0xffff0000, CBD|RD_CC, I1 },
142 {"bc2fl", "p", 0x49020000, 0xffff0000, CBL|RD_CC, I2|T3 },
143 {"bc3f", "p", 0x4d000000, 0xffff0000, CBD|RD_CC, I1 },
144 {"bc3fl", "p", 0x4d020000, 0xffff0000, CBL|RD_CC, I2|T3 },
145 {"bc0t", "p", 0x41010000, 0xffff0000, CBD|RD_CC, I1 },
146 {"bc0tl", "p", 0x41030000, 0xffff0000, CBL|RD_CC, I2|T3 },
147 {"bc1t", "p", 0x45010000, 0xffff0000, CBD|RD_CC|FP_S, I1 },
148 {"bc1t", "N,p", 0x45010000, 0xffe30000, CBD|RD_CC|FP_S, I4 },
149 {"bc1tl", "p", 0x45030000, 0xffff0000, CBL|RD_CC|FP_S, I2|T3 },
150 {"bc1tl", "N,p", 0x45030000, 0xffe30000, CBL|RD_CC|FP_S, I4 },
151 {"bc2t", "p", 0x49010000, 0xffff0000, CBD|RD_CC, I1 },
152 {"bc2tl", "p", 0x49030000, 0xffff0000, CBL|RD_CC, I2|T3 },
153 {"bc3t", "p", 0x4d010000, 0xffff0000, CBD|RD_CC, I1 },
154 {"bc3tl", "p", 0x4d030000, 0xffff0000, CBL|RD_CC, I2|T3 },
155 {"beqz", "s,p", 0x10000000, 0xfc1f0000, CBD|RD_s, I1 },
156 {"beqzl", "s,p", 0x50000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
157 {"beq", "s,t,p", 0x10000000, 0xfc000000, CBD|RD_s|RD_t, I1 },
158 {"beq", "s,I,p", 0, (int) M_BEQ_I, INSN_MACRO },
159 {"beql", "s,t,p", 0x50000000, 0xfc000000, CBL|RD_s|RD_t, I2|T3 },
160 {"beql", "s,I,p", 2, (int) M_BEQL_I, INSN_MACRO },
161 {"bge", "s,t,p", 0, (int) M_BGE, INSN_MACRO },
162 {"bge", "s,I,p", 0, (int) M_BGE_I, INSN_MACRO },
163 {"bgel", "s,t,p", 2, (int) M_BGEL, INSN_MACRO },
164 {"bgel", "s,I,p", 2, (int) M_BGEL_I, INSN_MACRO },
165 {"bgeu", "s,t,p", 0, (int) M_BGEU, INSN_MACRO },
166 {"bgeu", "s,I,p", 0, (int) M_BGEU_I, INSN_MACRO },
167 {"bgeul", "s,t,p", 2, (int) M_BGEUL, INSN_MACRO },
168 {"bgeul", "s,I,p", 2, (int) M_BGEUL_I, INSN_MACRO },
169 {"bgez", "s,p", 0x04010000, 0xfc1f0000, CBD|RD_s, I1 },
170 {"bgezl", "s,p", 0x04030000, 0xfc1f0000, CBL|RD_s, I2|T3 },
171 {"bgezal", "s,p", 0x04110000, 0xfc1f0000, CBD|RD_s|WR_31, I1 },
172 {"bgezall", "s,p", 0x04130000, 0xfc1f0000, CBL|RD_s, I2|T3 },
173 {"bgt", "s,t,p", 0, (int) M_BGT, INSN_MACRO },
174 {"bgt", "s,I,p", 0, (int) M_BGT_I, INSN_MACRO },
175 {"bgtl", "s,t,p", 2, (int) M_BGTL, INSN_MACRO },
176 {"bgtl", "s,I,p", 2, (int) M_BGTL_I, INSN_MACRO },
177 {"bgtu", "s,t,p", 0, (int) M_BGTU, INSN_MACRO },
178 {"bgtu", "s,I,p", 0, (int) M_BGTU_I, INSN_MACRO },
179 {"bgtul", "s,t,p", 2, (int) M_BGTUL, INSN_MACRO },
180 {"bgtul", "s,I,p", 2, (int) M_BGTUL_I, INSN_MACRO },
181 {"bgtz", "s,p", 0x1c000000, 0xfc1f0000, CBD|RD_s, I1 },
182 {"bgtzl", "s,p", 0x5c000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
183 {"ble", "s,t,p", 0, (int) M_BLE, INSN_MACRO },
184 {"ble", "s,I,p", 0, (int) M_BLE_I, INSN_MACRO },
185 {"blel", "s,t,p", 2, (int) M_BLEL, INSN_MACRO },
186 {"blel", "s,I,p", 2, (int) M_BLEL_I, INSN_MACRO },
187 {"bleu", "s,t,p", 0, (int) M_BLEU, INSN_MACRO },
188 {"bleu", "s,I,p", 0, (int) M_BLEU_I, INSN_MACRO },
189 {"bleul", "s,t,p", 2, (int) M_BLEUL, INSN_MACRO },
190 {"bleul", "s,I,p", 2, (int) M_BLEUL_I, INSN_MACRO },
191 {"blez", "s,p", 0x18000000, 0xfc1f0000, CBD|RD_s, I1 },
192 {"blezl", "s,p", 0x58000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
193 {"blt", "s,t,p", 0, (int) M_BLT, INSN_MACRO },
194 {"blt", "s,I,p", 0, (int) M_BLT_I, INSN_MACRO },
195 {"bltl", "s,t,p", 2, (int) M_BLTL, INSN_MACRO },
196 {"bltl", "s,I,p", 2, (int) M_BLTL_I, INSN_MACRO },
197 {"bltu", "s,t,p", 0, (int) M_BLTU, INSN_MACRO },
198 {"bltu", "s,I,p", 0, (int) M_BLTU_I, INSN_MACRO },
199 {"bltul", "s,t,p", 2, (int) M_BLTUL, INSN_MACRO },
200 {"bltul", "s,I,p", 2, (int) M_BLTUL_I, INSN_MACRO },
201 {"bltz", "s,p", 0x04000000, 0xfc1f0000, CBD|RD_s, I1 },
202 {"bltzl", "s,p", 0x04020000, 0xfc1f0000, CBL|RD_s, I2|T3 },
203 {"bltzal", "s,p", 0x04100000, 0xfc1f0000, CBD|RD_s|WR_31, I1 },
204 {"bltzall", "s,p", 0x04120000, 0xfc1f0000, CBL|RD_s, I2|T3 },
205 {"bnez", "s,p", 0x14000000, 0xfc1f0000, CBD|RD_s, I1 },
206 {"bnezl", "s,p", 0x54000000, 0xfc1f0000, CBL|RD_s, I2|T3 },
207 {"bne", "s,t,p", 0x14000000, 0xfc000000, CBD|RD_s|RD_t, I1 },
208 {"bne", "s,I,p", 0, (int) M_BNE_I, INSN_MACRO },
209 {"bnel", "s,t,p", 0x54000000, 0xfc000000, CBL|RD_s|RD_t, I2|T3 },
210 {"bnel", "s,I,p", 2, (int) M_BNEL_I, INSN_MACRO },
211 {"break", "", 0x0000000d, 0xffffffff, TRAP, I1 },
212 {"break", "c", 0x0000000d, 0xfc00003f, TRAP, I1 },
213 {"c.f.d", "S,T", 0x46200030, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
214 {"c.f.d", "M,S,T", 0x46200030, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
215 {"c.f.s", "S,T", 0x46000030, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
216 {"c.f.s", "M,S,T", 0x46000030, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
217 {"c.un.d", "S,T", 0x46200031, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
218 {"c.un.d", "M,S,T", 0x46200031, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
219 {"c.un.s", "S,T", 0x46000031, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
220 {"c.un.s", "M,S,T", 0x46000031, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
221 {"c.eq.d", "S,T", 0x46200032, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
222 {"c.eq.d", "M,S,T", 0x46200032, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
223 {"c.eq.s", "S,T", 0x46000032, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
224 {"c.eq.s", "M,S,T", 0x46000032, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
225 {"c.ueq.d", "S,T", 0x46200033, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
226 {"c.ueq.d", "M,S,T", 0x46200033, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
227 {"c.ueq.s", "S,T", 0x46000033, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
228 {"c.ueq.s", "M,S,T", 0x46000033, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
229 {"c.olt.d", "S,T", 0x46200034, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
230 {"c.olt.d", "M,S,T", 0x46200034, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
231 {"c.olt.s", "S,T", 0x46000034, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
232 {"c.olt.s", "M,S,T", 0x46000034, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
233 {"c.ult.d", "S,T", 0x46200035, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
234 {"c.ult.d", "M,S,T", 0x46200035, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
235 {"c.ult.s", "S,T", 0x46000035, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
236 {"c.ult.s", "M,S,T", 0x46000035, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
237 {"c.ole.d", "S,T", 0x46200036, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
238 {"c.ole.d", "M,S,T", 0x46200036, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
239 {"c.ole.s", "S,T", 0x46000036, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
240 {"c.ole.s", "M,S,T", 0x46000036, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
241 {"c.ule.d", "S,T", 0x46200037, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
242 {"c.ule.d", "M,S,T", 0x46200037, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
243 {"c.ule.s", "S,T", 0x46000037, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
244 {"c.ule.s", "M,S,T", 0x46000037, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
245 {"c.sf.d", "S,T", 0x46200038, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
246 {"c.sf.d", "M,S,T", 0x46200038, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
247 {"c.sf.s", "S,T", 0x46000038, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
248 {"c.sf.s", "M,S,T", 0x46000038, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
249 {"c.ngle.d","S,T", 0x46200039, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
250 {"c.ngle.d","M,S,T", 0x46200039, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
251 {"c.ngle.s","S,T", 0x46000039, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
252 {"c.ngle.s","M,S,T", 0x46000039, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
253 {"c.seq.d", "S,T", 0x4620003a, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
254 {"c.seq.d", "M,S,T", 0x4620003a, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
255 {"c.seq.s", "S,T", 0x4600003a, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
256 {"c.seq.s", "M,S,T", 0x4600003a, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
257 {"c.ngl.d", "S,T", 0x4620003b, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
258 {"c.ngl.d", "M,S,T", 0x4620003b, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
259 {"c.ngl.s", "S,T", 0x4600003b, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
260 {"c.ngl.s", "M,S,T", 0x4600003b, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
261 {"c.lt.d", "S,T", 0x4620003c, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
262 {"c.lt.d", "M,S,T", 0x4620003c, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
263 {"c.lt.s", "S,T", 0x4600003c, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
264 {"c.lt.s", "M,S,T", 0x4600003c, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
265 {"c.nge.d", "S,T", 0x4620003d, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
266 {"c.nge.d", "M,S,T", 0x4620003d, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
267 {"c.nge.s", "S,T", 0x4600003d, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
268 {"c.nge.s", "M,S,T", 0x4600003d, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
269 {"c.le.d", "S,T", 0x4620003e, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
270 {"c.le.d", "M,S,T", 0x4620003e, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
271 {"c.le.s", "S,T", 0x4600003e, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
272 {"c.le.s", "M,S,T", 0x4600003e, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
273 {"c.ngt.d", "S,T", 0x4620003f, 0xffe007ff, RD_S|RD_T|WR_CC|FP_D, I1 },
274 {"c.ngt.d", "M,S,T", 0x4620003f, 0xffe000ff, RD_S|RD_T|WR_CC|FP_D, I4 },
275 {"c.ngt.s", "S,T", 0x4600003f, 0xffe007ff, RD_S|RD_T|WR_CC|FP_S, I1 },
276 {"c.ngt.s", "M,S,T", 0x4600003f, 0xffe000ff, RD_S|RD_T|WR_CC|FP_S, I4 },
277 {"cache", "k,o(b)", 0xbc000000, 0xfc000000, RD_b, I3 },
278 {"ceil.l.d", "D,S", 0x4620000a, 0xffff003f, WR_D|RD_S|FP_D, I3 },
279 {"ceil.l.s", "D,S", 0x4600000a, 0xffff003f, WR_D|RD_S|FP_S, I3 },
280 {"ceil.w.d", "D,S", 0x4620000e, 0xffff003f, WR_D|RD_S|FP_D, I2 },
281 {"ceil.w.s", "D,S", 0x4600000e, 0xffff003f, WR_D|RD_S|FP_S, I2 },
282 {"cfc0", "t,G", 0x40400000, 0xffe007ff, LCD|WR_t|RD_C0, I1 },
283 {"cfc1", "t,G", 0x44400000, 0xffe007ff, LCD|WR_t|RD_C1|FP_S, I1 },
284 {"cfc1", "t,S", 0x44400000, 0xffe007ff, LCD|WR_t|RD_C1|FP_S, I1 },
285 {"cfc2", "t,G", 0x48400000, 0xffe007ff, LCD|WR_t|RD_C2, I1 },
286 {"cfc3", "t,G", 0x4c400000, 0xffe007ff, LCD|WR_t|RD_C3, I1 },
287 {"ctc0", "t,G", 0x40c00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
288 {"ctc1", "t,G", 0x44c00000, 0xffe007ff, COD|RD_t|WR_CC|FP_S, I1 },
289 {"ctc1", "t,S", 0x44c00000, 0xffe007ff, COD|RD_t|WR_CC|FP_S, I1 },
290 {"ctc2", "t,G", 0x48c00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
291 {"ctc3", "t,G", 0x4cc00000, 0xffe007ff, COD|RD_t|WR_CC, I1 },
292 {"cvt.d.l", "D,S", 0x46a00021, 0xffff003f, WR_D|RD_S|FP_D, I3 },
293 {"cvt.d.s", "D,S", 0x46000021, 0xffff003f, WR_D|RD_S|FP_D|FP_S, I1 },
294 {"cvt.d.w", "D,S", 0x46800021, 0xffff003f, WR_D|RD_S|FP_D, I1 },
295 {"cvt.l.d", "D,S", 0x46200025, 0xffff003f, WR_D|RD_S|FP_D, I3 },
296 {"cvt.l.s", "D,S", 0x46000025, 0xffff003f, WR_D|RD_S|FP_S, I3 },
297 {"cvt.s.l", "D,S", 0x46a00020, 0xffff003f, WR_D|RD_S|FP_S, I3 },
298 {"cvt.s.d", "D,S", 0x46200020, 0xffff003f, WR_D|RD_S|FP_S|FP_D, I1 },
299 {"cvt.s.w", "D,S", 0x46800020, 0xffff003f, WR_D|RD_S|FP_S, I1 },
300 {"cvt.w.d", "D,S", 0x46200024, 0xffff003f, WR_D|RD_S|FP_D, I1 },
301 {"cvt.w.s", "D,S", 0x46000024, 0xffff003f, WR_D|RD_S|FP_S, I1 },
302 {"dabs", "d,v", 3, (int) M_DABS, INSN_MACRO },
303 {"dadd", "d,v,t", 0x0000002c, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
304 {"dadd", "t,r,I", 3, (int) M_DADD_I, INSN_MACRO },
305 {"daddi", "t,r,j", 0x60000000, 0xfc000000, WR_t|RD_s, I3 },
306 {"daddiu", "t,r,j", 0x64000000, 0xfc000000, WR_t|RD_s, I3 },
307 {"daddu", "d,v,t", 0x0000002d, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
308 {"daddu", "t,r,I", 3, (int) M_DADDU_I, INSN_MACRO },
309 /* start-sanitize-vr5400 */
310 {"dbreak", "", 0x7000003f, 0xffffffff, 0, N5 },
311 /* end-sanitize-vr5400 */
312 /* dctr and dctw are used on the r5000. */
313 {"dctr", "o(b)", 0xbc050000, 0xfc1f0000, RD_b, I3 },
314 {"dctw", "o(b)", 0xbc090000, 0xfc1f0000, RD_b, I3 },
315 {"deret", "", 0x4200001f, 0xffffffff, 0, T3 },
316 /* For ddiv, see the comments about div. */
317 {"ddiv", "z,s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
318 {"ddiv", "d,v,t", 3, (int) M_DDIV_3, INSN_MACRO },
319 {"ddiv", "d,v,I", 3, (int) M_DDIV_3I, INSN_MACRO },
320 /* For ddivu, see the comments about div. */
321 {"ddivu", "z,s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
322 {"ddivu", "d,v,t", 3, (int) M_DDIVU_3, INSN_MACRO },
323 {"ddivu", "d,v,I", 3, (int) M_DDIVU_3I, INSN_MACRO },
324 /* The MIPS assembler treats the div opcode with two operands as
325 though the first operand appeared twice (the first operand is both
326 a source and a destination). To get the div machine instruction,
327 you must use an explicit destination of $0. */
328 {"div", "z,s,t", 0x0000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
329 {"div", "z,t", 0x0000001a, 0xffe0ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
330 {"div", "d,v,t", 0, (int) M_DIV_3, INSN_MACRO },
331 {"div", "d,v,I", 0, (int) M_DIV_3I, INSN_MACRO },
332 /* start-sanitize-r5900 */
333 {"div1", "s,t", 0x7000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
334 /* end-sanitize-r5900 */
335 {"div.d", "D,V,T", 0x46200003, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
336 {"div.s", "D,V,T", 0x46000003, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
337 /* For divu, see the comments about div. */
338 {"divu", "z,s,t", 0x0000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
339 {"divu", "z,t", 0x0000001b, 0xffe0ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
340 {"divu", "d,v,t", 0, (int) M_DIVU_3, INSN_MACRO },
341 {"divu", "d,v,I", 0, (int) M_DIVU_3I, INSN_MACRO },
342 /* start-sanitize-r5900 */
343 {"divu1", "s,t", 0x7000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
344 /* end-sanitize-r5900 */
345 {"dla", "t,A(b)", 3, (int) M_DLA_AB, INSN_MACRO },
346 {"dli", "t,j", 0x24000000, 0xffe00000, WR_t, I3 }, /* addiu */
347 {"dli", "t,i", 0x34000000, 0xffe00000, WR_t, I3 }, /* ori */
348 {"dli", "t,I", 3, (int) M_DLI, INSN_MACRO },
349 {"dmadd16", "s,t", 0x00000029, 0xfc00ffff, RD_s|RD_t|WR_LO|RD_LO, V1 },
350 {"dmfc0", "t,G", 0x40200000, 0xffe007ff, LCD|WR_t|RD_C0, I3 },
351 {"dmtc0", "t,G", 0x40a00000, 0xffe007ff, COD|RD_t|WR_C0|WR_CC, I3 },
352 {"dmfc1", "t,S", 0x44200000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I3 },
353 {"dmtc1", "t,S", 0x44a00000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I3 },
354 {"dmul", "d,v,t", 3, (int) M_DMUL, INSN_MACRO },
355 {"dmul", "d,v,I", 3, (int) M_DMUL_I, INSN_MACRO },
356 {"dmulo", "d,v,t", 3, (int) M_DMULO, INSN_MACRO },
357 {"dmulo", "d,v,I", 3, (int) M_DMULO_I, INSN_MACRO },
358 {"dmulou", "d,v,t", 3, (int) M_DMULOU, INSN_MACRO },
359 {"dmulou", "d,v,I", 3, (int) M_DMULOU_I, INSN_MACRO },
360 {"dmult", "s,t", 0x0000001c, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
361 {"dmultu", "s,t", 0x0000001d, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
362 {"dneg", "d,w", 0x0000002e, 0xffe007ff, WR_d|RD_t, I3 }, /* dsub 0 */
363 {"dnegu", "d,w", 0x0000002f, 0xffe007ff, WR_d|RD_t, I3 }, /* dsubu 0*/
364 {"drem", "z,s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
365 {"drem", "d,v,t", 3, (int) M_DREM_3, INSN_MACRO },
366 {"drem", "d,v,I", 3, (int) M_DREM_3I, INSN_MACRO },
367 {"dremu", "z,s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I3 },
368 {"dremu", "d,v,t", 3, (int) M_DREMU_3, INSN_MACRO },
369 {"dremu", "d,v,I", 3, (int) M_DREMU_3I, INSN_MACRO },
370 /* start-sanitize-vr5400 */
371 {"dret", "", 0x7000003e, 0xffffffff, 0, N5 },
372 {"drorv", "d,t,s", 0x00000056, 0xfc0007ff, RD_t|RD_s|WR_d, N5 },
373 {"dror32", "d,t,>", 0x0020003e, 0xffe0003f, WR_d|RD_t, N5 },
374 {"dror", "d,t,<", 0x00200036, 0xffe0003f, WR_d|RD_t, N5 },
375 /* end-sanitize-vr5400 */
376 {"dsllv", "d,t,s", 0x00000014, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
377 {"dsll32", "d,w,<", 0x0000003c, 0xffe0003f, WR_d|RD_t, I3 },
378 {"dsll", "d,w,s", 0x00000014, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsllv */
379 {"dsll", "d,w,>", 0x0000003c, 0xffe0003f, WR_d|RD_t, I3 }, /* dsll32 */
380 {"dsll", "d,w,<", 0x00000038, 0xffe0003f, WR_d|RD_t, I3 },
381 {"dsrav", "d,t,s", 0x00000017, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
382 {"dsra32", "d,w,<", 0x0000003f, 0xffe0003f, WR_d|RD_t, I3 },
383 {"dsra", "d,w,s", 0x00000017, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsrav */
384 {"dsra", "d,w,>", 0x0000003f, 0xffe0003f, WR_d|RD_t, I3 }, /* dsra32 */
385 {"dsra", "d,w,<", 0x0000003b, 0xffe0003f, WR_d|RD_t, I3 },
386 {"dsrlv", "d,t,s", 0x00000016, 0xfc0007ff, WR_d|RD_t|RD_s, I3 },
387 {"dsrl32", "d,w,<", 0x0000003e, 0xffe0003f, WR_d|RD_t, I3 },
388 {"dsrl", "d,w,s", 0x00000016, 0xfc0007ff, WR_d|RD_t|RD_s, I3 }, /* dsrlv */
389 {"dsrl", "d,w,>", 0x0000003e, 0xffe0003f, WR_d|RD_t, I3 }, /* dsrl32 */
390 {"dsrl", "d,w,<", 0x0000003a, 0xffe0003f, WR_d|RD_t, I3 },
391 {"dsub", "d,v,t", 0x0000002e, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
392 {"dsub", "d,v,I", 3, (int) M_DSUB_I, INSN_MACRO },
393 {"dsubu", "d,v,t", 0x0000002f, 0xfc0007ff, WR_d|RD_s|RD_t, I3 },
394 {"dsubu", "d,v,I", 3, (int) M_DSUBU_I, INSN_MACRO },
395 {"eret", "", 0x42000018, 0xffffffff, 0, I3 },
396 {"floor.l.d", "D,S", 0x4620000b, 0xffff003f, WR_D|RD_S|FP_D, I3 },
397 {"floor.l.s", "D,S", 0x4600000b, 0xffff003f, WR_D|RD_S|FP_S, I3 },
398 {"floor.w.d", "D,S", 0x4620000f, 0xffff003f, WR_D|RD_S|FP_D, I2 },
399 {"floor.w.s", "D,S", 0x4600000f, 0xffff003f, WR_D|RD_S|FP_S, I2 },
400 {"flushi", "", 0xbc010000, 0xffffffff, 0, L1 },
401 {"flushd", "", 0xbc020000, 0xffffffff, 0, L1 },
402 {"flushid", "", 0xbc030000, 0xffffffff, 0, L1 },
403 {"hibernate","", 0x42000023, 0xffffffff, 0, V1 },
404 {"jr", "s", 0x00000008, 0xfc1fffff, UBD|RD_s, I1 },
405 {"j", "s", 0x00000008, 0xfc1fffff, UBD|RD_s, I1 }, /* jr */
406 /* SVR4 PIC code requires special handling for j, so it must be a
407 macro. */
408 {"j", "a", 0, (int) M_J_A, INSN_MACRO },
409 /* This form of j is used by the disassembler and internally by the
410 assembler, but will never match user input (because the line above
411 will match first). */
412 {"j", "a", 0x08000000, 0xfc000000, UBD, I1 },
413 {"jalr", "s", 0x0000f809, 0xfc1fffff, UBD|RD_s|WR_d, I1 },
414 {"jalr", "d,s", 0x00000009, 0xfc1f07ff, UBD|RD_s|WR_d, I1 },
415 /* SVR4 PIC code requires special handling for jal, so it must be a
416 macro. */
417 {"jal", "d,s", 0, (int) M_JAL_2, INSN_MACRO },
418 {"jal", "s", 0, (int) M_JAL_1, INSN_MACRO },
419 {"jal", "a", 0, (int) M_JAL_A, INSN_MACRO },
420 /* This form of jal is used by the disassembler and internally by the
421 assembler, but will never match user input (because the line above
422 will match first). */
423 {"jal", "a", 0x0c000000, 0xfc000000, UBD|WR_31, I1 },
424 /* jalx really should only be avaliable if mips16 is available,
425 but for now make it I1. */
426 {"jalx", "a", 0x74000000, 0xfc000000, UBD|WR_31, I1 },
427 {"la", "t,A(b)", 0, (int) M_LA_AB, INSN_MACRO },
428 {"lb", "t,o(b)", 0x80000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
429 {"lb", "t,A(b)", 0, (int) M_LB_AB, INSN_MACRO },
430 {"lbu", "t,o(b)", 0x90000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
431 {"lbu", "t,A(b)", 0, (int) M_LBU_AB, INSN_MACRO },
432 {"ld", "t,o(b)", 0xdc000000, 0xfc000000, WR_t|RD_b, I3 },
433 {"ld", "t,o(b)", 0, (int) M_LD_OB, INSN_MACRO },
434 {"ld", "t,A(b)", 0, (int) M_LD_AB, INSN_MACRO },
435 {"ldc1", "T,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 },
436 {"ldc1", "E,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 },
437 {"ldc1", "T,A(b)", 2, (int) M_LDC1_AB, INSN_MACRO },
438 {"ldc1", "E,A(b)", 2, (int) M_LDC1_AB, INSN_MACRO },
439 {"l.d", "T,o(b)", 0xd4000000, 0xfc000000, CLD|RD_b|WR_T|FP_D, I2 }, /* ldc1 */
440 {"l.d", "T,o(b)", 0, (int) M_L_DOB, INSN_MACRO },
441 {"l.d", "T,A(b)", 0, (int) M_L_DAB, INSN_MACRO },
442 {"ldc2", "E,o(b)", 0xd8000000, 0xfc000000, CLD|RD_b|WR_CC, I2 },
443 {"ldc2", "E,A(b)", 2, (int) M_LDC2_AB, INSN_MACRO },
444 {"ldc3", "E,o(b)", 0xdc000000, 0xfc000000, CLD|RD_b|WR_CC, I2 },
445 {"ldc3", "E,A(b)", 2, (int) M_LDC3_AB, INSN_MACRO },
446 {"ldl", "t,o(b)", 0x68000000, 0xfc000000, LDD|WR_t|RD_b, I3 },
447 {"ldl", "t,A(b)", 3, (int) M_LDL_AB, INSN_MACRO },
448 {"ldr", "t,o(b)", 0x6c000000, 0xfc000000, LDD|WR_t|RD_b, I3 },
449 {"ldr", "t,A(b)", 3, (int) M_LDR_AB, INSN_MACRO },
450 {"ldxc1", "D,t(b)", 0x4c000001, 0xfc00f83f, LDD|WR_D|RD_t|RD_b, I4 },
451 {"lh", "t,o(b)", 0x84000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
452 {"lh", "t,A(b)", 0, (int) M_LH_AB, INSN_MACRO },
453 {"lhu", "t,o(b)", 0x94000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
454 {"lhu", "t,A(b)", 0, (int) M_LHU_AB, INSN_MACRO },
455 /* li is at the start of the table. */
456 {"li.d", "t,F", 0, (int) M_LI_D, INSN_MACRO },
457 {"li.d", "T,L", 0, (int) M_LI_DD, INSN_MACRO },
458 {"li.s", "t,f", 0, (int) M_LI_S, INSN_MACRO },
459 {"li.s", "T,l", 0, (int) M_LI_SS, INSN_MACRO },
460 {"ll", "t,o(b)", 0xc0000000, 0xfc000000, LDD|RD_b|WR_t, I2 },
461 {"ll", "t,A(b)", 2, (int) M_LL_AB, INSN_MACRO },
462 {"lld", "t,o(b)", 0xd0000000, 0xfc000000, LDD|RD_b|WR_t, I3 },
463 {"lld", "t,A(b)", 3, (int) M_LLD_AB, INSN_MACRO },
464 {"lui", "t,u", 0x3c000000, 0xffe00000, WR_t, I1 },
465 /* start-sanitize-r5900 */
466 {"lq", "t,o(b)", 0x78000000, 0xfc000000, WR_t|RD_b, T5 },
467 /* end-sanitize-r5900 */
468 {"lw", "t,o(b)", 0x8c000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
469 {"lw", "t,A(b)", 0, (int) M_LW_AB, INSN_MACRO },
470 {"lwc0", "E,o(b)", 0xc0000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
471 {"lwc0", "E,A(b)", 0, (int) M_LWC0_AB, INSN_MACRO },
472 {"lwc1", "T,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 },
473 {"lwc1", "E,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 },
474 {"lwc1", "T,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
475 {"lwc1", "E,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
476 {"l.s", "T,o(b)", 0xc4000000, 0xfc000000, CLD|RD_b|WR_T|FP_S, I1 }, /* lwc1 */
477 {"l.s", "T,A(b)", 0, (int) M_LWC1_AB, INSN_MACRO },
478 {"lwc2", "E,o(b)", 0xc8000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
479 {"lwc2", "E,A(b)", 0, (int) M_LWC2_AB, INSN_MACRO },
480 {"lwc3", "E,o(b)", 0xcc000000, 0xfc000000, CLD|RD_b|WR_CC, I1 },
481 {"lwc3", "E,A(b)", 0, (int) M_LWC3_AB, INSN_MACRO },
482 {"lwl", "t,o(b)", 0x88000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
483 {"lwl", "t,A(b)", 0, (int) M_LWL_AB, INSN_MACRO },
484 {"lcache", "t,o(b)", 0x88000000, 0xfc000000, LDD|RD_b|WR_t, I2 }, /* same */
485 {"lcache", "t,A(b)", 2, (int) M_LWL_AB, INSN_MACRO }, /* as lwl */
486 {"lwr", "t,o(b)", 0x98000000, 0xfc000000, LDD|RD_b|WR_t, I1 },
487 {"lwr", "t,A(b)", 0, (int) M_LWR_AB, INSN_MACRO },
488 {"flush", "t,o(b)", 0x98000000, 0xfc000000, LDD|RD_b|WR_t, I2 }, /* same */
489 {"flush", "t,A(b)", 2, (int) M_LWR_AB, INSN_MACRO }, /* as lwr */
490 {"lwu", "t,o(b)", 0x9c000000, 0xfc000000, LDD|RD_b|WR_t, I3 },
491 {"lwu", "t,A(b)", 3, (int) M_LWU_AB, INSN_MACRO },
492 {"lwxc1", "D,t(b)", 0x4c000000, 0xfc00f83f, LDD|WR_D|RD_t|RD_b, I4 },
493 /* start-sanitize-vr5400 */
494 {"macc", "d,s,t", 0x00000158, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
495 {"maccu", "d,s,t", 0x00000159, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
496 {"macchi", "d,s,t", 0x00000358, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
497 {"macchiu", "d,s,t", 0x00000359, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
498 /* end-sanitize-vr5400 */
499 {"mad", "s,t", 0x70000000, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, P3 },
500 {"madu", "s,t", 0x70000001, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, P3 },
501 {"addciu", "t,r,j", 0x70000000, 0xfc000000, WR_t|RD_s,L1 },
502 {"madd.d", "D,R,S,T", 0x4c000021, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
503 {"madd.s", "D,R,S,T", 0x4c000020, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
504 /* start-sanitize-r5900 */
505 {"madd.s", "D,R,S,T", 0x4c000020, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, T5 },
506 /* end-sanitize-r5900 */
507 {"madd", "s,t", 0x0000001c, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, L1 },
508 {"madd", "s,t", 0x70000000, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T3 },
509 {"madd", "d,s,t", 0x70000000, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T3 },
510 /* start-sanitize-r5900 */
511 {"madd", "s,t", 0x70000000, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
512 {"madd", "d,s,t", 0x70000000, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
513 {"madd1", "s,t", 0x70000020, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
514 {"madd1", "d,s,t", 0x70000020, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
515 /* end-sanitize-r5900 */
516 {"maddu", "s,t", 0x0000001d, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, L1 },
517 {"maddu", "s,t", 0x70000001, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T3 },
518 {"maddu", "d,s,t", 0x70000001, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T3 },
519 /* start-sanitize-r5900 */
520 {"maddu", "s,t", 0x70000001, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
521 {"maddu", "d,s,t", 0x70000001, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
522 {"maddu1", "s,t", 0x70000021, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
523 {"maddu1", "d,s,t", 0x70000021, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5 },
524 /* end-sanitize-r5900 */
525 {"madd16", "s,t", 0x00000028, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO|RD_HI|RD_LO, V1 },
526 /* start-sanitize-vr5400 */
527 {"mfpc", "t,P", 0x4000c801, 0xffe0ffc1, RD_C0|WR_t, N5 },
528 {"mfps", "t,P", 0x4000c800, 0xffe0ffc1, RD_C0|WR_t, N5 },
529 {"mtpc", "t,P", 0x4080c801, 0xffe0ffc1, WR_C0|RD_t, N5 },
530 {"mtps", "t,P", 0x4080c800, 0xffe0ffc1, WR_C0|RD_t, N5 },
531 /* end-sanitize-vr5400 */
532 {"mfc0", "t,G", 0x40000000, 0xffe007ff, LCD|WR_t|RD_C0, I1 },
533 {"mfc1", "t,S", 0x44000000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I1 },
534 {"mfc1", "t,G", 0x44000000, 0xffe007ff, LCD|WR_t|RD_S|FP_S, I1 },
535 {"mfc2", "t,G", 0x48000000, 0xffe007ff, LCD|WR_t|RD_C2, I1 },
536 {"mfc3", "t,G", 0x4c000000, 0xffe007ff, LCD|WR_t|RD_C3, I1 },
537 /* start-sanitize-vr5400 */
538 {"mfdr", "t,G", 0x7000003d, 0xffe007ff, LCD|WR_t|RD_C0, N5 },
539 /* end-sanitize-vr5400 */
540 {"mfhi", "d", 0x00000010, 0xffff07ff, WR_d|RD_HI, I1 },
541 /* start-sanitize-r5900 */
542 {"mfhi1", "d", 0x70000010, 0xffff07ff, WR_d|RD_HI, T5 },
543 /* end-sanitize-r5900 */
544 {"mflo", "d", 0x00000012, 0xffff07ff, WR_d|RD_LO, I1 },
545 /* start-sanitize-r5900 */
546 {"mflo1", "d", 0x70000012, 0xffff07ff, WR_d|RD_LO, T5 },
547 {"mfsa", "d", 0x00000028, 0xffff07ff, WR_d, T5 },
548 /* end-sanitize-r5900 */
549 {"mov.d", "D,S", 0x46200006, 0xffff003f, WR_D|RD_S|FP_D, I1 },
550 {"mov.s", "D,S", 0x46000006, 0xffff003f, WR_D|RD_S|FP_S, I1 },
551 {"movf", "d,s,N", 0x00000001, 0xfc0307ff, WR_d|RD_s|RD_CC|FP_D|FP_S, I4 },
552 {"movf.d", "D,S,N", 0x46200011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_D, I4 },
553 {"movf.s", "D,S,N", 0x46000011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_S, I4 },
554 {"movn", "d,v,t", 0x0000000b, 0xfc0007ff, WR_d|RD_s|RD_t, I4 },
555 /* start-sanitize-r5900 */
556 {"movn", "d,v,t", 0x0000000b, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
557 /* end-sanitize-r5900 */
558 {"ffc", "d,v", 0x0000000b, 0xfc0007ff, WR_d|RD_s,L1 },
559 {"movn.d", "D,S,t", 0x46200013, 0xffe0003f, WR_D|RD_S|RD_t|FP_D, I4 },
560 {"movn.s", "D,S,t", 0x46000013, 0xffe0003f, WR_D|RD_S|RD_t|FP_S, I4 },
561 {"movt", "d,s,N", 0x00010001, 0xfc0307ff, WR_d|RD_s|RD_CC, I4 },
562 {"movt.d", "D,S,N", 0x46210011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_D, I4 },
563 {"movt.s", "D,S,N", 0x46010011, 0xffe3003f, WR_D|RD_S|RD_CC|FP_S, I4 },
564 {"movz", "d,v,t", 0x0000000a, 0xfc0007ff, WR_d|RD_s|RD_t, I4 },
565 /* start-sanitize-r5900 */
566 {"movz", "d,v,t", 0x0000000a, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
567 /* end-sanitize-r5900 */
568 {"ffs", "d,v", 0x0000000a, 0xfc0007ff, WR_d|RD_s,L1 },
569 {"movz.d", "D,S,t", 0x46200012, 0xffe0003f, WR_D|RD_S|RD_t|FP_D, I4 },
570 {"movz.s", "D,S,t", 0x46000012, 0xffe0003f, WR_D|RD_S|RD_t|FP_S, I4 },
571 /* start-sanitize-vr5400 */
572 {"msac", "d,s,t", 0x000001d8, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
573 {"msacu", "d,s,t", 0x000001d9, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
574 {"msachi", "d,s,t", 0x000003d8, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
575 {"msachiu", "d,s,t", 0x000003d9, 0xfc0007ff, RD_s|RD_t|MOD_HILO|WR_d, N5 },
576 /* end-sanitize-vr5400 */
577 /* move is at the top of the table. */
578 {"msub.d", "D,R,S,T", 0x4c000029, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
579 {"msub.s", "D,R,S,T", 0x4c000028, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
580 /* start-sanitize-r5900 */
581 {"msub.s", "D,R,S,T", 0x4c000028, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, T5 },
582 /* end-sanitize-r5900 */
583 {"msub", "s,t", 0x0000001e, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO,L1 },
584 {"msubu", "s,t", 0x0000001f, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO,L1 },
585 {"mtc0", "t,G", 0x40800000, 0xffe007ff, COD|RD_t|WR_C0|WR_CC, I1 },
586 {"mtc1", "t,S", 0x44800000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I1 },
587 {"mtc1", "t,G", 0x44800000, 0xffe007ff, COD|RD_t|WR_S|FP_S, I1 },
588 {"mtc2", "t,G", 0x48800000, 0xffe007ff, COD|RD_t|WR_C2|WR_CC, I1 },
589 {"mtc3", "t,G", 0x4c800000, 0xffe007ff, COD|RD_t|WR_C3|WR_CC, I1 },
590 /* start-sanitize-vr5400 */
591 {"mtdr", "t,G", 0x7080003d, 0xffe007ff, COD|RD_t|WR_C0, N5 },
592 /* end-sanitize-vr5400 */
593 {"mthi", "s", 0x00000011, 0xfc1fffff, RD_s|WR_HI, I1 },
594 /* start-sanitize-r5900 */
595 {"mthi1", "s", 0x70000011, 0xfc1fffff, RD_s|WR_HI, T5 },
596 /* end-sanitize-r5900 */
597 {"mtlo", "s", 0x00000013, 0xfc1fffff, RD_s|WR_LO, I1 },
598 /* start-sanitize-r5900 */
599 {"mtlo1", "s", 0x70000013, 0xfc1fffff, RD_s|WR_LO, T5 },
600 {"mtsa", "s", 0x00000029, 0xfc1fffff, RD_s, T5 },
601 {"mtsab", "s,j", 0x04180000, 0xfc1f0000, RD_s, T5 },
602 {"mtsah", "s,j", 0x04190000, 0xfc1f0000, RD_s, T5 },
603 /* end-sanitize-r5900 */
604 {"mul.d", "D,V,T", 0x46200002, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
605 {"mul.s", "D,V,T", 0x46000002, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
606 /* start-sanitize-vr5400 */
607 {"mulu", "d,s,t", 0x00000059, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
608 {"mulhi", "d,s,t", 0x00000258, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
609 {"mulhiu", "d,s,t", 0x00000259, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
610 {"mul", "d,s,t", 0x00000058, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
611 /* end-sanitize-vr5400 */
612 {"mul", "d,v,t", 0x70000002, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, P3 },
613 {"mul", "d,v,t", 0, (int) M_MUL, INSN_MACRO },
614 {"mul", "d,v,I", 0, (int) M_MUL_I, INSN_MACRO },
615 {"mulo", "d,v,t", 0, (int) M_MULO, INSN_MACRO },
616 {"mulo", "d,v,I", 0, (int) M_MULO_I, INSN_MACRO },
617 {"mulou", "d,v,t", 0, (int) M_MULOU, INSN_MACRO },
618 {"mulou", "d,v,I", 0, (int) M_MULOU_I, INSN_MACRO },
619 /* start-sanitize-vr5400 */
620 {"muls", "d,s,t", 0x000000d8, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
621 {"mulsu", "d,s,t", 0x000000d9, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
622 {"mulshi", "d,s,t", 0x000002d8, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
623 {"mulshiu", "d,s,t", 0x000002d9, 0xfc0007ff, RD_s|RD_t|WR_HILO|WR_d, N5 },
624 /* end-sanitize-vr5400 */
625 {"mult", "s,t", 0x00000018, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1},
626 {"mult", "d,s,t", 0x00000018, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1},
627 /* start-sanitize-r5900 */
628 {"mult1", "d,s,t", 0x70000018, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5},
629 /* end-sanitize-r5900 */
630 {"multu", "s,t", 0x00000019, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1},
631 {"multu", "d,s,t", 0x00000019, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, G1},
632 /* start-sanitize-r5900 */
633 {"multu1", "d,s,t", 0x70000019, 0xfc0007ff, RD_s|RD_t|WR_HI|WR_LO|WR_d, T5},
634 /* end-sanitize-r5900 */
635 {"neg", "d,w", 0x00000022, 0xffe007ff, WR_d|RD_t, I1 }, /* sub 0 */
636 {"negu", "d,w", 0x00000023, 0xffe007ff, WR_d|RD_t, I1 }, /* subu 0 */
637 {"neg.d", "D,V", 0x46200007, 0xffff003f, WR_D|RD_S|FP_D, I1 },
638 {"neg.s", "D,V", 0x46000007, 0xffff003f, WR_D|RD_S|FP_S, I1 },
639 {"nmadd.d", "D,R,S,T", 0x4c000031, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
640 {"nmadd.s", "D,R,S,T", 0x4c000030, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
641 {"nmsub.d", "D,R,S,T", 0x4c000039, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_D, I4 },
642 {"nmsub.s", "D,R,S,T", 0x4c000038, 0xfc00003f, RD_R|RD_S|RD_T|WR_D|FP_S, I4 },
643 /* nop is at the start of the table. */
644 {"nor", "d,v,t", 0x00000027, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
645 {"nor", "t,r,I", 0, (int) M_NOR_I, INSN_MACRO },
646 {"not", "d,v", 0x00000027, 0xfc1f07ff, WR_d|RD_s|RD_t, I1 },/*nor d,s,0*/
647 {"or", "d,v,t", 0x00000025, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
648 {"or", "t,r,I", 0, (int) M_OR_I, INSN_MACRO },
649 {"ori", "t,r,i", 0x34000000, 0xfc000000, WR_t|RD_s, I1 },
650
651 /* start-sanitize-r5900 */
652 {"pabsh", "d,t", 0x70000168, 0xffe007ff, WR_d|RD_t, T5 },
653 {"pabsw", "d,t", 0x70000068, 0xffe007ff, WR_d|RD_t, T5 },
654 {"paddb", "d,v,t", 0x70000208, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
655 {"paddh", "d,v,t", 0x70000108, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
656 {"paddw", "d,v,t", 0x70000008, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
657 {"paddsb", "d,v,t", 0x70000608, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
658 {"paddsh", "d,v,t", 0x70000508, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
659 {"paddsw", "d,v,t", 0x70000408, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
660 {"paddub", "d,v,t", 0x70000628, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
661 {"padduh", "d,v,t", 0x70000528, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
662 {"padduw", "d,v,t", 0x70000428, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
663 {"padsbh", "d,v,t", 0x70000128, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
664 {"pand", "d,v,t", 0x70000489, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
665 {"pceqb", "d,v,t", 0x700002a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
666 {"pceqh", "d,v,t", 0x700001a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
667 {"pceqw", "d,v,t", 0x700000a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
668
669 {"pcgtb", "d,v,t", 0x70000288, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
670 {"pcgth", "d,v,t", 0x70000188, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
671 {"pcgtw", "d,v,t", 0x70000088, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
672
673 {"pcpyh", "d,t", 0x700006e9, 0xffe007ff, WR_d|RD_t, T5 },
674
675 {"pcpyld", "d,v,t", 0x70000389, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
676 {"pcpyud", "d,v,t", 0x700003a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
677
678 {"pdivbw", "s,t", 0x70000749, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
679 {"pdivuw", "s,t", 0x70000369, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
680 {"pdivw", "s,t", 0x70000349, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, T5 },
681
682 {"pexch", "d,t", 0x700006a9, 0xffe007ff, WR_d|RD_t, T5 },
683 {"pexcw", "d,t", 0x700007a9, 0xffe007ff, WR_d|RD_t, T5 },
684 {"pexeh", "d,t", 0x70000689, 0xffe007ff, WR_d|RD_t, T5 },
685 {"pexoh", "d,t", 0x70000689, 0xffe007ff, WR_d|RD_t, T5 },
686 {"pexew", "d,t", 0x70000789, 0xffe007ff, WR_d|RD_t, T5 },
687 {"pexow", "d,t", 0x70000789, 0xffe007ff, WR_d|RD_t, T5 },
688
689 {"pext5", "d,t", 0x70000788, 0xffe007ff, WR_d|RD_t, T5 },
690
691 {"pextlb", "d,v,t", 0x70000688, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
692 {"pextlh", "d,v,t", 0x70000588, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
693 {"pextlw", "d,v,t", 0x70000488, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
694 {"pextub", "d,v,t", 0x700006a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
695 {"pextuh", "d,v,t", 0x700005a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
696 {"pextuw", "d,v,t", 0x700004a8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
697
698 {"phmaddh", "d,v,t", 0x70000449, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
699 {"phmsubh", "d,v,t", 0x70000549, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
700
701 {"pinth", "d,v,t", 0x70000289, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
702 {"pinteh", "d,v,t", 0x700002a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
703 {"pintoh", "d,v,t", 0x700002a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
704
705 {"plzcw", "d,v", 0x70000004, 0xfc1f07ff, WR_d|RD_s, T5 },
706
707 {"pmaddh", "d,v,t", 0x70000409, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
708 {"pmadduw", "d,v,t", 0x70000029, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
709 {"pmaddw", "d,v,t", 0x70000009, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
710
711 {"pmaxh", "d,v,t", 0x700001c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
712 {"pmaxw", "d,v,t", 0x700000c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
713
714 {"pmfhi", "d", 0x70000209, 0xffff07ff, WR_d|RD_HI, T5 },
715 {"pmflo", "d", 0x70000249, 0xffff07ff, WR_d|RD_LO, T5 },
716
717 {"pmfhl.lw", "d", 0x70000030, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
718 {"pmfhl.uw", "d", 0x70000070, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
719 {"pmfhl.slw","d", 0x700000b0, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
720 {"pmfhl.lh", "d", 0x700000f0, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
721 {"pmfhl.sh", "d", 0x70000130, 0xffff07ff, WR_d|RD_LO|RD_HI, T5 },
722
723 {"pminh", "d,v,t", 0x700001e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
724 {"pminw", "d,v,t", 0x700000e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
725
726 {"pmsubh", "d,v,t", 0x70000509, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
727 {"pmsubw", "d,v,t", 0x70000109, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
728
729 {"pmthi", "v", 0x70000229, 0xfc1fffff, WR_HI|RD_s, T5 },
730 {"pmtlo", "v", 0x70000269, 0xfc1fffff, WR_LO|RD_s, T5 },
731
732 {"pmthl.lw", "v", 0x70000031, 0xfc1fffff, WR_HI|WR_LO|RD_s, T5 },
733
734 {"pmulth", "d,v,t", 0x70000709, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
735 {"pmultuw", "d,v,t", 0x70000329, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
736 {"pmultw", "d,v,t", 0x70000309, 0xfc0007ff, WR_d|RD_s|RD_t|WR_HI|WR_LO, T5 },
737
738 {"pnor", "d,v,t", 0x700004e9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
739 {"por", "d,v,t", 0x700004a9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
740
741 {"ppac5", "d,t", 0x700007c8, 0xffe007ff, WR_d|RD_t, T5 },
742
743 {"ppacb", "d,v,t", 0x700006c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
744 {"ppach", "d,v,t", 0x700005c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
745 {"ppacw", "d,v,t", 0x700004c8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
746
747 {"prevh", "d,t", 0x700006c9, 0xffe007ff, WR_d|RD_t, T5 },
748 {"prot3w", "d,t", 0x700007c9, 0xffe007ff, WR_d|RD_t, T5 },
749
750 {"psllh", "d,t,<", 0x70000034, 0xffe0003f, WR_d|RD_t, T5 },
751 {"psllvw", "d,t,s", 0x70000089, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
752 {"psllw", "d,t,<", 0x7000003c, 0xffe0003f, WR_d|RD_t, T5 },
753
754 {"psrah", "d,t,<", 0x70000037, 0xffe0003f, WR_d|RD_t, T5 },
755 {"psravw", "d,t,s", 0x700000e9, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
756 {"psraw", "d,t,<", 0x7000003f, 0xffe0003f, WR_d|RD_t, T5 },
757
758 {"psrlh", "d,t,<", 0x70000036, 0xffe0003f, WR_d|RD_t, T5 },
759 {"psrlvw", "d,t,s", 0x700000c9, 0xfc0007ff, WR_d|RD_t|RD_s, T5 },
760 {"psrlw", "d,t,<", 0x7000003e, 0xffe0003f, WR_d|RD_t, T5 },
761
762 {"psubb", "d,v,t", 0x70000248, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
763 {"psubh", "d,v,t", 0x70000148, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
764 {"psubsb", "d,v,t", 0x70000648, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
765 {"psubsh", "d,v,t", 0x70000548, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
766 {"psubsw", "d,v,t", 0x70000448, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
767 {"psubub", "d,v,t", 0x70000668, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
768 {"psubuh", "d,v,t", 0x70000568, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
769 {"psubuw", "d,v,t", 0x70000468, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
770 {"psubw", "d,v,t", 0x70000048, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
771
772 {"pxor", "d,v,t", 0x700004c9, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
773 /* end-sanitize-r5900 */
774
775 {"pref", "k,o(b)", 0xcc000000, 0xfc000000, RD_b, I4 },
776 {"prefx", "h,t(b)", 0x4c00000f, 0xfc0007ff, RD_b|RD_t, I4 },
777
778 /* start-sanitize-r5900 */
779 {"qfsrv", "d,v,t", 0x700006e8, 0xfc0007ff, WR_d|RD_s|RD_t, T5 },
780 /* end-sanitize-r5900 */
781
782 {"recip.d", "D,S", 0x46200015, 0xffff003f, WR_D|RD_S|FP_D, I4 },
783 {"recip.s", "D,S", 0x46000015, 0xffff003f, WR_D|RD_S|FP_S, I4 },
784 {"rem", "z,s,t", 0x0000001a, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
785 {"rem", "d,v,t", 0, (int) M_REM_3, INSN_MACRO },
786 {"rem", "d,v,I", 0, (int) M_REM_3I, INSN_MACRO },
787 {"remu", "z,s,t", 0x0000001b, 0xfc00ffff, RD_s|RD_t|WR_HI|WR_LO, I1 },
788 {"remu", "d,v,t", 0, (int) M_REMU_3, INSN_MACRO },
789 {"remu", "d,v,I", 0, (int) M_REMU_3I, INSN_MACRO },
790 {"rfe", "", 0x42000010, 0xffffffff, 0, I1|T3 },
791 {"rol", "d,v,t", 0, (int) M_ROL, INSN_MACRO },
792 {"rol", "d,v,I", 0, (int) M_ROL_I, INSN_MACRO },
793 /* start-sanitize-vr5400 */
794 {"ror", "d,t,<", 0x00200002, 0xffe0003f, WR_d|RD_t, N5 },
795 /* end-sanitize-vr5400 */
796 {"ror", "d,v,t", 0, (int) M_ROR, INSN_MACRO },
797 {"ror", "d,v,I", 0, (int) M_ROR_I, INSN_MACRO },
798 /* start-sanitize-vr5400 */
799 {"rorv", "d,t,s", 0x00000046, 0xfc0007ff, RD_t|RD_s|WR_d, N5 },
800 /* end-sanitize-vr5400 */
801 {"round.l.d", "D,S", 0x46200008, 0xffff003f, WR_D|RD_S|FP_D, I3 },
802 {"round.l.s", "D,S", 0x46000008, 0xffff003f, WR_D|RD_S|FP_S, I3 },
803 {"round.w.d", "D,S", 0x4620000c, 0xffff003f, WR_D|RD_S|FP_D, I2 },
804 {"round.w.s", "D,S", 0x4600000c, 0xffff003f, WR_D|RD_S|FP_S, I2 },
805 {"rsqrt.d", "D,S", 0x46200016, 0xffff003f, WR_D|RD_S|FP_D, I4 },
806 {"rsqrt.s", "D,S", 0x46000016, 0xffff003f, WR_D|RD_S|FP_S, I4 },
807 /* start-sanitize-r5900 */
808 {"rsqrt.s", "D,S", 0x46000016, 0xffff003f, WR_D|RD_S|FP_S, T5 },
809 /* end-sanitize-r5900 */
810 {"sb", "t,o(b)", 0xa0000000, 0xfc000000, SM|RD_t|RD_b, I1 },
811 {"sb", "t,A(b)", 0, (int) M_SB_AB, INSN_MACRO },
812 {"sc", "t,o(b)", 0xe0000000, 0xfc000000, SM|RD_t|WR_t|RD_b, I2 },
813 {"sc", "t,A(b)", 2, (int) M_SC_AB, INSN_MACRO },
814 {"scd", "t,o(b)", 0xf0000000, 0xfc000000, SM|RD_t|WR_t|RD_b, I3 },
815 {"scd", "t,A(b)", 3, (int) M_SCD_AB, INSN_MACRO },
816 {"sd", "t,o(b)", 0xfc000000, 0xfc000000, SM|RD_t|RD_b, I3 },
817 {"sd", "t,o(b)", 0, (int) M_SD_OB, INSN_MACRO },
818 {"sd", "t,A(b)", 0, (int) M_SD_AB, INSN_MACRO },
819 {"sdbbp", "", 0x0000000e, 0xffffffff, TRAP, T3 },
820 {"sdbbp", "c", 0x0000000e, 0xfc00003f, TRAP, T3 },
821 {"sdc1", "T,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b|FP_D, I2 },
822 {"sdc1", "E,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b|FP_D, I2 },
823 {"sdc1", "T,A(b)", 2, (int) M_SDC1_AB, INSN_MACRO },
824 {"sdc1", "E,A(b)", 2, (int) M_SDC1_AB, INSN_MACRO },
825 {"sdc2", "E,o(b)", 0xf8000000, 0xfc000000, SM|RD_C2|RD_b, I2 },
826 {"sdc2", "E,A(b)", 2, (int) M_SDC2_AB, INSN_MACRO },
827 {"sdc3", "E,o(b)", 0xfc000000, 0xfc000000, SM|RD_C3|RD_b, I2 },
828 {"sdc3", "E,A(b)", 2, (int) M_SDC3_AB, INSN_MACRO },
829 {"s.d", "T,o(b)", 0xf4000000, 0xfc000000, SM|RD_T|RD_b, I2 },
830 {"s.d", "T,o(b)", 0, (int) M_S_DOB, INSN_MACRO },
831 {"s.d", "T,A(b)", 0, (int) M_S_DAB, INSN_MACRO },
832 {"sdl", "t,o(b)", 0xb0000000, 0xfc000000, SM|RD_t|RD_b, I3 },
833 {"sdl", "t,A(b)", 3, (int) M_SDL_AB, INSN_MACRO },
834 {"sdr", "t,o(b)", 0xb4000000, 0xfc000000, SM|RD_t|RD_b, I3 },
835 {"sdr", "t,A(b)", 3, (int) M_SDR_AB, INSN_MACRO },
836 {"sdxc1", "S,t(b)", 0x4c000009, 0xfc0007ff, SM|RD_S|RD_t|RD_b, I4 },
837 {"selsl", "d,v,t", 0x00000005, 0xfc0007ff, WR_d|RD_s|RD_t,L1 },
838 {"selsr", "d,v,t", 0x00000001, 0xfc0007ff, WR_d|RD_s|RD_t,L1 },
839 {"seq", "d,v,t", 0, (int) M_SEQ, INSN_MACRO },
840 {"seq", "d,v,I", 0, (int) M_SEQ_I, INSN_MACRO },
841 {"sge", "d,v,t", 0, (int) M_SGE, INSN_MACRO },
842 {"sge", "d,v,I", 0, (int) M_SGE_I, INSN_MACRO },
843 {"sgeu", "d,v,t", 0, (int) M_SGEU, INSN_MACRO },
844 {"sgeu", "d,v,I", 0, (int) M_SGEU_I, INSN_MACRO },
845 {"sgt", "d,v,t", 0, (int) M_SGT, INSN_MACRO },
846 {"sgt", "d,v,I", 0, (int) M_SGT_I, INSN_MACRO },
847 {"sgtu", "d,v,t", 0, (int) M_SGTU, INSN_MACRO },
848 {"sgtu", "d,v,I", 0, (int) M_SGTU_I, INSN_MACRO },
849 {"sh", "t,o(b)", 0xa4000000, 0xfc000000, SM|RD_t|RD_b, I1 },
850 {"sh", "t,A(b)", 0, (int) M_SH_AB, INSN_MACRO },
851 {"sle", "d,v,t", 0, (int) M_SLE, INSN_MACRO },
852 {"sle", "d,v,I", 0, (int) M_SLE_I, INSN_MACRO },
853 {"sleu", "d,v,t", 0, (int) M_SLEU, INSN_MACRO },
854 {"sleu", "d,v,I", 0, (int) M_SLEU_I, INSN_MACRO },
855 {"sllv", "d,t,s", 0x00000004, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
856 {"sll", "d,w,s", 0x00000004, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* sllv */
857 {"sll", "d,w,<", 0x00000000, 0xffe0003f, WR_d|RD_t, I1 },
858 {"slt", "d,v,t", 0x0000002a, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
859 {"slt", "d,v,I", 0, (int) M_SLT_I, INSN_MACRO },
860 {"slti", "t,r,j", 0x28000000, 0xfc000000, WR_t|RD_s, I1 },
861 {"sltiu", "t,r,j", 0x2c000000, 0xfc000000, WR_t|RD_s, I1 },
862 {"sltu", "d,v,t", 0x0000002b, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
863 {"sltu", "d,v,I", 0, (int) M_SLTU_I, INSN_MACRO },
864 {"sne", "d,v,t", 0, (int) M_SNE, INSN_MACRO },
865 {"sne", "d,v,I", 0, (int) M_SNE_I, INSN_MACRO },
866 /* start-sanitize-r5900 */
867 {"sq", "t,o(b)", 0x7c000000, 0xfc000000, SM|RD_t|RD_b, T5 },
868 /* end-sanitize-r5900 */
869 {"sqrt.d", "D,S", 0x46200004, 0xffff003f, WR_D|RD_S|FP_D, I2 },
870 {"sqrt.s", "D,S", 0x46000004, 0xffff003f, WR_D|RD_S|FP_S, I2 },
871 {"srav", "d,t,s", 0x00000007, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
872 {"sra", "d,w,s", 0x00000007, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* srav */
873 {"sra", "d,w,<", 0x00000003, 0xffe0003f, WR_d|RD_t, I1 },
874 {"srlv", "d,t,s", 0x00000006, 0xfc0007ff, WR_d|RD_t|RD_s, I1 },
875 {"srl", "d,w,s", 0x00000006, 0xfc0007ff, WR_d|RD_t|RD_s, I1 }, /* srlv */
876 {"srl", "d,w,<", 0x00000002, 0xffe0003f, WR_d|RD_t, I1 },
877 {"standby", "", 0x42000021, 0xffffffff, 0, V1 },
878 {"sub", "d,v,t", 0x00000022, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
879 {"sub", "d,v,I", 0, (int) M_SUB_I, INSN_MACRO },
880 {"sub.d", "D,V,T", 0x46200001, 0xffe0003f, WR_D|RD_S|RD_T|FP_D, I1 },
881 {"sub.s", "D,V,T", 0x46000001, 0xffe0003f, WR_D|RD_S|RD_T|FP_S, I1 },
882 {"subu", "d,v,t", 0x00000023, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
883 {"subu", "d,v,I", 0, (int) M_SUBU_I, INSN_MACRO },
884 {"suspend", "", 0x42000022, 0xffffffff, 0, V1 },
885 {"sw", "t,o(b)", 0xac000000, 0xfc000000, SM|RD_t|RD_b, I1 },
886 {"sw", "t,A(b)", 0, (int) M_SW_AB, INSN_MACRO },
887 {"swc0", "E,o(b)", 0xe0000000, 0xfc000000, SM|RD_C0|RD_b, I1 },
888 {"swc0", "E,A(b)", 0, (int) M_SWC0_AB, INSN_MACRO },
889 {"swc1", "T,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 },
890 {"swc1", "E,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 },
891 {"swc1", "T,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
892 {"swc1", "E,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
893 {"s.s", "T,o(b)", 0xe4000000, 0xfc000000, SM|RD_T|RD_b|FP_S, I1 }, /* swc1 */
894 {"s.s", "T,A(b)", 0, (int) M_SWC1_AB, INSN_MACRO },
895 {"swc2", "E,o(b)", 0xe8000000, 0xfc000000, SM|RD_C2|RD_b, I1 },
896 {"swc2", "E,A(b)", 0, (int) M_SWC2_AB, INSN_MACRO },
897 {"swc3", "E,o(b)", 0xec000000, 0xfc000000, SM|RD_C3|RD_b, I1 },
898 {"swc3", "E,A(b)", 0, (int) M_SWC3_AB, INSN_MACRO },
899 {"swl", "t,o(b)", 0xa8000000, 0xfc000000, SM|RD_t|RD_b, I1 },
900 {"swl", "t,A(b)", 0, (int) M_SWL_AB, INSN_MACRO },
901 {"scache", "t,o(b)", 0xa8000000, 0xfc000000, RD_t|RD_b, I2 }, /* same */
902 {"scache", "t,A(b)", 2, (int) M_SWL_AB, INSN_MACRO }, /* as swl */
903 {"swr", "t,o(b)", 0xb8000000, 0xfc000000, SM|RD_t|RD_b, I1 },
904 {"swr", "t,A(b)", 0, (int) M_SWR_AB, INSN_MACRO },
905 {"invalidate", "t,o(b)",0xb8000000, 0xfc000000, RD_t|RD_b, I2 }, /* same */
906 {"invalidate", "t,A(b)",2, (int) M_SWR_AB, INSN_MACRO }, /* as swr */
907 {"swxc1", "S,t(b)", 0x4c000008, 0xfc0007ff, SM|RD_S|RD_t|RD_b, I4 },
908 {"sync", "", 0x0000000f, 0xffffffff, 0, I2 },
909 {"syscall", "", 0x0000000c, 0xffffffff, TRAP, I1 },
910 {"syscall", "B", 0x0000000c, 0xfc00003f, TRAP, I1 },
911 {"teqi", "s,j", 0x040c0000, 0xfc1f0000, RD_s|TRAP, I2 },
912 {"teq", "s,t", 0x00000034, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
913 {"teq", "s,j", 0x040c0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* teqi */
914 {"teq", "s,I", 2, (int) M_TEQ_I, INSN_MACRO },
915 {"tgei", "s,j", 0x04080000, 0xfc1f0000, RD_s|TRAP, I2 },
916 {"tge", "s,t", 0x00000030, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
917 {"tge", "s,j", 0x04080000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tgei */
918 {"tge", "s,I", 2, (int) M_TGE_I, INSN_MACRO },
919 {"tgeiu", "s,j", 0x04090000, 0xfc1f0000, RD_s|TRAP, I2 },
920 {"tgeu", "s,t", 0x00000031, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
921 {"tgeu", "s,j", 0x04090000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tgeiu */
922 {"tgeu", "s,I", 2, (int) M_TGEU_I, INSN_MACRO },
923 {"tlbp", "", 0x42000008, 0xffffffff, INSN_TLB, I1 },
924 {"tlbr", "", 0x42000001, 0xffffffff, INSN_TLB, I1 },
925 {"tlbwi", "", 0x42000002, 0xffffffff, INSN_TLB, I1 },
926 {"tlbwr", "", 0x42000006, 0xffffffff, INSN_TLB, I1 },
927 {"tlti", "s,j", 0x040a0000, 0xfc1f0000, RD_s|TRAP, I2 },
928 {"tlt", "s,t", 0x00000032, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
929 {"tlt", "s,j", 0x040a0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tlti */
930 {"tlt", "s,I", 2, (int) M_TLT_I, INSN_MACRO },
931 {"tltiu", "s,j", 0x040b0000, 0xfc1f0000, RD_s|TRAP, I2 },
932 {"tltu", "s,t", 0x00000033, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
933 {"tltu", "s,j", 0x040b0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tltiu */
934 {"tltu", "s,I", 2, (int) M_TLTU_I, INSN_MACRO },
935 {"tnei", "s,j", 0x040e0000, 0xfc1f0000, RD_s|TRAP, I2 },
936 {"tne", "s,t", 0x00000036, 0xfc00003f, RD_s|RD_t|TRAP, I2 },
937 {"tne", "s,j", 0x040e0000, 0xfc1f0000, RD_s|TRAP, I2 }, /* tnei */
938 {"tne", "s,I", 2, (int) M_TNE_I, INSN_MACRO },
939 {"trunc.l.d", "D,S", 0x46200009, 0xffff003f, WR_D|RD_S|FP_D, I3 },
940 {"trunc.l.s", "D,S", 0x46000009, 0xffff003f, WR_D|RD_S|FP_S, I3 },
941 {"trunc.w.d", "D,S", 0x4620000d, 0xffff003f, WR_D|RD_S|FP_D, I2 },
942 {"trunc.w.d", "D,S,x", 0x4620000d, 0xffff003f, WR_D|RD_S|FP_D, I2 },
943 {"trunc.w.d", "D,S,t", 0, (int) M_TRUNCWD, INSN_MACRO },
944 {"trunc.w.s", "D,S", 0x4600000d, 0xffff003f, WR_D|RD_S|FP_S, I2 },
945 {"trunc.w.s", "D,S,x", 0x4600000d, 0xffff003f, WR_D|RD_S|FP_S, I2 },
946 {"trunc.w.s", "D,S,t", 0, (int) M_TRUNCWS, INSN_MACRO },
947 {"uld", "t,o(b)", 3, (int) M_ULD, INSN_MACRO },
948 {"uld", "t,A(b)", 3, (int) M_ULD_A, INSN_MACRO },
949 {"ulh", "t,o(b)", 0, (int) M_ULH, INSN_MACRO },
950 {"ulh", "t,A(b)", 0, (int) M_ULH_A, INSN_MACRO },
951 {"ulhu", "t,o(b)", 0, (int) M_ULHU, INSN_MACRO },
952 {"ulhu", "t,A(b)", 0, (int) M_ULHU_A, INSN_MACRO },
953 {"ulw", "t,o(b)", 0, (int) M_ULW, INSN_MACRO },
954 {"ulw", "t,A(b)", 0, (int) M_ULW_A, INSN_MACRO },
955 {"usd", "t,o(b)", 3, (int) M_USD, INSN_MACRO },
956 {"usd", "t,A(b)", 3, (int) M_USD_A, INSN_MACRO },
957 {"ush", "t,o(b)", 0, (int) M_USH, INSN_MACRO },
958 {"ush", "t,A(b)", 0, (int) M_USH_A, INSN_MACRO },
959 {"usw", "t,o(b)", 0, (int) M_USW, INSN_MACRO },
960 {"usw", "t,A(b)", 0, (int) M_USW_A, INSN_MACRO },
961 {"xor", "d,v,t", 0x00000026, 0xfc0007ff, WR_d|RD_s|RD_t, I1 },
962 {"xor", "t,r,I", 0, (int) M_XOR_I, INSN_MACRO },
963 {"xori", "t,r,i", 0x38000000, 0xfc000000, WR_t|RD_s, I1 },
964 {"wait", "", 0x42000020, 0xffffffff, TRAP, I3 },
965 {"waiti", "", 0x42000020, 0xffffffff, TRAP, L1 },
966 {"wb", "o(b)", 0xbc040000, 0xfc1f0000, SM|RD_b, L1 },
967 /* start-sanitize-vr5400 */
968 {"add.ob", "D,S,T", 0x4ac0000b, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
969 {"add.ob", "D,S,T[e]", 0x4800000b, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
970 {"add.ob", "D,S,k", 0x4bc0000b, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
971 {"alni.ob", "D,S,T,%", 0x48000018, 0xff00003f, WR_D|RD_S|RD_T, N5 },
972 {"and.ob", "D,S,T", 0x4ac0000c, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
973 {"and.ob", "D,S,T[e]", 0x4800000c, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
974 {"and.ob", "D,S,k", 0x4bc0000c, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
975 {"c.eq.ob", "S,k", 0x4bc00001, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
976 {"c.eq.ob", "S,T", 0x4ac00001, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
977 {"c.eq.ob", "S,T[e]", 0x48000001, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
978 {"c.le.ob", "S,k", 0x4bc00005, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
979 {"c.le.ob", "S,T", 0x4ac00005, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
980 {"c.le.ob", "S,T[e]", 0x48000005, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
981 {"c.lt.ob", "S,k", 0x4bc00004, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
982 {"c.lt.ob", "S,T", 0x4ac00004, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
983 {"c.lt.ob", "S,T[e]", 0x48000004, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
984 {"max.ob", "D,S,T", 0x4ac00007, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
985 {"max.ob", "D,S,T[e]", 0x48000007, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
986 {"max.ob", "D,S,k", 0x4bc00007, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
987 {"min.ob", "D,S,T", 0x4ac00006, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
988 {"min.ob", "D,S,T[e]", 0x48000006, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
989 {"min.ob", "D,S,k", 0x4bc00006, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
990 {"mul.ob", "D,S,T", 0x4ac00030, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
991 {"mul.ob", "D,S,T[e]", 0x48000030, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
992 {"mul.ob", "D,S,k", 0x4bc00030, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
993 {"mula.ob", "S,k", 0x4bc00033, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
994 {"mula.ob", "S,T", 0x4ac00033, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
995 {"mula.ob", "S,T[e]", 0x48000033, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
996 {"mull.ob", "S,k", 0x4bc00433, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
997 {"mull.ob", "S,T", 0x4ac00433, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
998 {"mull.ob", "S,T[e]", 0x48000433, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
999 {"muls.ob", "S,k", 0x4bc00032, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1000 {"muls.ob", "S,T", 0x4ac00032, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1001 {"muls.ob", "S,T[e]", 0x48000032, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1002 {"mulsl.ob","S,k", 0x4bc00432, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1003 {"mulsl.ob","S,T", 0x4ac00432, 0xffe007ff, WR_CC|RD_S|RD_T, N5 },
1004 {"mulsl.ob","S,T[e]", 0x48000432, 0xfe2007ff, WR_CC|RD_S|RD_T, N5 },
1005 {"nor.ob", "D,S,T", 0x4ac0000f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1006 {"nor.ob", "D,S,T[e]", 0x4800000f, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1007 {"nor.ob", "D,S,k", 0x4bc0000f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1008 {"or.ob", "D,S,T", 0x4ac0000e, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1009 {"or.ob", "D,S,T[e]", 0x4800000e, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1010 {"or.ob", "D,S,k", 0x4bc0000e, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1011 {"pickf.ob", "D,S,T", 0x4ac00002, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1012 {"pickf.ob", "D,S,T[e]", 0x48000002, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1013 {"pickf.ob", "D,S,k", 0x4bc00002, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1014 {"pickt.ob", "D,S,T", 0x4ac00003, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1015 {"pickt.ob", "D,S,T[e]", 0x48000003, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1016 {"pickt.ob", "D,S,k", 0x4bc00003, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1017 {"rach.ob", "D", 0x4a00003f, 0xfffff83f, WR_D, N5 },
1018 {"racl.ob", "D", 0x4800003f, 0xfffff83f, WR_D, N5 },
1019 {"racm.ob", "D", 0x4900003f, 0xfffff83f, WR_D, N5 },
1020 {"rzu.ob", "D,S,k", 0x4bc00020, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1021 {"shfl.mixh.ob","D,S,T",0x4980001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1022 {"shfl.mixl.ob","D,S,T",0x49c0001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1023 {"shfl.pach.ob","D,S,T",0x4900001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1024 {"shfl.pacl.ob","D,S,T",0x4940001f, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1025 {"sll.ob", "D,S,T[e]", 0x48000010, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1026 {"sll.ob", "D,S,k", 0x4bc00010, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1027 {"srl.ob", "D,S,T[e]", 0x48000012, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1028 {"srl.ob", "D,S,k", 0x4bc00012, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1029 {"sub.ob", "D,S,T", 0x4ac0000a, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1030 {"sub.ob", "D,S,T[e]", 0x4800000a, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1031 {"sub.ob", "D,S,k", 0x4bc0000a, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1032 {"wach.ob", "S", 0x4a00003e, 0xffff07ff, RD_S, N5 },
1033 {"wacl.ob", "S,T", 0x4800003e, 0xffe007ff, RD_S|RD_T, N5 },
1034 {"xor.ob", "D,S,T", 0x4ac0000d, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1035 {"xor.ob", "D,S,T[e]", 0x4800000d, 0xfe20003f, WR_D|RD_S|RD_T, N5 },
1036 {"xor.ob", "D,S,k", 0x4bc0000d, 0xffe0003f, WR_D|RD_S|RD_T, N5 },
1037 /* end-sanitize-vr5400 */
1038 /* No hazard protection on coprocessor instructions--they shouldn't
1039 change the state of the processor and if they do it's up to the
1040 user to put in nops as necessary. These are at the end so that the
1041 disasembler recognizes more specific versions first. */
1042 {"c0", "C", 0x42000000, 0xfe000000, 0, I1 },
1043 {"c1", "C", 0x46000000, 0xfe000000, 0, I1 },
1044 {"c2", "C", 0x4a000000, 0xfe000000, 0, I1 },
1045 {"c3", "C", 0x4e000000, 0xfe000000, 0, I1 },
1046 {"cop0", "C", 0, (int) M_COP0, INSN_MACRO },
1047 {"cop1", "C", 0, (int) M_COP1, INSN_MACRO },
1048 {"cop2", "C", 0, (int) M_COP2, INSN_MACRO },
1049 {"cop3", "C", 0, (int) M_COP3, INSN_MACRO },
1050 };
1051
1052 #define MIPS_NUM_OPCODES \
1053 ((sizeof mips_builtin_opcodes) / (sizeof (mips_builtin_opcodes[0])))
1054 const int bfd_mips_num_builtin_opcodes = MIPS_NUM_OPCODES;
1055
1056 /* const removed from the following to allow for dynamic extensions to the
1057 * built-in instruction set. */
1058 struct mips_opcode *mips_opcodes =
1059 (struct mips_opcode *) mips_builtin_opcodes;
1060 int bfd_mips_num_opcodes = MIPS_NUM_OPCODES;
1061 #undef MIPS_NUM_OPCODES
1062
This page took 0.121852 seconds and 5 git commands to generate.