Power10 VSX 32-byte storage access
[deliverable/binutils-gdb.git] / opcodes / crx-opc.c
index 981a50f2e89856251e01e97d0fb8a5bfe0a8abc1..02381ae08edefe9a81375b14530b9163e298d249 100644 (file)
@@ -1,5 +1,5 @@
 /* crx-opc.c -- Table of opcodes for the CRX processor.
 /* crx-opc.c -- Table of opcodes for the CRX processor.
-   Copyright (C) 2004-2018 Free Software Foundation, Inc.
+   Copyright (C) 2004-2020 Free Software Foundation, Inc.
    Contributed by Tomer Levi NSC, Israel.
    Originally written for GAS 2.12 by Tomer Levi.
 
    Contributed by Tomer Levi NSC, Israel.
    Originally written for GAS 2.12 by Tomer Levi.
 
This page took 0.023863 seconds and 4 git commands to generate.