sim: d10v: relocate tests & clean up test harness
authorMike Frysinger <vapier@gentoo.org>
Tue, 5 Jan 2021 08:11:32 +0000 (03:11 -0500)
committerMike Frysinger <vapier@gentoo.org>
Fri, 15 Jan 2021 06:49:23 +0000 (01:49 -0500)
This is the only target using a dir directly under testsuite/.  All
others use sim/<arch>/ instead.  Relocate it so all targets look the
same, and so we can leverage the common test harness.

We drop loop.s in the process because it was never referenced and
was just 2 lines of code.

All other test files are moved & have directives added to the top so
that the test harness can invoke them correctly.

106 files changed:
sim/testsuite/ChangeLog
sim/testsuite/configure
sim/testsuite/configure.ac
sim/testsuite/d10v-elf/ChangeLog [deleted file]
sim/testsuite/d10v-elf/Makefile.in [deleted file]
sim/testsuite/d10v-elf/configure [deleted file]
sim/testsuite/d10v-elf/configure.ac [deleted file]
sim/testsuite/d10v-elf/exit47.s [deleted file]
sim/testsuite/d10v-elf/hello.s [deleted file]
sim/testsuite/d10v-elf/loop.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld-d.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld-i.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld-id.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld-im.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld-ip.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld2w-d.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld2w-i.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld2w-id.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld2w-im.s [deleted file]
sim/testsuite/d10v-elf/t-ae-ld2w-ip.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-d.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-i.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-id.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-im.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-ip.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st-is.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-d.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-i.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-id.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-im.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-ip.s [deleted file]
sim/testsuite/d10v-elf/t-ae-st2w-is.s [deleted file]
sim/testsuite/d10v-elf/t-dbt.s [deleted file]
sim/testsuite/d10v-elf/t-ld-st.s [deleted file]
sim/testsuite/d10v-elf/t-mac.s [deleted file]
sim/testsuite/d10v-elf/t-macros.i [deleted file]
sim/testsuite/d10v-elf/t-mod-ld-pre.s [deleted file]
sim/testsuite/d10v-elf/t-msbu.s [deleted file]
sim/testsuite/d10v-elf/t-mulxu.s [deleted file]
sim/testsuite/d10v-elf/t-mvtac.s [deleted file]
sim/testsuite/d10v-elf/t-mvtc.s [deleted file]
sim/testsuite/d10v-elf/t-rac.s [deleted file]
sim/testsuite/d10v-elf/t-rachi.s [deleted file]
sim/testsuite/d10v-elf/t-rdt.s [deleted file]
sim/testsuite/d10v-elf/t-rep.s [deleted file]
sim/testsuite/d10v-elf/t-rie-xx.s [deleted file]
sim/testsuite/d10v-elf/t-rte.s [deleted file]
sim/testsuite/d10v-elf/t-sac.s [deleted file]
sim/testsuite/d10v-elf/t-sachi.s [deleted file]
sim/testsuite/d10v-elf/t-sadd.s [deleted file]
sim/testsuite/d10v-elf/t-slae.s [deleted file]
sim/testsuite/d10v-elf/t-sp.s [deleted file]
sim/testsuite/d10v-elf/t-sub.s [deleted file]
sim/testsuite/d10v-elf/t-sub2w.s [deleted file]
sim/testsuite/d10v-elf/t-subi.s [deleted file]
sim/testsuite/d10v-elf/t-trap.s [deleted file]
sim/testsuite/sim/d10v/ChangeLog [new file with mode: 0644]
sim/testsuite/sim/d10v/allinsn.exp [new file with mode: 0644]
sim/testsuite/sim/d10v/exit47.s [new file with mode: 0644]
sim/testsuite/sim/d10v/hello.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld-d.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld-i.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld-id.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld-im.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld-ip.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld2w-d.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld2w-i.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld2w-id.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld2w-im.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-ld2w-ip.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-d.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-i.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-id.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-im.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-ip.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st-is.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-d.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-i.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-id.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-im.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-ip.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ae-st2w-is.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-dbt.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-ld-st.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-mac.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-macros.i [new file with mode: 0644]
sim/testsuite/sim/d10v/t-mod-ld-pre.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-msbu.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-mulxu.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-mvtac.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-mvtc.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rac.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rachi.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rdt.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rep.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rie-xx.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-rte.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sac.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sachi.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sadd.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-slae.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sp.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sub.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-sub2w.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-subi.s [new file with mode: 0644]
sim/testsuite/sim/d10v/t-trap.s [new file with mode: 0644]

index f1fca5209d6f8aa44d4a2228a00e94e8ba2e1626..28d8c736135a76b4c0853703797dcae79175f34c 100644 (file)
@@ -1,4 +1,11 @@
-2021-01-05  Mike Frysinger  <vapier@gentoo.org>
+2021-01-15  Mike Frysinger  <vapier@gentoo.org>
+
+       * configure.ac (target): Delete d10v-*-elf case.
+       * configure: Regenerate.
+       * d10v-elf/: Move directory ...
+       * sim/d10v/: ... here.
+
+2021-01-15  Mike Frysinger  <vapier@gentoo.org>
 
        * configure.ac (target): Delete mips64el-*-elf case.
        * configure: Regenerate.
index afc27ad026eacc85b295adb2ef04a58a9ce5f93f..0367776b3f20b23d5fb6faf7c7ff8c35b6c5ad13 100755 (executable)
@@ -582,11 +582,9 @@ PACKAGE_BUGREPORT=
 PACKAGE_URL=
 
 ac_unique_file="common/bits-tst.c"
-enable_option_checking=no
 ac_subst_vars='LTLIBOBJS
 LIBOBJS
 sim_arch
-subdirs
 target_os
 target_vendor
 target_cpu
@@ -645,7 +643,7 @@ enable_option_checking
       ac_precious_vars='build_alias
 host_alias
 target_alias'
-ac_subdirs_all='d10v-elf'
+
 
 # Initialize some variables set by options.
 ac_init_help=
@@ -1825,16 +1823,6 @@ test -n "$target_alias" &&
   program_prefix=${target_alias}-
 
 
-# Configure sub-directory for appropriate targets
-case ${target} in
-    d10v-*-elf )
-
-
-subdirs="$subdirs d10v-elf"
-
-       ;;
-esac
-
 
 # WHEN ADDING ENTRIES TO THIS MATRIX:
 
@@ -3097,151 +3085,6 @@ if test "$no_create" != yes; then
   # would make configure fail if this is the last instruction.
   $ac_cs_success || as_fn_exit 1
 fi
-
-#
-# CONFIG_SUBDIRS section.
-#
-if test "$no_recursion" != yes; then
-
-  # Remove --cache-file, --srcdir, and --disable-option-checking arguments
-  # so they do not pile up.
-  ac_sub_configure_args=
-  ac_prev=
-  eval "set x $ac_configure_args"
-  shift
-  for ac_arg
-  do
-    if test -n "$ac_prev"; then
-      ac_prev=
-      continue
-    fi
-    case $ac_arg in
-    -cache-file | --cache-file | --cache-fil | --cache-fi \
-    | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
-      ac_prev=cache_file ;;
-    -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
-    | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* \
-    | --c=*)
-      ;;
-    --config-cache | -C)
-      ;;
-    -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
-      ac_prev=srcdir ;;
-    -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
-      ;;
-    -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
-      ac_prev=prefix ;;
-    -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
-      ;;
-    --disable-option-checking)
-      ;;
-    *)
-      case $ac_arg in
-      *\'*) ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
-      esac
-      as_fn_append ac_sub_configure_args " '$ac_arg'" ;;
-    esac
-  done
-
-  # Always prepend --prefix to ensure using the same prefix
-  # in subdir configurations.
-  ac_arg="--prefix=$prefix"
-  case $ac_arg in
-  *\'*) ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
-  esac
-  ac_sub_configure_args="'$ac_arg' $ac_sub_configure_args"
-
-  # Pass --silent
-  if test "$silent" = yes; then
-    ac_sub_configure_args="--silent $ac_sub_configure_args"
-  fi
-
-  # Always prepend --disable-option-checking to silence warnings, since
-  # different subdirs can have different --enable and --with options.
-  ac_sub_configure_args="--disable-option-checking $ac_sub_configure_args"
-
-  ac_popdir=`pwd`
-  for ac_dir in : $subdirs; do test "x$ac_dir" = x: && continue
-
-    # Do not complain, so a configure script can configure whichever
-    # parts of a large source tree are present.
-    test -d "$srcdir/$ac_dir" || continue
-
-    ac_msg="=== configuring in $ac_dir (`pwd`/$ac_dir)"
-    $as_echo "$as_me:${as_lineno-$LINENO}: $ac_msg" >&5
-    $as_echo "$ac_msg" >&6
-    as_dir="$ac_dir"; as_fn_mkdir_p
-    ac_builddir=.
-
-case "$ac_dir" in
-.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
-*)
-  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
-  # A ".." for each directory in $ac_dir_suffix.
-  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
-  case $ac_top_builddir_sub in
-  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
-  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
-  esac ;;
-esac
-ac_abs_top_builddir=$ac_pwd
-ac_abs_builddir=$ac_pwd$ac_dir_suffix
-# for backward compatibility:
-ac_top_builddir=$ac_top_build_prefix
-
-case $srcdir in
-  .)  # We are building in place.
-    ac_srcdir=.
-    ac_top_srcdir=$ac_top_builddir_sub
-    ac_abs_top_srcdir=$ac_pwd ;;
-  [\\/]* | ?:[\\/]* )  # Absolute name.
-    ac_srcdir=$srcdir$ac_dir_suffix;
-    ac_top_srcdir=$srcdir
-    ac_abs_top_srcdir=$srcdir ;;
-  *) # Relative name.
-    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
-    ac_top_srcdir=$ac_top_build_prefix$srcdir
-    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
-esac
-ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
-
-
-    cd "$ac_dir"
-
-    # Check for guested configure; otherwise get Cygnus style configure.
-    if test -f "$ac_srcdir/configure.gnu"; then
-      ac_sub_configure=$ac_srcdir/configure.gnu
-    elif test -f "$ac_srcdir/configure"; then
-      ac_sub_configure=$ac_srcdir/configure
-    elif test -f "$ac_srcdir/configure.in"; then
-      # This should be Cygnus configure.
-      ac_sub_configure=$ac_aux_dir/configure
-    else
-      { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: no configuration information is in $ac_dir" >&5
-$as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2;}
-      ac_sub_configure=
-    fi
-
-    # The recursion is here.
-    if test -n "$ac_sub_configure"; then
-      # Make the cache file name correct relative to the subdirectory.
-      case $cache_file in
-      [\\/]* | ?:[\\/]* ) ac_sub_cache_file=$cache_file ;;
-      *) # Relative name.
-       ac_sub_cache_file=$ac_top_build_prefix$cache_file ;;
-      esac
-
-      { $as_echo "$as_me:${as_lineno-$LINENO}: running $SHELL $ac_sub_configure $ac_sub_configure_args --cache-file=$ac_sub_cache_file --srcdir=$ac_srcdir" >&5
-$as_echo "$as_me: running $SHELL $ac_sub_configure $ac_sub_configure_args --cache-file=$ac_sub_cache_file --srcdir=$ac_srcdir" >&6;}
-      # The eval makes quoting arguments work.
-      eval "\$SHELL \"\$ac_sub_configure\" $ac_sub_configure_args \
-          --cache-file=\"\$ac_sub_cache_file\" --srcdir=\"\$ac_srcdir\"" ||
-       as_fn_error $? "$ac_sub_configure failed for $ac_dir" "$LINENO" 5
-    fi
-
-    cd "$ac_popdir"
-  done
-fi
 if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
   { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
 $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
index e878371fd98bee1a7687d2aea97ab6f67e44fbfc..140eb7d5a762f0d75367e12cd9c725ff2792d437 100644 (file)
@@ -11,13 +11,6 @@ AC_SUBST(CC)
 AC_CONFIG_AUX_DIR(../..)
 AC_CANONICAL_SYSTEM
 
-# Configure sub-directory for appropriate targets
-case ${target} in
-    d10v-*-elf )
-       AC_CONFIG_SUBDIRS(d10v-elf)
-       ;;
-esac
-
 sinclude(../configure.tgt)
 
 AC_OUTPUT(Makefile)
diff --git a/sim/testsuite/d10v-elf/ChangeLog b/sim/testsuite/d10v-elf/ChangeLog
deleted file mode 100644 (file)
index fec8767..0000000
+++ /dev/null
@@ -1,139 +0,0 @@
-2020-10-06  Andrew Burgess  <andrew.burgess@embecosm.com>
-
-       * configure: Regnerate.
-       * configure.ac (AC_CONFIG_AUX_DIR): Update.
-
-2015-03-30  Mike Frysinger  <vapier@gentoo.org>
-
-       * Makefile.in (RUNFLAGS_FOR_TARGET): Set to --environment operating.
-
-2009-08-22  Ralf Wildenhues  <Ralf.Wildenhues@gmx.de>
-
-       * configure: Regenerate.
-
-2005-01-07  Andrew Cagney  <cagney@gnu.org>
-
-       * configure.ac: Rename configure.in, require autoconf 2.59.
-       * configure: Re-generate.
-
-Tue Apr 18 16:32:07 2000  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-rie-xx.s (test_rie_xx): New test.
-       * Makefile.in (TESTS): Update.
-
-Tue Feb 22 17:36:34 2000  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * Makefile.in: Force d10v into operating mode.
-
-Mon Jan  3 00:17:28 2000  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-ae-ld-d.s, t-ae-ld-i.s, t-ae-ld-id.s, t-ae-ld-im.s ,
-       t-ae-ld-ip.s, t-ae-ld2w-d.s, t-ae-ld2w-i.s, t-ae-ld2w-id.s ,
-       t-ae-ld2w-im.s, t-ae-ld2w-ip.s, t-ae-st-d.s, t-ae-st-i.s ,
-       t-ae-st-id.s, t-ae-st-im.s, t-ae-st-ip.s, t-ae-st-is.s ,
-       t-ae-st2w-d.s, t-ae-st2w-i.s, t-ae-st2w-id.s, t-ae-st2w-im.s ,
-       t-ae-st2w-ip.s, t-ae-st2w-is.s: New tests.  Check that an address
-       exception occures when a word/two-word load/store is not word
-       aligned.
-       * Makefile.in (TESTS): Update.
-
-Fri Oct 29 18:36:34 1999  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-mvtc.s: Check that the user can not modify the DM bit in the
-       BPSW or DPSW.
-
-Thu Oct 28 01:47:26 1999  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-mvtc.s: Update. Check that user can not modify DM bit.
-
-Wed Sep  8 19:34:55 MDT 1999   Diego Novillo <dnovillo@cygnus.com>
-
-       * t-ld-st.s: New file.
-       * t-sac.s: New file.
-       * t-sachi.s: New file.
-       * t-slae.s: New file.
-
-1999-01-13  Jason Molenda  (jsm@bugshack.cygnus.com)
-
-       * t-sadd.s: New file.
-       * Makefile.in (TESTS): Add t-sadd.
-
-Mon Feb 16 09:20:57 1998  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-macros.i (VEC_*): Define.
-       (DMAP_REG, DMAP_BASE, DMAP_MASK): Define.
-       (IMAP[01]_REG): Define.
-
-       * t-rdt.s (test_tdt): New file.
-
-       * t-dbt.s (test_dbt): New file.
-
-       * Makefile.in (TESTS): Add t-rdt and t-dbt.
-
-
-Fri Feb 13 16:21:13 1998  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-sp.s: New test.
-       * Makefile.in (TESTS): Update.
-
-Wed Feb 11 17:58:50 1998  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-macros.i: Update trap calls, func in r4, args in
-       r0...
-       (start): Force r0 to zero.
-
-       * t-sub2w.s: Ditto.
-
-Tue Dec  9 10:41:44 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-rte.s (success): New file.
-       * Makefile.in: Update.
-
-       * t-rep.s: Check rep repeats correct number of times.
-
-Fri Dec  5 10:11:18 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-mvtc.s: Check for stuck-zero in MOD_E, MOD_S.
-
-       * t-trap.s: New file.
-       * Makefile.in (TESTS): Update.
-
-Thu Dec  4 16:56:55 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-macros.i: Add definitions for PSW bits.
-
-       * t-mvtc.s: New file.
-       * Makefile.in (TESTS): Update.
-
-Wed Dec  3 16:35:24 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-rac.s: New files.
-
-       * t-macros.i: Add macros for checking psw and 2w quantities.
-
-       * Makefile.in (TESTS): Update.
-
-Tue Dec  2 11:01:36 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-sub2w.s, t-mulxu.s, t-mac.s, t-mvtac.s, t-msbu.s, t-sub.s: New
-       files.
-
-       * Makefile.in: Update.
-
-Mon Nov 17 20:14:48 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-subi.s (test_subi): New file.
-       * Makefile.in: Update.
-
-Fri Nov 14 14:06:06 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-rep.s: New file. Test case of branch to RPT_E address.
-
-Mon Nov 10 19:21:26 1997  Andrew Cagney  <cagney@b1.cygnus.com>
-
-       * t-macros.i (_start): New file.
-       * t-rachi.s: New file.
-
-       * Makefile.in (RUN_FOR_TARGET): Look for simulator in d10v
-       directory.
-
diff --git a/sim/testsuite/d10v-elf/Makefile.in b/sim/testsuite/d10v-elf/Makefile.in
deleted file mode 100644 (file)
index 938085e..0000000
+++ /dev/null
@@ -1,180 +0,0 @@
-# Makefile for regression testing the GNU debugger.
-# Copyright (C) 1992-2021 Free Software Foundation, Inc.
-
-# This file is part of GDB.
-
-# This program is free software; you can redistribute it and/or modify
-# it under the terms of the GNU General Public License as published by
-# the Free Software Foundation; either version 3 of the License, or
-# (at your option) any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program.  If not, see <http://www.gnu.org/licenses/>.
-
-VPATH = @srcdir@
-srcdir = @srcdir@
-srcroot = $(srcdir)/..
-
-prefix = @prefix@
-exec_prefix = @exec_prefix@
-
-host_alias = @host_alias@
-target_alias = @target_alias@
-program_transform_name = @program_transform_name@
-build_canonical = @build@
-host_canonical = @host@
-target_canonical = @target@
-target_cpu = @target_cpu@
-
-
-SHELL = /bin/sh
-SUBDIRS = @subdirs@
-RPATH_ENVVAR = @RPATH_ENVVAR@
-
-TESTS = \
-       exit47.ko \
-       hello.hi \
-       t-dbt.ok \
-       t-ld-st.ok \
-       t-mac.ok \
-       t-mvtac.ok \
-       t-mvtc.ok \
-       t-msbu.ok \
-       t-mulxu.ok \
-       t-rac.ok \
-       t-rachi.ok \
-       t-rdt.ok \
-       t-rep.ok \
-       t-rte.ok \
-       t-sac.ok \
-       t-sachi.ok \
-       t-sadd.ok \
-       t-slae.ok \
-       t-sp.ok \
-       t-sub2w.ok \
-       t-sub.ok \
-       t-subi.ok \
-       t-ae-ld-d.ok \
-       t-ae-ld-i.ok \
-       t-ae-ld-id.ok \
-       t-ae-ld-im.ok \
-       t-ae-ld-ip.ok \
-       t-ae-ld2w-d.ok \
-       t-ae-ld2w-i.ok \
-       t-ae-ld2w-id.ok \
-       t-ae-ld2w-im.ok \
-       t-ae-ld2w-ip.ok \
-       t-ae-st-d.ok \
-       t-ae-st-i.ok \
-       t-ae-st-id.ok \
-       t-ae-st-im.ok \
-       t-ae-st-ip.ok \
-       t-ae-st-is.ok \
-       t-ae-st2w-d.ok \
-       t-ae-st2w-i.ok \
-       t-ae-st2w-id.ok \
-       t-ae-st2w-im.ok \
-       t-ae-st2w-ip.ok \
-       t-ae-st2w-is.ok \
-       t-mod-ld-pre.ok \
-       t-rie-xx.ok \
-#
-
-AS_FOR_TARGET = `\
-  if [ -x ../../../gas/as-new ]; then \
-    echo ../../../gas/as-new ; \
-  else \
-    echo $(target_alias)-as ; \
-  fi`
-
-LD_FOR_TARGET = `\
-  if [ -x ../../../ld/ld-new ]; then \
-    echo ../../../ld/ld-new ; \
-  else \
-    echo $(target_alias)-ld ; \
-  fi`
-
-RUN_FOR_TARGET = `\
-  if [ -x ../../../sim/d10v/run ]; then \
-    echo ../../../sim/d10v/run ; \
-  else \
-    echo $(target_alias)-run ; \
-  fi`
-
-# Force d10v into operating mode.
-RUNFLAGS_FOR_TARGET=--environment operating
-
-
-check: sanity $(TESTS)
-sanity:
-       @eval echo AS_FOR_TARGET=$(AS_FOR_TARGET)
-       @eval echo LD_FOR_TARGET=$(LD_FOR_TARGET)
-       @eval echo RUN_FOR_TARGET=$(RUN_FOR_TARGET)
-
-clean:
-       rm -f $(TESTS)
-       rm -f *.run *.o
-       rm -f core *.core
-
-# Rules for running the tests
-
-.SUFFIXES: .ok .run .hi .ko .ti
-.run.ok:
-       rm -f tmp-$* $*.hi
-       ulimit -t 5 ; \
-       $(RUN_FOR_TARGET) $(RUNFLAGS_FOR_TARGET) $*.run > tmp-$*
-       mv tmp-$* $*.ok
-.run.hi:
-       rm -f tmp-$* $*.hi diff-$*
-       ulimit -t 5 ; \
-       $(RUN_FOR_TARGET) $(RUNFLAGS_FOR_TARGET) $*.run > tmp-$*
-       echo 'Hello World!' | diff - tmp-$* > diff-$*
-       cat tmp-$* diff-$* > $*.hi
-.run.ko:
-       rm -f tmp-$* $*.ko
-       set +e ; \
-       ulimit -t 5 ; \
-       $(RUN_FOR_TARGET) $(RUNFLAGS_FOR_TARGET) $*.run > tmp-$* ; \
-       if [ $$? -eq 47 ] ; then \
-         exit 0 ; \
-       else \
-         exit 1 ; \
-       fi
-       mv tmp-$* $*.ko
-.run.ti:
-       rm -f tmp-$* $*.ti
-       set +e ; \
-       ulimit -t 5 ; \
-       $(RUN_FOR_TARGET) $(RUNFLAGS_FOR_TARGET) $(INTFLAGS_FOR_TARGET) $*.run > tmp-$*
-       test `cat tmp-$* | wc -l` -eq 10 < /dev/null
-       test `grep Tick tmp-$* | wc -l` -eq 10 < /dev/null
-       mv tmp-$* $*.ti
-
-
-# Rules for building the test
-# Preference is for obtaining the executable (.run) from a prebuilt image
-
-.SUFFIXES: .uue .s .S .run
-.uue.run:
-       head $* | grep $*.run > /dev/null
-       uudecode $*.uue
-.run.u:
-       uuencode < $*.run $*.run > $*.u
-.o.run:
-       $(LD_FOR_TARGET) $(LDFLAGS_FOR_TARGET) -o $*.run $*.o
-.s.o:
-       $(AS_FOR_TARGET) $(ASFLAGS_FOR_TARGET) -I$(srcdir) $(srcdir)/$*.s -o $*.o
-.S.o:
-       $(AS_FOR_TARGET) $(ASFLAGS_FOR_TARGET) -I$(srcdir) $(srcdir)/$*.S -o $*.o
-
-
-Makefile: Makefile.in config.status
-       $(SHELL) ./config.status
-
-config.status: configure
-       $(SHELL) ./config.status --recheck
diff --git a/sim/testsuite/d10v-elf/configure b/sim/testsuite/d10v-elf/configure
deleted file mode 100755 (executable)
index 5686b7e..0000000
+++ /dev/null
@@ -1,2984 +0,0 @@
-#! /bin/sh
-# Guess values for system-dependent variables and create Makefiles.
-# Generated by GNU Autoconf 2.69.
-#
-#
-# Copyright (C) 1992-1996, 1998-2012 Free Software Foundation, Inc.
-#
-#
-# This configure script is free software; the Free Software Foundation
-# gives unlimited permission to copy, distribute and modify it.
-## -------------------- ##
-## M4sh Initialization. ##
-## -------------------- ##
-
-# Be more Bourne compatible
-DUALCASE=1; export DUALCASE # for MKS sh
-if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '${1+"$@"}'='"$@"'
-  setopt NO_GLOB_SUBST
-else
-  case `(set -o) 2>/dev/null` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-
-
-as_nl='
-'
-export as_nl
-# Printing a long string crashes Solaris 7 /usr/bin/printf.
-as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
-# Prefer a ksh shell builtin over an external printf program on Solaris,
-# but without wasting forks for bash or zsh.
-if test -z "$BASH_VERSION$ZSH_VERSION" \
-    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='print -r --'
-  as_echo_n='print -rn --'
-elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='printf %s\n'
-  as_echo_n='printf %s'
-else
-  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
-    as_echo_n='/usr/ucb/echo -n'
-  else
-    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
-    as_echo_n_body='eval
-      arg=$1;
-      case $arg in #(
-      *"$as_nl"*)
-       expr "X$arg" : "X\\(.*\\)$as_nl";
-       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
-      esac;
-      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
-    '
-    export as_echo_n_body
-    as_echo_n='sh -c $as_echo_n_body as_echo'
-  fi
-  export as_echo_body
-  as_echo='sh -c $as_echo_body as_echo'
-fi
-
-# The user is always right.
-if test "${PATH_SEPARATOR+set}" != set; then
-  PATH_SEPARATOR=:
-  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
-    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
-      PATH_SEPARATOR=';'
-  }
-fi
-
-
-# IFS
-# We need space, tab and new line, in precisely that order.  Quoting is
-# there to prevent editors from complaining about space-tab.
-# (If _AS_PATH_WALK were called with IFS unset, it would disable word
-# splitting by setting IFS to empty value.)
-IFS=" ""       $as_nl"
-
-# Find who we are.  Look in the path if we contain no directory separator.
-as_myself=
-case $0 in #((
-  *[\\/]* ) as_myself=$0 ;;
-  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
-  done
-IFS=$as_save_IFS
-
-     ;;
-esac
-# We did not find ourselves, most probably we were run as `sh COMMAND'
-# in which case we are not to be found in the path.
-if test "x$as_myself" = x; then
-  as_myself=$0
-fi
-if test ! -f "$as_myself"; then
-  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
-  exit 1
-fi
-
-# Unset variables that we do not need and which cause bugs (e.g. in
-# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
-# suppresses any "Segmentation fault" message there.  '((' could
-# trigger a bug in pdksh 5.2.14.
-for as_var in BASH_ENV ENV MAIL MAILPATH
-do eval test x\${$as_var+set} = xset \
-  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
-done
-PS1='$ '
-PS2='> '
-PS4='+ '
-
-# NLS nuisances.
-LC_ALL=C
-export LC_ALL
-LANGUAGE=C
-export LANGUAGE
-
-# CDPATH.
-(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
-
-# Use a proper internal environment variable to ensure we don't fall
-  # into an infinite loop, continuously re-executing ourselves.
-  if test x"${_as_can_reexec}" != xno && test "x$CONFIG_SHELL" != x; then
-    _as_can_reexec=no; export _as_can_reexec;
-    # We cannot yet assume a decent shell, so we have to provide a
-# neutralization value for shells without unset; and this also
-# works around shells that cannot unset nonexistent variables.
-# Preserve -v and -x to the replacement shell.
-BASH_ENV=/dev/null
-ENV=/dev/null
-(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
-case $- in # ((((
-  *v*x* | *x*v* ) as_opts=-vx ;;
-  *v* ) as_opts=-v ;;
-  *x* ) as_opts=-x ;;
-  * ) as_opts= ;;
-esac
-exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
-# Admittedly, this is quite paranoid, since all the known shells bail
-# out after a failed `exec'.
-$as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
-as_fn_exit 255
-  fi
-  # We don't want this to propagate to other subprocesses.
-          { _as_can_reexec=; unset _as_can_reexec;}
-if test "x$CONFIG_SHELL" = x; then
-  as_bourne_compatible="if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on \${1+\"\$@\"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '\${1+\"\$@\"}'='\"\$@\"'
-  setopt NO_GLOB_SUBST
-else
-  case \`(set -o) 2>/dev/null\` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-"
-  as_required="as_fn_return () { (exit \$1); }
-as_fn_success () { as_fn_return 0; }
-as_fn_failure () { as_fn_return 1; }
-as_fn_ret_success () { return 0; }
-as_fn_ret_failure () { return 1; }
-
-exitcode=0
-as_fn_success || { exitcode=1; echo as_fn_success failed.; }
-as_fn_failure && { exitcode=1; echo as_fn_failure succeeded.; }
-as_fn_ret_success || { exitcode=1; echo as_fn_ret_success failed.; }
-as_fn_ret_failure && { exitcode=1; echo as_fn_ret_failure succeeded.; }
-if ( set x; as_fn_ret_success y && test x = \"\$1\" ); then :
-
-else
-  exitcode=1; echo positional parameters were not saved.
-fi
-test x\$exitcode = x0 || exit 1
-test -x / || exit 1"
-  as_suggested="  as_lineno_1=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_1a=\$LINENO
-  as_lineno_2=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_2a=\$LINENO
-  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
-  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1"
-  if (eval "$as_required") 2>/dev/null; then :
-  as_have_required=yes
-else
-  as_have_required=no
-fi
-  if test x$as_have_required = xyes && (eval "$as_suggested") 2>/dev/null; then :
-
-else
-  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-as_found=false
-for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-  as_found=:
-  case $as_dir in #(
-        /*)
-          for as_base in sh bash ksh sh5; do
-            # Try only shells that exist, to save several forks.
-            as_shell=$as_dir/$as_base
-            if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
-                   { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$as_shell"; } 2>/dev/null; then :
-  CONFIG_SHELL=$as_shell as_have_required=yes
-                  if { $as_echo "$as_bourne_compatible""$as_suggested" | as_run=a "$as_shell"; } 2>/dev/null; then :
-  break 2
-fi
-fi
-          done;;
-       esac
-  as_found=false
-done
-$as_found || { if { test -f "$SHELL" || test -f "$SHELL.exe"; } &&
-             { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$SHELL"; } 2>/dev/null; then :
-  CONFIG_SHELL=$SHELL as_have_required=yes
-fi; }
-IFS=$as_save_IFS
-
-
-      if test "x$CONFIG_SHELL" != x; then :
-  export CONFIG_SHELL
-             # We cannot yet assume a decent shell, so we have to provide a
-# neutralization value for shells without unset; and this also
-# works around shells that cannot unset nonexistent variables.
-# Preserve -v and -x to the replacement shell.
-BASH_ENV=/dev/null
-ENV=/dev/null
-(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
-case $- in # ((((
-  *v*x* | *x*v* ) as_opts=-vx ;;
-  *v* ) as_opts=-v ;;
-  *x* ) as_opts=-x ;;
-  * ) as_opts= ;;
-esac
-exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
-# Admittedly, this is quite paranoid, since all the known shells bail
-# out after a failed `exec'.
-$as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
-exit 255
-fi
-
-    if test x$as_have_required = xno; then :
-  $as_echo "$0: This script requires a shell more modern than all"
-  $as_echo "$0: the shells that I found on your system."
-  if test x${ZSH_VERSION+set} = xset ; then
-    $as_echo "$0: In particular, zsh $ZSH_VERSION has bugs and should"
-    $as_echo "$0: be upgraded to zsh 4.3.4 or later."
-  else
-    $as_echo "$0: Please tell bug-autoconf@gnu.org about your system,
-$0: including any error possibly output before this
-$0: message. Then install a modern shell, or manually run
-$0: the script under such a shell if you do have one."
-  fi
-  exit 1
-fi
-fi
-fi
-SHELL=${CONFIG_SHELL-/bin/sh}
-export SHELL
-# Unset more variables known to interfere with behavior of common tools.
-CLICOLOR_FORCE= GREP_OPTIONS=
-unset CLICOLOR_FORCE GREP_OPTIONS
-
-## --------------------- ##
-## M4sh Shell Functions. ##
-## --------------------- ##
-# as_fn_unset VAR
-# ---------------
-# Portably unset VAR.
-as_fn_unset ()
-{
-  { eval $1=; unset $1;}
-}
-as_unset=as_fn_unset
-
-# as_fn_set_status STATUS
-# -----------------------
-# Set $? to STATUS, without forking.
-as_fn_set_status ()
-{
-  return $1
-} # as_fn_set_status
-
-# as_fn_exit STATUS
-# -----------------
-# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
-as_fn_exit ()
-{
-  set +e
-  as_fn_set_status $1
-  exit $1
-} # as_fn_exit
-
-# as_fn_mkdir_p
-# -------------
-# Create "$as_dir" as a directory, including parents if necessary.
-as_fn_mkdir_p ()
-{
-
-  case $as_dir in #(
-  -*) as_dir=./$as_dir;;
-  esac
-  test -d "$as_dir" || eval $as_mkdir_p || {
-    as_dirs=
-    while :; do
-      case $as_dir in #(
-      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
-      *) as_qdir=$as_dir;;
-      esac
-      as_dirs="'$as_qdir' $as_dirs"
-      as_dir=`$as_dirname -- "$as_dir" ||
-$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-        X"$as_dir" : 'X\(//\)[^/]' \| \
-        X"$as_dir" : 'X\(//\)$' \| \
-        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_dir" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)[^/].*/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-      test -d "$as_dir" && break
-    done
-    test -z "$as_dirs" || eval "mkdir $as_dirs"
-  } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
-
-
-} # as_fn_mkdir_p
-
-# as_fn_executable_p FILE
-# -----------------------
-# Test if FILE is an executable regular file.
-as_fn_executable_p ()
-{
-  test -f "$1" && test -x "$1"
-} # as_fn_executable_p
-# as_fn_append VAR VALUE
-# ----------------------
-# Append the text in VALUE to the end of the definition contained in VAR. Take
-# advantage of any shell optimizations that allow amortized linear growth over
-# repeated appends, instead of the typical quadratic growth present in naive
-# implementations.
-if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
-  eval 'as_fn_append ()
-  {
-    eval $1+=\$2
-  }'
-else
-  as_fn_append ()
-  {
-    eval $1=\$$1\$2
-  }
-fi # as_fn_append
-
-# as_fn_arith ARG...
-# ------------------
-# Perform arithmetic evaluation on the ARGs, and store the result in the
-# global $as_val. Take advantage of shells that can avoid forks. The arguments
-# must be portable across $(()) and expr.
-if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
-  eval 'as_fn_arith ()
-  {
-    as_val=$(( $* ))
-  }'
-else
-  as_fn_arith ()
-  {
-    as_val=`expr "$@" || test $? -eq 1`
-  }
-fi # as_fn_arith
-
-
-# as_fn_error STATUS ERROR [LINENO LOG_FD]
-# ----------------------------------------
-# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
-# script with STATUS, using 1 if that was 0.
-as_fn_error ()
-{
-  as_status=$1; test $as_status -eq 0 && as_status=1
-  if test "$4"; then
-    as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-    $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
-  fi
-  $as_echo "$as_me: error: $2" >&2
-  as_fn_exit $as_status
-} # as_fn_error
-
-if expr a : '\(a\)' >/dev/null 2>&1 &&
-   test "X`expr 00001 : '.*\(...\)'`" = X001; then
-  as_expr=expr
-else
-  as_expr=false
-fi
-
-if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
-  as_basename=basename
-else
-  as_basename=false
-fi
-
-if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
-  as_dirname=dirname
-else
-  as_dirname=false
-fi
-
-as_me=`$as_basename -- "$0" ||
-$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
-        X"$0" : 'X\(//\)$' \| \
-        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X/"$0" |
-    sed '/^.*\/\([^/][^/]*\)\/*$/{
-           s//\1/
-           q
-         }
-         /^X\/\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\/\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-
-# Avoid depending upon Character Ranges.
-as_cr_letters='abcdefghijklmnopqrstuvwxyz'
-as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
-as_cr_Letters=$as_cr_letters$as_cr_LETTERS
-as_cr_digits='0123456789'
-as_cr_alnum=$as_cr_Letters$as_cr_digits
-
-
-  as_lineno_1=$LINENO as_lineno_1a=$LINENO
-  as_lineno_2=$LINENO as_lineno_2a=$LINENO
-  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
-  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
-  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
-  sed -n '
-    p
-    /[$]LINENO/=
-  ' <$as_myself |
-    sed '
-      s/[$]LINENO.*/&-/
-      t lineno
-      b
-      :lineno
-      N
-      :loop
-      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
-      t loop
-      s/-\n.*//
-    ' >$as_me.lineno &&
-  chmod +x "$as_me.lineno" ||
-    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2; as_fn_exit 1; }
-
-  # If we had to re-execute with $CONFIG_SHELL, we're ensured to have
-  # already done that, so ensure we don't try to do so again and fall
-  # in an infinite loop.  This has already happened in practice.
-  _as_can_reexec=no; export _as_can_reexec
-  # Don't try to exec as it changes $[0], causing all sort of problems
-  # (the dirname of $[0] is not the place where we might find the
-  # original and so on.  Autoconf is especially sensitive to this).
-  . "./$as_me.lineno"
-  # Exit status is that of the last command.
-  exit
-}
-
-ECHO_C= ECHO_N= ECHO_T=
-case `echo -n x` in #(((((
--n*)
-  case `echo 'xy\c'` in
-  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
-  xy)  ECHO_C='\c';;
-  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
-       ECHO_T='        ';;
-  esac;;
-*)
-  ECHO_N='-n';;
-esac
-
-rm -f conf$$ conf$$.exe conf$$.file
-if test -d conf$$.dir; then
-  rm -f conf$$.dir/conf$$.file
-else
-  rm -f conf$$.dir
-  mkdir conf$$.dir 2>/dev/null
-fi
-if (echo >conf$$.file) 2>/dev/null; then
-  if ln -s conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s='ln -s'
-    # ... but there are two gotchas:
-    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
-    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
-    # In both cases, we have to default to `cp -pR'.
-    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
-      as_ln_s='cp -pR'
-  elif ln conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s=ln
-  else
-    as_ln_s='cp -pR'
-  fi
-else
-  as_ln_s='cp -pR'
-fi
-rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
-rmdir conf$$.dir 2>/dev/null
-
-if mkdir -p . 2>/dev/null; then
-  as_mkdir_p='mkdir -p "$as_dir"'
-else
-  test -d ./-p && rmdir ./-p
-  as_mkdir_p=false
-fi
-
-as_test_x='test -x'
-as_executable_p=as_fn_executable_p
-
-# Sed expression to map a string onto a valid CPP name.
-as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
-
-# Sed expression to map a string onto a valid variable name.
-as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
-
-
-test -n "$DJDIR" || exec 7<&0 </dev/null
-exec 6>&1
-
-# Name of the host.
-# hostname on some systems (SVR3.2, old GNU/Linux) returns a bogus exit status,
-# so uname gets run too.
-ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
-
-#
-# Initializations.
-#
-ac_default_prefix=/usr/local
-ac_clean_files=
-ac_config_libobj_dir=.
-LIBOBJS=
-cross_compiling=no
-subdirs=
-MFLAGS=
-MAKEFLAGS=
-
-# Identity of this package.
-PACKAGE_NAME=
-PACKAGE_TARNAME=
-PACKAGE_VERSION=
-PACKAGE_STRING=
-PACKAGE_BUGREPORT=
-PACKAGE_URL=
-
-ac_unique_file="Makefile.in"
-ac_subst_vars='LTLIBOBJS
-LIBOBJS
-target_os
-target_vendor
-target_cpu
-target
-host_os
-host_vendor
-host_cpu
-host
-build_os
-build_vendor
-build_cpu
-build
-CC
-target_alias
-host_alias
-build_alias
-LIBS
-ECHO_T
-ECHO_N
-ECHO_C
-DEFS
-mandir
-localedir
-libdir
-psdir
-pdfdir
-dvidir
-htmldir
-infodir
-docdir
-oldincludedir
-includedir
-localstatedir
-sharedstatedir
-sysconfdir
-datadir
-datarootdir
-libexecdir
-sbindir
-bindir
-program_transform_name
-prefix
-exec_prefix
-PACKAGE_URL
-PACKAGE_BUGREPORT
-PACKAGE_STRING
-PACKAGE_VERSION
-PACKAGE_TARNAME
-PACKAGE_NAME
-PATH_SEPARATOR
-SHELL'
-ac_subst_files=''
-ac_user_opts='
-enable_option_checking
-'
-      ac_precious_vars='build_alias
-host_alias
-target_alias'
-
-
-# Initialize some variables set by options.
-ac_init_help=
-ac_init_version=false
-ac_unrecognized_opts=
-ac_unrecognized_sep=
-# The variables have the same names as the options, with
-# dashes changed to underlines.
-cache_file=/dev/null
-exec_prefix=NONE
-no_create=
-no_recursion=
-prefix=NONE
-program_prefix=NONE
-program_suffix=NONE
-program_transform_name=s,x,x,
-silent=
-site=
-srcdir=
-verbose=
-x_includes=NONE
-x_libraries=NONE
-
-# Installation directory options.
-# These are left unexpanded so users can "make install exec_prefix=/foo"
-# and all the variables that are supposed to be based on exec_prefix
-# by default will actually change.
-# Use braces instead of parens because sh, perl, etc. also accept them.
-# (The list follows the same order as the GNU Coding Standards.)
-bindir='${exec_prefix}/bin'
-sbindir='${exec_prefix}/sbin'
-libexecdir='${exec_prefix}/libexec'
-datarootdir='${prefix}/share'
-datadir='${datarootdir}'
-sysconfdir='${prefix}/etc'
-sharedstatedir='${prefix}/com'
-localstatedir='${prefix}/var'
-includedir='${prefix}/include'
-oldincludedir='/usr/include'
-docdir='${datarootdir}/doc/${PACKAGE}'
-infodir='${datarootdir}/info'
-htmldir='${docdir}'
-dvidir='${docdir}'
-pdfdir='${docdir}'
-psdir='${docdir}'
-libdir='${exec_prefix}/lib'
-localedir='${datarootdir}/locale'
-mandir='${datarootdir}/man'
-
-ac_prev=
-ac_dashdash=
-for ac_option
-do
-  # If the previous option needs an argument, assign it.
-  if test -n "$ac_prev"; then
-    eval $ac_prev=\$ac_option
-    ac_prev=
-    continue
-  fi
-
-  case $ac_option in
-  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
-  *=)   ac_optarg= ;;
-  *)    ac_optarg=yes ;;
-  esac
-
-  # Accept the important Cygnus configure options, so we can diagnose typos.
-
-  case $ac_dashdash$ac_option in
-  --)
-    ac_dashdash=yes ;;
-
-  -bindir | --bindir | --bindi | --bind | --bin | --bi)
-    ac_prev=bindir ;;
-  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
-    bindir=$ac_optarg ;;
-
-  -build | --build | --buil | --bui | --bu)
-    ac_prev=build_alias ;;
-  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
-    build_alias=$ac_optarg ;;
-
-  -cache-file | --cache-file | --cache-fil | --cache-fi \
-  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
-    ac_prev=cache_file ;;
-  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
-  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
-    cache_file=$ac_optarg ;;
-
-  --config-cache | -C)
-    cache_file=config.cache ;;
-
-  -datadir | --datadir | --datadi | --datad)
-    ac_prev=datadir ;;
-  -datadir=* | --datadir=* | --datadi=* | --datad=*)
-    datadir=$ac_optarg ;;
-
-  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
-  | --dataroo | --dataro | --datar)
-    ac_prev=datarootdir ;;
-  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
-  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
-    datarootdir=$ac_optarg ;;
-
-  -disable-* | --disable-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error $? "invalid feature name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"enable_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
-        ac_unrecognized_sep=', ';;
-    esac
-    eval enable_$ac_useropt=no ;;
-
-  -docdir | --docdir | --docdi | --doc | --do)
-    ac_prev=docdir ;;
-  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
-    docdir=$ac_optarg ;;
-
-  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
-    ac_prev=dvidir ;;
-  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
-    dvidir=$ac_optarg ;;
-
-  -enable-* | --enable-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error $? "invalid feature name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"enable_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
-        ac_unrecognized_sep=', ';;
-    esac
-    eval enable_$ac_useropt=\$ac_optarg ;;
-
-  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
-  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
-  | --exec | --exe | --ex)
-    ac_prev=exec_prefix ;;
-  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
-  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
-  | --exec=* | --exe=* | --ex=*)
-    exec_prefix=$ac_optarg ;;
-
-  -gas | --gas | --ga | --g)
-    # Obsolete; use --with-gas.
-    with_gas=yes ;;
-
-  -help | --help | --hel | --he | -h)
-    ac_init_help=long ;;
-  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
-    ac_init_help=recursive ;;
-  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
-    ac_init_help=short ;;
-
-  -host | --host | --hos | --ho)
-    ac_prev=host_alias ;;
-  -host=* | --host=* | --hos=* | --ho=*)
-    host_alias=$ac_optarg ;;
-
-  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
-    ac_prev=htmldir ;;
-  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
-  | --ht=*)
-    htmldir=$ac_optarg ;;
-
-  -includedir | --includedir | --includedi | --included | --include \
-  | --includ | --inclu | --incl | --inc)
-    ac_prev=includedir ;;
-  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
-  | --includ=* | --inclu=* | --incl=* | --inc=*)
-    includedir=$ac_optarg ;;
-
-  -infodir | --infodir | --infodi | --infod | --info | --inf)
-    ac_prev=infodir ;;
-  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
-    infodir=$ac_optarg ;;
-
-  -libdir | --libdir | --libdi | --libd)
-    ac_prev=libdir ;;
-  -libdir=* | --libdir=* | --libdi=* | --libd=*)
-    libdir=$ac_optarg ;;
-
-  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
-  | --libexe | --libex | --libe)
-    ac_prev=libexecdir ;;
-  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
-  | --libexe=* | --libex=* | --libe=*)
-    libexecdir=$ac_optarg ;;
-
-  -localedir | --localedir | --localedi | --localed | --locale)
-    ac_prev=localedir ;;
-  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
-    localedir=$ac_optarg ;;
-
-  -localstatedir | --localstatedir | --localstatedi | --localstated \
-  | --localstate | --localstat | --localsta | --localst | --locals)
-    ac_prev=localstatedir ;;
-  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
-  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
-    localstatedir=$ac_optarg ;;
-
-  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
-    ac_prev=mandir ;;
-  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
-    mandir=$ac_optarg ;;
-
-  -nfp | --nfp | --nf)
-    # Obsolete; use --without-fp.
-    with_fp=no ;;
-
-  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
-  | --no-cr | --no-c | -n)
-    no_create=yes ;;
-
-  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
-  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
-    no_recursion=yes ;;
-
-  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
-  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
-  | --oldin | --oldi | --old | --ol | --o)
-    ac_prev=oldincludedir ;;
-  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
-  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
-  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
-    oldincludedir=$ac_optarg ;;
-
-  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
-    ac_prev=prefix ;;
-  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
-    prefix=$ac_optarg ;;
-
-  -program-prefix | --program-prefix | --program-prefi | --program-pref \
-  | --program-pre | --program-pr | --program-p)
-    ac_prev=program_prefix ;;
-  -program-prefix=* | --program-prefix=* | --program-prefi=* \
-  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
-    program_prefix=$ac_optarg ;;
-
-  -program-suffix | --program-suffix | --program-suffi | --program-suff \
-  | --program-suf | --program-su | --program-s)
-    ac_prev=program_suffix ;;
-  -program-suffix=* | --program-suffix=* | --program-suffi=* \
-  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
-    program_suffix=$ac_optarg ;;
-
-  -program-transform-name | --program-transform-name \
-  | --program-transform-nam | --program-transform-na \
-  | --program-transform-n | --program-transform- \
-  | --program-transform | --program-transfor \
-  | --program-transfo | --program-transf \
-  | --program-trans | --program-tran \
-  | --progr-tra | --program-tr | --program-t)
-    ac_prev=program_transform_name ;;
-  -program-transform-name=* | --program-transform-name=* \
-  | --program-transform-nam=* | --program-transform-na=* \
-  | --program-transform-n=* | --program-transform-=* \
-  | --program-transform=* | --program-transfor=* \
-  | --program-transfo=* | --program-transf=* \
-  | --program-trans=* | --program-tran=* \
-  | --progr-tra=* | --program-tr=* | --program-t=*)
-    program_transform_name=$ac_optarg ;;
-
-  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
-    ac_prev=pdfdir ;;
-  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
-    pdfdir=$ac_optarg ;;
-
-  -psdir | --psdir | --psdi | --psd | --ps)
-    ac_prev=psdir ;;
-  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
-    psdir=$ac_optarg ;;
-
-  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-  | -silent | --silent | --silen | --sile | --sil)
-    silent=yes ;;
-
-  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
-    ac_prev=sbindir ;;
-  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
-  | --sbi=* | --sb=*)
-    sbindir=$ac_optarg ;;
-
-  -sharedstatedir | --sharedstatedir | --sharedstatedi \
-  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
-  | --sharedst | --shareds | --shared | --share | --shar \
-  | --sha | --sh)
-    ac_prev=sharedstatedir ;;
-  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
-  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
-  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
-  | --sha=* | --sh=*)
-    sharedstatedir=$ac_optarg ;;
-
-  -site | --site | --sit)
-    ac_prev=site ;;
-  -site=* | --site=* | --sit=*)
-    site=$ac_optarg ;;
-
-  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
-    ac_prev=srcdir ;;
-  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
-    srcdir=$ac_optarg ;;
-
-  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
-  | --syscon | --sysco | --sysc | --sys | --sy)
-    ac_prev=sysconfdir ;;
-  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
-  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
-    sysconfdir=$ac_optarg ;;
-
-  -target | --target | --targe | --targ | --tar | --ta | --t)
-    ac_prev=target_alias ;;
-  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
-    target_alias=$ac_optarg ;;
-
-  -v | -verbose | --verbose | --verbos | --verbo | --verb)
-    verbose=yes ;;
-
-  -version | --version | --versio | --versi | --vers | -V)
-    ac_init_version=: ;;
-
-  -with-* | --with-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error $? "invalid package name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"with_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
-        ac_unrecognized_sep=', ';;
-    esac
-    eval with_$ac_useropt=\$ac_optarg ;;
-
-  -without-* | --without-*)
-    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
-    # Reject names that are not valid shell variable names.
-    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
-      as_fn_error $? "invalid package name: $ac_useropt"
-    ac_useropt_orig=$ac_useropt
-    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
-    case $ac_user_opts in
-      *"
-"with_$ac_useropt"
-"*) ;;
-      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
-        ac_unrecognized_sep=', ';;
-    esac
-    eval with_$ac_useropt=no ;;
-
-  --x)
-    # Obsolete; use --with-x.
-    with_x=yes ;;
-
-  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
-  | --x-incl | --x-inc | --x-in | --x-i)
-    ac_prev=x_includes ;;
-  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
-  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
-    x_includes=$ac_optarg ;;
-
-  -x-libraries | --x-libraries | --x-librarie | --x-librari \
-  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
-    ac_prev=x_libraries ;;
-  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
-  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
-    x_libraries=$ac_optarg ;;
-
-  -*) as_fn_error $? "unrecognized option: \`$ac_option'
-Try \`$0 --help' for more information"
-    ;;
-
-  *=*)
-    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
-    # Reject names that are not valid shell variable names.
-    case $ac_envvar in #(
-      '' | [0-9]* | *[!_$as_cr_alnum]* )
-      as_fn_error $? "invalid variable name: \`$ac_envvar'" ;;
-    esac
-    eval $ac_envvar=\$ac_optarg
-    export $ac_envvar ;;
-
-  *)
-    # FIXME: should be removed in autoconf 3.0.
-    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
-    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
-      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
-    : "${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}"
-    ;;
-
-  esac
-done
-
-if test -n "$ac_prev"; then
-  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
-  as_fn_error $? "missing argument to $ac_option"
-fi
-
-if test -n "$ac_unrecognized_opts"; then
-  case $enable_option_checking in
-    no) ;;
-    fatal) as_fn_error $? "unrecognized options: $ac_unrecognized_opts" ;;
-    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
-  esac
-fi
-
-# Check all directory arguments for consistency.
-for ac_var in  exec_prefix prefix bindir sbindir libexecdir datarootdir \
-               datadir sysconfdir sharedstatedir localstatedir includedir \
-               oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
-               libdir localedir mandir
-do
-  eval ac_val=\$$ac_var
-  # Remove trailing slashes.
-  case $ac_val in
-    */ )
-      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
-      eval $ac_var=\$ac_val;;
-  esac
-  # Be sure to have absolute directory names.
-  case $ac_val in
-    [\\/$]* | ?:[\\/]* )  continue;;
-    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
-  esac
-  as_fn_error $? "expected an absolute directory name for --$ac_var: $ac_val"
-done
-
-# There might be people who depend on the old broken behavior: `$host'
-# used to hold the argument of --host etc.
-# FIXME: To remove some day.
-build=$build_alias
-host=$host_alias
-target=$target_alias
-
-# FIXME: To remove some day.
-if test "x$host_alias" != x; then
-  if test "x$build_alias" = x; then
-    cross_compiling=maybe
-  elif test "x$build_alias" != "x$host_alias"; then
-    cross_compiling=yes
-  fi
-fi
-
-ac_tool_prefix=
-test -n "$host_alias" && ac_tool_prefix=$host_alias-
-
-test "$silent" = yes && exec 6>/dev/null
-
-
-ac_pwd=`pwd` && test -n "$ac_pwd" &&
-ac_ls_di=`ls -di .` &&
-ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
-  as_fn_error $? "working directory cannot be determined"
-test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
-  as_fn_error $? "pwd does not report name of working directory"
-
-
-# Find the source files, if location was not specified.
-if test -z "$srcdir"; then
-  ac_srcdir_defaulted=yes
-  # Try the directory containing this script, then the parent directory.
-  ac_confdir=`$as_dirname -- "$as_myself" ||
-$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-        X"$as_myself" : 'X\(//\)[^/]' \| \
-        X"$as_myself" : 'X\(//\)$' \| \
-        X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_myself" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)[^/].*/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-  srcdir=$ac_confdir
-  if test ! -r "$srcdir/$ac_unique_file"; then
-    srcdir=..
-  fi
-else
-  ac_srcdir_defaulted=no
-fi
-if test ! -r "$srcdir/$ac_unique_file"; then
-  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
-  as_fn_error $? "cannot find sources ($ac_unique_file) in $srcdir"
-fi
-ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
-ac_abs_confdir=`(
-       cd "$srcdir" && test -r "./$ac_unique_file" || as_fn_error $? "$ac_msg"
-       pwd)`
-# When building in place, set srcdir=.
-if test "$ac_abs_confdir" = "$ac_pwd"; then
-  srcdir=.
-fi
-# Remove unnecessary trailing slashes from srcdir.
-# Double slashes in file names in object file debugging info
-# mess up M-x gdb in Emacs.
-case $srcdir in
-*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
-esac
-for ac_var in $ac_precious_vars; do
-  eval ac_env_${ac_var}_set=\${${ac_var}+set}
-  eval ac_env_${ac_var}_value=\$${ac_var}
-  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
-  eval ac_cv_env_${ac_var}_value=\$${ac_var}
-done
-
-#
-# Report the --help message.
-#
-if test "$ac_init_help" = "long"; then
-  # Omit some internal or obsolete options to make the list less imposing.
-  # This message is too long to be a string in the A/UX 3.1 sh.
-  cat <<_ACEOF
-\`configure' configures this package to adapt to many kinds of systems.
-
-Usage: $0 [OPTION]... [VAR=VALUE]...
-
-To assign environment variables (e.g., CC, CFLAGS...), specify them as
-VAR=VALUE.  See below for descriptions of some of the useful variables.
-
-Defaults for the options are specified in brackets.
-
-Configuration:
-  -h, --help              display this help and exit
-      --help=short        display options specific to this package
-      --help=recursive    display the short help of all the included packages
-  -V, --version           display version information and exit
-  -q, --quiet, --silent   do not print \`checking ...' messages
-      --cache-file=FILE   cache test results in FILE [disabled]
-  -C, --config-cache      alias for \`--cache-file=config.cache'
-  -n, --no-create         do not create output files
-      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
-
-Installation directories:
-  --prefix=PREFIX         install architecture-independent files in PREFIX
-                          [$ac_default_prefix]
-  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
-                          [PREFIX]
-
-By default, \`make install' will install all the files in
-\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
-an installation prefix other than \`$ac_default_prefix' using \`--prefix',
-for instance \`--prefix=\$HOME'.
-
-For better control, use the options below.
-
-Fine tuning of the installation directories:
-  --bindir=DIR            user executables [EPREFIX/bin]
-  --sbindir=DIR           system admin executables [EPREFIX/sbin]
-  --libexecdir=DIR        program executables [EPREFIX/libexec]
-  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
-  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
-  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
-  --libdir=DIR            object code libraries [EPREFIX/lib]
-  --includedir=DIR        C header files [PREFIX/include]
-  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
-  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
-  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
-  --infodir=DIR           info documentation [DATAROOTDIR/info]
-  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
-  --mandir=DIR            man documentation [DATAROOTDIR/man]
-  --docdir=DIR            documentation root [DATAROOTDIR/doc/PACKAGE]
-  --htmldir=DIR           html documentation [DOCDIR]
-  --dvidir=DIR            dvi documentation [DOCDIR]
-  --pdfdir=DIR            pdf documentation [DOCDIR]
-  --psdir=DIR             ps documentation [DOCDIR]
-_ACEOF
-
-  cat <<\_ACEOF
-
-System types:
-  --build=BUILD     configure for building on BUILD [guessed]
-  --host=HOST       cross-compile to build programs to run on HOST [BUILD]
-  --target=TARGET   configure for building compilers for TARGET [HOST]
-_ACEOF
-fi
-
-if test -n "$ac_init_help"; then
-
-  cat <<\_ACEOF
-
-Report bugs to the package provider.
-_ACEOF
-ac_status=$?
-fi
-
-if test "$ac_init_help" = "recursive"; then
-  # If there are subdirs, report their specific --help.
-  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
-    test -d "$ac_dir" ||
-      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
-      continue
-    ac_builddir=.
-
-case "$ac_dir" in
-.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
-*)
-  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
-  # A ".." for each directory in $ac_dir_suffix.
-  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
-  case $ac_top_builddir_sub in
-  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
-  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
-  esac ;;
-esac
-ac_abs_top_builddir=$ac_pwd
-ac_abs_builddir=$ac_pwd$ac_dir_suffix
-# for backward compatibility:
-ac_top_builddir=$ac_top_build_prefix
-
-case $srcdir in
-  .)  # We are building in place.
-    ac_srcdir=.
-    ac_top_srcdir=$ac_top_builddir_sub
-    ac_abs_top_srcdir=$ac_pwd ;;
-  [\\/]* | ?:[\\/]* )  # Absolute name.
-    ac_srcdir=$srcdir$ac_dir_suffix;
-    ac_top_srcdir=$srcdir
-    ac_abs_top_srcdir=$srcdir ;;
-  *) # Relative name.
-    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
-    ac_top_srcdir=$ac_top_build_prefix$srcdir
-    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
-esac
-ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
-
-    cd "$ac_dir" || { ac_status=$?; continue; }
-    # Check for guested configure.
-    if test -f "$ac_srcdir/configure.gnu"; then
-      echo &&
-      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
-    elif test -f "$ac_srcdir/configure"; then
-      echo &&
-      $SHELL "$ac_srcdir/configure" --help=recursive
-    else
-      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
-    fi || ac_status=$?
-    cd "$ac_pwd" || { ac_status=$?; break; }
-  done
-fi
-
-test -n "$ac_init_help" && exit $ac_status
-if $ac_init_version; then
-  cat <<\_ACEOF
-configure
-generated by GNU Autoconf 2.69
-
-Copyright (C) 2012 Free Software Foundation, Inc.
-This configure script is free software; the Free Software Foundation
-gives unlimited permission to copy, distribute and modify it.
-_ACEOF
-  exit
-fi
-
-## ------------------------ ##
-## Autoconf initialization. ##
-## ------------------------ ##
-cat >config.log <<_ACEOF
-This file contains any messages produced by compilers while
-running configure, to aid debugging if configure makes a mistake.
-
-It was created by $as_me, which was
-generated by GNU Autoconf 2.69.  Invocation command line was
-
-  $ $0 $@
-
-_ACEOF
-exec 5>>config.log
-{
-cat <<_ASUNAME
-## --------- ##
-## Platform. ##
-## --------- ##
-
-hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
-uname -m = `(uname -m) 2>/dev/null || echo unknown`
-uname -r = `(uname -r) 2>/dev/null || echo unknown`
-uname -s = `(uname -s) 2>/dev/null || echo unknown`
-uname -v = `(uname -v) 2>/dev/null || echo unknown`
-
-/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
-/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
-
-/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
-/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
-/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
-/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
-/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
-/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
-/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
-
-_ASUNAME
-
-as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    $as_echo "PATH: $as_dir"
-  done
-IFS=$as_save_IFS
-
-} >&5
-
-cat >&5 <<_ACEOF
-
-
-## ----------- ##
-## Core tests. ##
-## ----------- ##
-
-_ACEOF
-
-
-# Keep a trace of the command line.
-# Strip out --no-create and --no-recursion so they do not pile up.
-# Strip out --silent because we don't want to record it for future runs.
-# Also quote any args containing shell meta-characters.
-# Make two passes to allow for proper duplicate-argument suppression.
-ac_configure_args=
-ac_configure_args0=
-ac_configure_args1=
-ac_must_keep_next=false
-for ac_pass in 1 2
-do
-  for ac_arg
-  do
-    case $ac_arg in
-    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
-    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-    | -silent | --silent | --silen | --sile | --sil)
-      continue ;;
-    *\'*)
-      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
-    esac
-    case $ac_pass in
-    1) as_fn_append ac_configure_args0 " '$ac_arg'" ;;
-    2)
-      as_fn_append ac_configure_args1 " '$ac_arg'"
-      if test $ac_must_keep_next = true; then
-       ac_must_keep_next=false # Got value, back to normal.
-      else
-       case $ac_arg in
-         *=* | --config-cache | -C | -disable-* | --disable-* \
-         | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
-         | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
-         | -with-* | --with-* | -without-* | --without-* | --x)
-           case "$ac_configure_args0 " in
-             "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
-           esac
-           ;;
-         -* ) ac_must_keep_next=true ;;
-       esac
-      fi
-      as_fn_append ac_configure_args " '$ac_arg'"
-      ;;
-    esac
-  done
-done
-{ ac_configure_args0=; unset ac_configure_args0;}
-{ ac_configure_args1=; unset ac_configure_args1;}
-
-# When interrupted or exit'd, cleanup temporary files, and complete
-# config.log.  We remove comments because anyway the quotes in there
-# would cause problems or look ugly.
-# WARNING: Use '\'' to represent an apostrophe within the trap.
-# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
-trap 'exit_status=$?
-  # Save into config.log some information that might help in debugging.
-  {
-    echo
-
-    $as_echo "## ---------------- ##
-## Cache variables. ##
-## ---------------- ##"
-    echo
-    # The following way of writing the cache mishandles newlines in values,
-(
-  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
-    eval ac_val=\$$ac_var
-    case $ac_val in #(
-    *${as_nl}*)
-      case $ac_var in #(
-      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
-$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
-      esac
-      case $ac_var in #(
-      _ | IFS | as_nl) ;; #(
-      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
-      *) { eval $ac_var=; unset $ac_var;} ;;
-      esac ;;
-    esac
-  done
-  (set) 2>&1 |
-    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
-    *${as_nl}ac_space=\ *)
-      sed -n \
-       "s/'\''/'\''\\\\'\'''\''/g;
-         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
-      ;; #(
-    *)
-      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
-      ;;
-    esac |
-    sort
-)
-    echo
-
-    $as_echo "## ----------------- ##
-## Output variables. ##
-## ----------------- ##"
-    echo
-    for ac_var in $ac_subst_vars
-    do
-      eval ac_val=\$$ac_var
-      case $ac_val in
-      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
-      esac
-      $as_echo "$ac_var='\''$ac_val'\''"
-    done | sort
-    echo
-
-    if test -n "$ac_subst_files"; then
-      $as_echo "## ------------------- ##
-## File substitutions. ##
-## ------------------- ##"
-      echo
-      for ac_var in $ac_subst_files
-      do
-       eval ac_val=\$$ac_var
-       case $ac_val in
-       *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
-       esac
-       $as_echo "$ac_var='\''$ac_val'\''"
-      done | sort
-      echo
-    fi
-
-    if test -s confdefs.h; then
-      $as_echo "## ----------- ##
-## confdefs.h. ##
-## ----------- ##"
-      echo
-      cat confdefs.h
-      echo
-    fi
-    test "$ac_signal" != 0 &&
-      $as_echo "$as_me: caught signal $ac_signal"
-    $as_echo "$as_me: exit $exit_status"
-  } >&5
-  rm -f core *.core core.conftest.* &&
-    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
-    exit $exit_status
-' 0
-for ac_signal in 1 2 13 15; do
-  trap 'ac_signal='$ac_signal'; as_fn_exit 1' $ac_signal
-done
-ac_signal=0
-
-# confdefs.h avoids OS command line length limits that DEFS can exceed.
-rm -f -r conftest* confdefs.h
-
-$as_echo "/* confdefs.h */" > confdefs.h
-
-# Predefined preprocessor variables.
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_NAME "$PACKAGE_NAME"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_VERSION "$PACKAGE_VERSION"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_STRING "$PACKAGE_STRING"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
-_ACEOF
-
-cat >>confdefs.h <<_ACEOF
-#define PACKAGE_URL "$PACKAGE_URL"
-_ACEOF
-
-
-# Let the site file select an alternate cache file if it wants to.
-# Prefer an explicitly selected file to automatically selected ones.
-ac_site_file1=NONE
-ac_site_file2=NONE
-if test -n "$CONFIG_SITE"; then
-  # We do not want a PATH search for config.site.
-  case $CONFIG_SITE in #((
-    -*)  ac_site_file1=./$CONFIG_SITE;;
-    */*) ac_site_file1=$CONFIG_SITE;;
-    *)   ac_site_file1=./$CONFIG_SITE;;
-  esac
-elif test "x$prefix" != xNONE; then
-  ac_site_file1=$prefix/share/config.site
-  ac_site_file2=$prefix/etc/config.site
-else
-  ac_site_file1=$ac_default_prefix/share/config.site
-  ac_site_file2=$ac_default_prefix/etc/config.site
-fi
-for ac_site_file in "$ac_site_file1" "$ac_site_file2"
-do
-  test "x$ac_site_file" = xNONE && continue
-  if test /dev/null != "$ac_site_file" && test -r "$ac_site_file"; then
-    { $as_echo "$as_me:${as_lineno-$LINENO}: loading site script $ac_site_file" >&5
-$as_echo "$as_me: loading site script $ac_site_file" >&6;}
-    sed 's/^/| /' "$ac_site_file" >&5
-    . "$ac_site_file" \
-      || { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-as_fn_error $? "failed to load site script $ac_site_file
-See \`config.log' for more details" "$LINENO" 5; }
-  fi
-done
-
-if test -r "$cache_file"; then
-  # Some versions of bash will fail to source /dev/null (special files
-  # actually), so we avoid doing that.  DJGPP emulates it as a regular file.
-  if test /dev/null != "$cache_file" && test -f "$cache_file"; then
-    { $as_echo "$as_me:${as_lineno-$LINENO}: loading cache $cache_file" >&5
-$as_echo "$as_me: loading cache $cache_file" >&6;}
-    case $cache_file in
-      [\\/]* | ?:[\\/]* ) . "$cache_file";;
-      *)                      . "./$cache_file";;
-    esac
-  fi
-else
-  { $as_echo "$as_me:${as_lineno-$LINENO}: creating cache $cache_file" >&5
-$as_echo "$as_me: creating cache $cache_file" >&6;}
-  >$cache_file
-fi
-
-# Check that the precious variables saved in the cache have kept the same
-# value.
-ac_cache_corrupted=false
-for ac_var in $ac_precious_vars; do
-  eval ac_old_set=\$ac_cv_env_${ac_var}_set
-  eval ac_new_set=\$ac_env_${ac_var}_set
-  eval ac_old_val=\$ac_cv_env_${ac_var}_value
-  eval ac_new_val=\$ac_env_${ac_var}_value
-  case $ac_old_set,$ac_new_set in
-    set,)
-      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
-$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
-      ac_cache_corrupted=: ;;
-    ,set)
-      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was not set in the previous run" >&5
-$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
-      ac_cache_corrupted=: ;;
-    ,);;
-    *)
-      if test "x$ac_old_val" != "x$ac_new_val"; then
-       # differences in whitespace do not lead to failure.
-       ac_old_val_w=`echo x $ac_old_val`
-       ac_new_val_w=`echo x $ac_new_val`
-       if test "$ac_old_val_w" != "$ac_new_val_w"; then
-         { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' has changed since the previous run:" >&5
-$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
-         ac_cache_corrupted=:
-       else
-         { $as_echo "$as_me:${as_lineno-$LINENO}: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
-$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
-         eval $ac_var=\$ac_old_val
-       fi
-       { $as_echo "$as_me:${as_lineno-$LINENO}:   former value:  \`$ac_old_val'" >&5
-$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
-       { $as_echo "$as_me:${as_lineno-$LINENO}:   current value: \`$ac_new_val'" >&5
-$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
-      fi;;
-  esac
-  # Pass precious variables to config.status.
-  if test "$ac_new_set" = set; then
-    case $ac_new_val in
-    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
-    *) ac_arg=$ac_var=$ac_new_val ;;
-    esac
-    case " $ac_configure_args " in
-      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
-      *) as_fn_append ac_configure_args " '$ac_arg'" ;;
-    esac
-  fi
-done
-if $ac_cache_corrupted; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
-$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
-  { $as_echo "$as_me:${as_lineno-$LINENO}: error: changes in the environment can compromise the build" >&5
-$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
-  as_fn_error $? "run \`make distclean' and/or \`rm $cache_file' and start over" "$LINENO" 5
-fi
-## -------------------- ##
-## Main body of script. ##
-## -------------------- ##
-
-ac_ext=c
-ac_cpp='$CPP $CPPFLAGS'
-ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
-ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
-ac_compiler_gnu=$ac_cv_c_compiler_gnu
-
-
-
-CC=${CC-cc}
-
-ac_aux_dir=
-for ac_dir in ../../.. "$srcdir"/../../..; do
-  if test -f "$ac_dir/install-sh"; then
-    ac_aux_dir=$ac_dir
-    ac_install_sh="$ac_aux_dir/install-sh -c"
-    break
-  elif test -f "$ac_dir/install.sh"; then
-    ac_aux_dir=$ac_dir
-    ac_install_sh="$ac_aux_dir/install.sh -c"
-    break
-  elif test -f "$ac_dir/shtool"; then
-    ac_aux_dir=$ac_dir
-    ac_install_sh="$ac_aux_dir/shtool install -c"
-    break
-  fi
-done
-if test -z "$ac_aux_dir"; then
-  as_fn_error $? "cannot find install-sh, install.sh, or shtool in ../../.. \"$srcdir\"/../../.." "$LINENO" 5
-fi
-
-# These three variables are undocumented and unsupported,
-# and are intended to be withdrawn in a future Autoconf release.
-# They can cause serious problems if a builder's source tree is in a directory
-# whose full name contains unusual characters.
-ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
-ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
-ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
-
-
-# Make sure we can run config.sub.
-$SHELL "$ac_aux_dir/config.sub" sun4 >/dev/null 2>&1 ||
-  as_fn_error $? "cannot run $SHELL $ac_aux_dir/config.sub" "$LINENO" 5
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking build system type" >&5
-$as_echo_n "checking build system type... " >&6; }
-if ${ac_cv_build+:} false; then :
-  $as_echo_n "(cached) " >&6
-else
-  ac_build_alias=$build_alias
-test "x$ac_build_alias" = x &&
-  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
-test "x$ac_build_alias" = x &&
-  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
-ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
-  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_build" >&5
-$as_echo "$ac_cv_build" >&6; }
-case $ac_cv_build in
-*-*-*) ;;
-*) as_fn_error $? "invalid value of canonical build" "$LINENO" 5;;
-esac
-build=$ac_cv_build
-ac_save_IFS=$IFS; IFS='-'
-set x $ac_cv_build
-shift
-build_cpu=$1
-build_vendor=$2
-shift; shift
-# Remember, the first character of IFS is used to create $*,
-# except with old shells:
-build_os=$*
-IFS=$ac_save_IFS
-case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking host system type" >&5
-$as_echo_n "checking host system type... " >&6; }
-if ${ac_cv_host+:} false; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test "x$host_alias" = x; then
-  ac_cv_host=$ac_cv_build
-else
-  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
-fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_host" >&5
-$as_echo "$ac_cv_host" >&6; }
-case $ac_cv_host in
-*-*-*) ;;
-*) as_fn_error $? "invalid value of canonical host" "$LINENO" 5;;
-esac
-host=$ac_cv_host
-ac_save_IFS=$IFS; IFS='-'
-set x $ac_cv_host
-shift
-host_cpu=$1
-host_vendor=$2
-shift; shift
-# Remember, the first character of IFS is used to create $*,
-# except with old shells:
-host_os=$*
-IFS=$ac_save_IFS
-case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
-
-
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking target system type" >&5
-$as_echo_n "checking target system type... " >&6; }
-if ${ac_cv_target+:} false; then :
-  $as_echo_n "(cached) " >&6
-else
-  if test "x$target_alias" = x; then
-  ac_cv_target=$ac_cv_host
-else
-  ac_cv_target=`$SHELL "$ac_aux_dir/config.sub" $target_alias` ||
-    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $target_alias failed" "$LINENO" 5
-fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_target" >&5
-$as_echo "$ac_cv_target" >&6; }
-case $ac_cv_target in
-*-*-*) ;;
-*) as_fn_error $? "invalid value of canonical target" "$LINENO" 5;;
-esac
-target=$ac_cv_target
-ac_save_IFS=$IFS; IFS='-'
-set x $ac_cv_target
-shift
-target_cpu=$1
-target_vendor=$2
-shift; shift
-# Remember, the first character of IFS is used to create $*,
-# except with old shells:
-target_os=$*
-IFS=$ac_save_IFS
-case $target_os in *\ *) target_os=`echo "$target_os" | sed 's/ /-/g'`;; esac
-
-
-# The aliases save the names the user supplied, while $host etc.
-# will get canonicalized.
-test -n "$target_alias" &&
-  test "$program_prefix$program_suffix$program_transform_name" = \
-    NONENONEs,x,x, &&
-  program_prefix=${target_alias}-
-
-
-
-
-
-ac_config_files="$ac_config_files Makefile"
-
-cat >confcache <<\_ACEOF
-# This file is a shell script that caches the results of configure
-# tests run on this system so they can be shared between configure
-# scripts and configure runs, see configure's option --config-cache.
-# It is not useful on other systems.  If it contains results you don't
-# want to keep, you may remove or edit it.
-#
-# config.status only pays attention to the cache file if you give it
-# the --recheck option to rerun configure.
-#
-# `ac_cv_env_foo' variables (set or unset) will be overridden when
-# loading this file, other *unset* `ac_cv_foo' will be assigned the
-# following values.
-
-_ACEOF
-
-# The following way of writing the cache mishandles newlines in values,
-# but we know of no workaround that is simple, portable, and efficient.
-# So, we kill variables containing newlines.
-# Ultrix sh set writes to stderr and can't be redirected directly,
-# and sets the high bit in the cache file unless we assign to the vars.
-(
-  for ac_var in `(set) 2>&1 | sed -n 's/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'`; do
-    eval ac_val=\$$ac_var
-    case $ac_val in #(
-    *${as_nl}*)
-      case $ac_var in #(
-      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
-$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
-      esac
-      case $ac_var in #(
-      _ | IFS | as_nl) ;; #(
-      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
-      *) { eval $ac_var=; unset $ac_var;} ;;
-      esac ;;
-    esac
-  done
-
-  (set) 2>&1 |
-    case $as_nl`(ac_space=' '; set) 2>&1` in #(
-    *${as_nl}ac_space=\ *)
-      # `set' does not quote correctly, so add quotes: double-quote
-      # substitution turns \\\\ into \\, and sed turns \\ into \.
-      sed -n \
-       "s/'/'\\\\''/g;
-         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
-      ;; #(
-    *)
-      # `set' quotes correctly as required by POSIX, so do not add quotes.
-      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
-      ;;
-    esac |
-    sort
-) |
-  sed '
-     /^ac_cv_env_/b end
-     t clear
-     :clear
-     s/^\([^=]*\)=\(.*[{}].*\)$/test "${\1+set}" = set || &/
-     t end
-     s/^\([^=]*\)=\(.*\)$/\1=${\1=\2}/
-     :end' >>confcache
-if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
-  if test -w "$cache_file"; then
-    if test "x$cache_file" != "x/dev/null"; then
-      { $as_echo "$as_me:${as_lineno-$LINENO}: updating cache $cache_file" >&5
-$as_echo "$as_me: updating cache $cache_file" >&6;}
-      if test ! -f "$cache_file" || test -h "$cache_file"; then
-       cat confcache >"$cache_file"
-      else
-        case $cache_file in #(
-        */* | ?:*)
-         mv -f confcache "$cache_file"$$ &&
-         mv -f "$cache_file"$$ "$cache_file" ;; #(
-        *)
-         mv -f confcache "$cache_file" ;;
-       esac
-      fi
-    fi
-  else
-    { $as_echo "$as_me:${as_lineno-$LINENO}: not updating unwritable cache $cache_file" >&5
-$as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
-  fi
-fi
-rm -f confcache
-
-test "x$prefix" = xNONE && prefix=$ac_default_prefix
-# Let make expand exec_prefix.
-test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
-
-# Transform confdefs.h into DEFS.
-# Protect against shell expansion while executing Makefile rules.
-# Protect against Makefile macro expansion.
-#
-# If the first sed substitution is executed (which looks for macros that
-# take arguments), then branch to the quote section.  Otherwise,
-# look for a macro that doesn't take arguments.
-ac_script='
-:mline
-/\\$/{
- N
- s,\\\n,,
- b mline
-}
-t clear
-:clear
-s/^[    ]*#[    ]*define[       ][      ]*\([^  (][^    (]*([^)]*)\)[   ]*\(.*\)/-D\1=\2/g
-t quote
-s/^[    ]*#[    ]*define[       ][      ]*\([^  ][^     ]*\)[   ]*\(.*\)/-D\1=\2/g
-t quote
-b any
-:quote
-s/[     `~#$^&*(){}\\|;'\''"<>?]/\\&/g
-s/\[/\\&/g
-s/\]/\\&/g
-s/\$/$$/g
-H
-:any
-${
-       g
-       s/^\n//
-       s/\n/ /g
-       p
-}
-'
-DEFS=`sed -n "$ac_script" confdefs.h`
-
-
-ac_libobjs=
-ac_ltlibobjs=
-U=
-for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
-  # 1. Remove the extension, and $U if already installed.
-  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
-  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
-  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
-  #    will be set to the directory where LIBOBJS objects are built.
-  as_fn_append ac_libobjs " \${LIBOBJDIR}$ac_i\$U.$ac_objext"
-  as_fn_append ac_ltlibobjs " \${LIBOBJDIR}$ac_i"'$U.lo'
-done
-LIBOBJS=$ac_libobjs
-
-LTLIBOBJS=$ac_ltlibobjs
-
-
-
-: "${CONFIG_STATUS=./config.status}"
-ac_write_fail=0
-ac_clean_files_save=$ac_clean_files
-ac_clean_files="$ac_clean_files $CONFIG_STATUS"
-{ $as_echo "$as_me:${as_lineno-$LINENO}: creating $CONFIG_STATUS" >&5
-$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
-as_write_fail=0
-cat >$CONFIG_STATUS <<_ASEOF || as_write_fail=1
-#! $SHELL
-# Generated by $as_me.
-# Run this file to recreate the current configuration.
-# Compiler output produced by configure, useful for debugging
-# configure, is in config.log if it exists.
-
-debug=false
-ac_cs_recheck=false
-ac_cs_silent=false
-
-SHELL=\${CONFIG_SHELL-$SHELL}
-export SHELL
-_ASEOF
-cat >>$CONFIG_STATUS <<\_ASEOF || as_write_fail=1
-## -------------------- ##
-## M4sh Initialization. ##
-## -------------------- ##
-
-# Be more Bourne compatible
-DUALCASE=1; export DUALCASE # for MKS sh
-if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
-  emulate sh
-  NULLCMD=:
-  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
-  # is contrary to our usage.  Disable this feature.
-  alias -g '${1+"$@"}'='"$@"'
-  setopt NO_GLOB_SUBST
-else
-  case `(set -o) 2>/dev/null` in #(
-  *posix*) :
-    set -o posix ;; #(
-  *) :
-     ;;
-esac
-fi
-
-
-as_nl='
-'
-export as_nl
-# Printing a long string crashes Solaris 7 /usr/bin/printf.
-as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
-as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
-# Prefer a ksh shell builtin over an external printf program on Solaris,
-# but without wasting forks for bash or zsh.
-if test -z "$BASH_VERSION$ZSH_VERSION" \
-    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='print -r --'
-  as_echo_n='print -rn --'
-elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
-  as_echo='printf %s\n'
-  as_echo_n='printf %s'
-else
-  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
-    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
-    as_echo_n='/usr/ucb/echo -n'
-  else
-    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
-    as_echo_n_body='eval
-      arg=$1;
-      case $arg in #(
-      *"$as_nl"*)
-       expr "X$arg" : "X\\(.*\\)$as_nl";
-       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
-      esac;
-      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
-    '
-    export as_echo_n_body
-    as_echo_n='sh -c $as_echo_n_body as_echo'
-  fi
-  export as_echo_body
-  as_echo='sh -c $as_echo_body as_echo'
-fi
-
-# The user is always right.
-if test "${PATH_SEPARATOR+set}" != set; then
-  PATH_SEPARATOR=:
-  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
-    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
-      PATH_SEPARATOR=';'
-  }
-fi
-
-
-# IFS
-# We need space, tab and new line, in precisely that order.  Quoting is
-# there to prevent editors from complaining about space-tab.
-# (If _AS_PATH_WALK were called with IFS unset, it would disable word
-# splitting by setting IFS to empty value.)
-IFS=" ""       $as_nl"
-
-# Find who we are.  Look in the path if we contain no directory separator.
-as_myself=
-case $0 in #((
-  *[\\/]* ) as_myself=$0 ;;
-  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
-  IFS=$as_save_IFS
-  test -z "$as_dir" && as_dir=.
-    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
-  done
-IFS=$as_save_IFS
-
-     ;;
-esac
-# We did not find ourselves, most probably we were run as `sh COMMAND'
-# in which case we are not to be found in the path.
-if test "x$as_myself" = x; then
-  as_myself=$0
-fi
-if test ! -f "$as_myself"; then
-  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
-  exit 1
-fi
-
-# Unset variables that we do not need and which cause bugs (e.g. in
-# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
-# suppresses any "Segmentation fault" message there.  '((' could
-# trigger a bug in pdksh 5.2.14.
-for as_var in BASH_ENV ENV MAIL MAILPATH
-do eval test x\${$as_var+set} = xset \
-  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
-done
-PS1='$ '
-PS2='> '
-PS4='+ '
-
-# NLS nuisances.
-LC_ALL=C
-export LC_ALL
-LANGUAGE=C
-export LANGUAGE
-
-# CDPATH.
-(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
-
-
-# as_fn_error STATUS ERROR [LINENO LOG_FD]
-# ----------------------------------------
-# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
-# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
-# script with STATUS, using 1 if that was 0.
-as_fn_error ()
-{
-  as_status=$1; test $as_status -eq 0 && as_status=1
-  if test "$4"; then
-    as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
-    $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
-  fi
-  $as_echo "$as_me: error: $2" >&2
-  as_fn_exit $as_status
-} # as_fn_error
-
-
-# as_fn_set_status STATUS
-# -----------------------
-# Set $? to STATUS, without forking.
-as_fn_set_status ()
-{
-  return $1
-} # as_fn_set_status
-
-# as_fn_exit STATUS
-# -----------------
-# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
-as_fn_exit ()
-{
-  set +e
-  as_fn_set_status $1
-  exit $1
-} # as_fn_exit
-
-# as_fn_unset VAR
-# ---------------
-# Portably unset VAR.
-as_fn_unset ()
-{
-  { eval $1=; unset $1;}
-}
-as_unset=as_fn_unset
-# as_fn_append VAR VALUE
-# ----------------------
-# Append the text in VALUE to the end of the definition contained in VAR. Take
-# advantage of any shell optimizations that allow amortized linear growth over
-# repeated appends, instead of the typical quadratic growth present in naive
-# implementations.
-if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
-  eval 'as_fn_append ()
-  {
-    eval $1+=\$2
-  }'
-else
-  as_fn_append ()
-  {
-    eval $1=\$$1\$2
-  }
-fi # as_fn_append
-
-# as_fn_arith ARG...
-# ------------------
-# Perform arithmetic evaluation on the ARGs, and store the result in the
-# global $as_val. Take advantage of shells that can avoid forks. The arguments
-# must be portable across $(()) and expr.
-if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
-  eval 'as_fn_arith ()
-  {
-    as_val=$(( $* ))
-  }'
-else
-  as_fn_arith ()
-  {
-    as_val=`expr "$@" || test $? -eq 1`
-  }
-fi # as_fn_arith
-
-
-if expr a : '\(a\)' >/dev/null 2>&1 &&
-   test "X`expr 00001 : '.*\(...\)'`" = X001; then
-  as_expr=expr
-else
-  as_expr=false
-fi
-
-if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
-  as_basename=basename
-else
-  as_basename=false
-fi
-
-if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
-  as_dirname=dirname
-else
-  as_dirname=false
-fi
-
-as_me=`$as_basename -- "$0" ||
-$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
-        X"$0" : 'X\(//\)$' \| \
-        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X/"$0" |
-    sed '/^.*\/\([^/][^/]*\)\/*$/{
-           s//\1/
-           q
-         }
-         /^X\/\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\/\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-
-# Avoid depending upon Character Ranges.
-as_cr_letters='abcdefghijklmnopqrstuvwxyz'
-as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
-as_cr_Letters=$as_cr_letters$as_cr_LETTERS
-as_cr_digits='0123456789'
-as_cr_alnum=$as_cr_Letters$as_cr_digits
-
-ECHO_C= ECHO_N= ECHO_T=
-case `echo -n x` in #(((((
--n*)
-  case `echo 'xy\c'` in
-  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
-  xy)  ECHO_C='\c';;
-  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
-       ECHO_T='        ';;
-  esac;;
-*)
-  ECHO_N='-n';;
-esac
-
-rm -f conf$$ conf$$.exe conf$$.file
-if test -d conf$$.dir; then
-  rm -f conf$$.dir/conf$$.file
-else
-  rm -f conf$$.dir
-  mkdir conf$$.dir 2>/dev/null
-fi
-if (echo >conf$$.file) 2>/dev/null; then
-  if ln -s conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s='ln -s'
-    # ... but there are two gotchas:
-    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
-    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
-    # In both cases, we have to default to `cp -pR'.
-    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
-      as_ln_s='cp -pR'
-  elif ln conf$$.file conf$$ 2>/dev/null; then
-    as_ln_s=ln
-  else
-    as_ln_s='cp -pR'
-  fi
-else
-  as_ln_s='cp -pR'
-fi
-rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
-rmdir conf$$.dir 2>/dev/null
-
-
-# as_fn_mkdir_p
-# -------------
-# Create "$as_dir" as a directory, including parents if necessary.
-as_fn_mkdir_p ()
-{
-
-  case $as_dir in #(
-  -*) as_dir=./$as_dir;;
-  esac
-  test -d "$as_dir" || eval $as_mkdir_p || {
-    as_dirs=
-    while :; do
-      case $as_dir in #(
-      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
-      *) as_qdir=$as_dir;;
-      esac
-      as_dirs="'$as_qdir' $as_dirs"
-      as_dir=`$as_dirname -- "$as_dir" ||
-$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-        X"$as_dir" : 'X\(//\)[^/]' \| \
-        X"$as_dir" : 'X\(//\)$' \| \
-        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$as_dir" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)[^/].*/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-      test -d "$as_dir" && break
-    done
-    test -z "$as_dirs" || eval "mkdir $as_dirs"
-  } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
-
-
-} # as_fn_mkdir_p
-if mkdir -p . 2>/dev/null; then
-  as_mkdir_p='mkdir -p "$as_dir"'
-else
-  test -d ./-p && rmdir ./-p
-  as_mkdir_p=false
-fi
-
-
-# as_fn_executable_p FILE
-# -----------------------
-# Test if FILE is an executable regular file.
-as_fn_executable_p ()
-{
-  test -f "$1" && test -x "$1"
-} # as_fn_executable_p
-as_test_x='test -x'
-as_executable_p=as_fn_executable_p
-
-# Sed expression to map a string onto a valid CPP name.
-as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
-
-# Sed expression to map a string onto a valid variable name.
-as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
-
-
-exec 6>&1
-## ----------------------------------- ##
-## Main body of $CONFIG_STATUS script. ##
-## ----------------------------------- ##
-_ASEOF
-test $as_write_fail = 0 && chmod +x $CONFIG_STATUS || ac_write_fail=1
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# Save the log message, to keep $0 and so on meaningful, and to
-# report actual input values of CONFIG_FILES etc. instead of their
-# values after options handling.
-ac_log="
-This file was extended by $as_me, which was
-generated by GNU Autoconf 2.69.  Invocation command line was
-
-  CONFIG_FILES    = $CONFIG_FILES
-  CONFIG_HEADERS  = $CONFIG_HEADERS
-  CONFIG_LINKS    = $CONFIG_LINKS
-  CONFIG_COMMANDS = $CONFIG_COMMANDS
-  $ $0 $@
-
-on `(hostname || uname -n) 2>/dev/null | sed 1q`
-"
-
-_ACEOF
-
-case $ac_config_files in *"
-"*) set x $ac_config_files; shift; ac_config_files=$*;;
-esac
-
-
-
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-# Files that config.status was made for.
-config_files="$ac_config_files"
-
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-ac_cs_usage="\
-\`$as_me' instantiates files and other configuration actions
-from templates according to the current configuration.  Unless the files
-and actions are specified as TAGs, all are instantiated by default.
-
-Usage: $0 [OPTION]... [TAG]...
-
-  -h, --help       print this help, then exit
-  -V, --version    print version number and configuration settings, then exit
-      --config     print configuration, then exit
-  -q, --quiet, --silent
-                   do not print progress messages
-  -d, --debug      don't remove temporary files
-      --recheck    update $as_me by reconfiguring in the same conditions
-      --file=FILE[:TEMPLATE]
-                   instantiate the configuration file FILE
-
-Configuration files:
-$config_files
-
-Report bugs to the package provider."
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
-ac_cs_version="\\
-config.status
-configured by $0, generated by GNU Autoconf 2.69,
-  with options \\"\$ac_cs_config\\"
-
-Copyright (C) 2012 Free Software Foundation, Inc.
-This config.status script is free software; the Free Software Foundation
-gives unlimited permission to copy, distribute and modify it."
-
-ac_pwd='$ac_pwd'
-srcdir='$srcdir'
-test -n "\$AWK" || AWK=awk
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# The default lists apply if the user does not specify any file.
-ac_need_defaults=:
-while test $# != 0
-do
-  case $1 in
-  --*=?*)
-    ac_option=`expr "X$1" : 'X\([^=]*\)='`
-    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
-    ac_shift=:
-    ;;
-  --*=)
-    ac_option=`expr "X$1" : 'X\([^=]*\)='`
-    ac_optarg=
-    ac_shift=:
-    ;;
-  *)
-    ac_option=$1
-    ac_optarg=$2
-    ac_shift=shift
-    ;;
-  esac
-
-  case $ac_option in
-  # Handling of the options.
-  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
-    ac_cs_recheck=: ;;
-  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
-    $as_echo "$ac_cs_version"; exit ;;
-  --config | --confi | --conf | --con | --co | --c )
-    $as_echo "$ac_cs_config"; exit ;;
-  --debug | --debu | --deb | --de | --d | -d )
-    debug=: ;;
-  --file | --fil | --fi | --f )
-    $ac_shift
-    case $ac_optarg in
-    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
-    '') as_fn_error $? "missing file argument" ;;
-    esac
-    as_fn_append CONFIG_FILES " '$ac_optarg'"
-    ac_need_defaults=false;;
-  --he | --h |  --help | --hel | -h )
-    $as_echo "$ac_cs_usage"; exit ;;
-  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
-  | -silent | --silent | --silen | --sile | --sil | --si | --s)
-    ac_cs_silent=: ;;
-
-  # This is an error.
-  -*) as_fn_error $? "unrecognized option: \`$1'
-Try \`$0 --help' for more information." ;;
-
-  *) as_fn_append ac_config_targets " $1"
-     ac_need_defaults=false ;;
-
-  esac
-  shift
-done
-
-ac_configure_extra_args=
-
-if $ac_cs_silent; then
-  exec 6>/dev/null
-  ac_configure_extra_args="$ac_configure_extra_args --silent"
-fi
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-if \$ac_cs_recheck; then
-  set X $SHELL '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
-  shift
-  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
-  CONFIG_SHELL='$SHELL'
-  export CONFIG_SHELL
-  exec "\$@"
-fi
-
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-exec 5>>config.log
-{
-  echo
-  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
-## Running $as_me. ##
-_ASBOX
-  $as_echo "$ac_log"
-} >&5
-
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-
-# Handling of arguments.
-for ac_config_target in $ac_config_targets
-do
-  case $ac_config_target in
-    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
-
-  *) as_fn_error $? "invalid argument: \`$ac_config_target'" "$LINENO" 5;;
-  esac
-done
-
-
-# If the user did not use the arguments to specify the items to instantiate,
-# then the envvar interface is used.  Set only those that are not.
-# We use the long form for the default assignment because of an extremely
-# bizarre bug on SunOS 4.1.3.
-if $ac_need_defaults; then
-  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
-fi
-
-# Have a temporary directory for convenience.  Make it in the build tree
-# simply because there is no reason against having it here, and in addition,
-# creating and moving files from /tmp can sometimes cause problems.
-# Hook for its removal unless debugging.
-# Note that there is a small window in which the directory will not be cleaned:
-# after its creation but before its name has been assigned to `$tmp'.
-$debug ||
-{
-  tmp= ac_tmp=
-  trap 'exit_status=$?
-  : "${ac_tmp:=$tmp}"
-  { test ! -d "$ac_tmp" || rm -fr "$ac_tmp"; } && exit $exit_status
-' 0
-  trap 'as_fn_exit 1' 1 2 13 15
-}
-# Create a (secure) tmp directory for tmp files.
-
-{
-  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
-  test -d "$tmp"
-}  ||
-{
-  tmp=./conf$$-$RANDOM
-  (umask 077 && mkdir "$tmp")
-} || as_fn_error $? "cannot create a temporary directory in ." "$LINENO" 5
-ac_tmp=$tmp
-
-# Set up the scripts for CONFIG_FILES section.
-# No need to generate them if there are no CONFIG_FILES.
-# This happens for instance with `./config.status config.h'.
-if test -n "$CONFIG_FILES"; then
-
-
-ac_cr=`echo X | tr X '\015'`
-# On cygwin, bash can eat \r inside `` if the user requested igncr.
-# But we know of no other shell where ac_cr would be empty at this
-# point, so we can use a bashism as a fallback.
-if test "x$ac_cr" = x; then
-  eval ac_cr=\$\'\\r\'
-fi
-ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
-if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
-  ac_cs_awk_cr='\\r'
-else
-  ac_cs_awk_cr=$ac_cr
-fi
-
-echo 'BEGIN {' >"$ac_tmp/subs1.awk" &&
-_ACEOF
-
-
-{
-  echo "cat >conf$$subs.awk <<_ACEOF" &&
-  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
-  echo "_ACEOF"
-} >conf$$subs.sh ||
-  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
-ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
-ac_delim='%!_!# '
-for ac_last_try in false false false false false :; do
-  . ./conf$$subs.sh ||
-    as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
-
-  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
-  if test $ac_delim_n = $ac_delim_num; then
-    break
-  elif $ac_last_try; then
-    as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
-  else
-    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
-  fi
-done
-rm -f conf$$subs.sh
-
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-cat >>"\$ac_tmp/subs1.awk" <<\\_ACAWK &&
-_ACEOF
-sed -n '
-h
-s/^/S["/; s/!.*/"]=/
-p
-g
-s/^[^!]*!//
-:repl
-t repl
-s/'"$ac_delim"'$//
-t delim
-:nl
-h
-s/\(.\{148\}\)..*/\1/
-t more1
-s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
-p
-n
-b repl
-:more1
-s/["\\]/\\&/g; s/^/"/; s/$/"\\/
-p
-g
-s/.\{148\}//
-t nl
-:delim
-h
-s/\(.\{148\}\)..*/\1/
-t more2
-s/["\\]/\\&/g; s/^/"/; s/$/"/
-p
-b
-:more2
-s/["\\]/\\&/g; s/^/"/; s/$/"\\/
-p
-g
-s/.\{148\}//
-t delim
-' <conf$$subs.awk | sed '
-/^[^""]/{
-  N
-  s/\n//
-}
-' >>$CONFIG_STATUS || ac_write_fail=1
-rm -f conf$$subs.awk
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-_ACAWK
-cat >>"\$ac_tmp/subs1.awk" <<_ACAWK &&
-  for (key in S) S_is_set[key] = 1
-  FS = "\a"
-
-}
-{
-  line = $ 0
-  nfields = split(line, field, "@")
-  substed = 0
-  len = length(field[1])
-  for (i = 2; i < nfields; i++) {
-    key = field[i]
-    keylen = length(key)
-    if (S_is_set[key]) {
-      value = S[key]
-      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
-      len += length(value) + length(field[++i])
-      substed = 1
-    } else
-      len += 1 + keylen
-  }
-
-  print line
-}
-
-_ACAWK
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
-  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
-else
-  cat
-fi < "$ac_tmp/subs1.awk" > "$ac_tmp/subs.awk" \
-  || as_fn_error $? "could not setup config files machinery" "$LINENO" 5
-_ACEOF
-
-# VPATH may cause trouble with some makes, so we remove sole $(srcdir),
-# ${srcdir} and @srcdir@ entries from VPATH if srcdir is ".", strip leading and
-# trailing colons and then remove the whole line if VPATH becomes empty
-# (actually we leave an empty line to preserve line numbers).
-if test "x$srcdir" = x.; then
-  ac_vpsub='/^[         ]*VPATH[        ]*=[    ]*/{
-h
-s///
-s/^/:/
-s/[     ]*$/:/
-s/:\$(srcdir):/:/g
-s/:\${srcdir}:/:/g
-s/:@srcdir@:/:/g
-s/^:*//
-s/:*$//
-x
-s/\(=[  ]*\).*/\1/
-G
-s/\n//
-s/^[^=]*=[      ]*$//
-}'
-fi
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-fi # test -n "$CONFIG_FILES"
-
-
-eval set X "  :F $CONFIG_FILES      "
-shift
-for ac_tag
-do
-  case $ac_tag in
-  :[FHLC]) ac_mode=$ac_tag; continue;;
-  esac
-  case $ac_mode$ac_tag in
-  :[FHL]*:*);;
-  :L* | :C*:*) as_fn_error $? "invalid tag \`$ac_tag'" "$LINENO" 5;;
-  :[FH]-) ac_tag=-:-;;
-  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
-  esac
-  ac_save_IFS=$IFS
-  IFS=:
-  set x $ac_tag
-  IFS=$ac_save_IFS
-  shift
-  ac_file=$1
-  shift
-
-  case $ac_mode in
-  :L) ac_source=$1;;
-  :[FH])
-    ac_file_inputs=
-    for ac_f
-    do
-      case $ac_f in
-      -) ac_f="$ac_tmp/stdin";;
-      *) # Look for the file first in the build tree, then in the source tree
-        # (if the path is not absolute).  The absolute path cannot be DOS-style,
-        # because $ac_f cannot contain `:'.
-        test -f "$ac_f" ||
-          case $ac_f in
-          [\\/$]*) false;;
-          *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
-          esac ||
-          as_fn_error 1 "cannot find input file: \`$ac_f'" "$LINENO" 5;;
-      esac
-      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
-      as_fn_append ac_file_inputs " '$ac_f'"
-    done
-
-    # Let's still pretend it is `configure' which instantiates (i.e., don't
-    # use $as_me), people would be surprised to read:
-    #    /* config.h.  Generated by config.status.  */
-    configure_input='Generated from '`
-         $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
-       `' by configure.'
-    if test x"$ac_file" != x-; then
-      configure_input="$ac_file.  $configure_input"
-      { $as_echo "$as_me:${as_lineno-$LINENO}: creating $ac_file" >&5
-$as_echo "$as_me: creating $ac_file" >&6;}
-    fi
-    # Neutralize special characters interpreted by sed in replacement strings.
-    case $configure_input in #(
-    *\&* | *\|* | *\\* )
-       ac_sed_conf_input=`$as_echo "$configure_input" |
-       sed 's/[\\\\&|]/\\\\&/g'`;; #(
-    *) ac_sed_conf_input=$configure_input;;
-    esac
-
-    case $ac_tag in
-    *:-:* | *:-) cat >"$ac_tmp/stdin" \
-      || as_fn_error $? "could not create $ac_file" "$LINENO" 5 ;;
-    esac
-    ;;
-  esac
-
-  ac_dir=`$as_dirname -- "$ac_file" ||
-$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
-        X"$ac_file" : 'X\(//\)[^/]' \| \
-        X"$ac_file" : 'X\(//\)$' \| \
-        X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
-$as_echo X"$ac_file" |
-    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)[^/].*/{
-           s//\1/
-           q
-         }
-         /^X\(\/\/\)$/{
-           s//\1/
-           q
-         }
-         /^X\(\/\).*/{
-           s//\1/
-           q
-         }
-         s/.*/./; q'`
-  as_dir="$ac_dir"; as_fn_mkdir_p
-  ac_builddir=.
-
-case "$ac_dir" in
-.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
-*)
-  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
-  # A ".." for each directory in $ac_dir_suffix.
-  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
-  case $ac_top_builddir_sub in
-  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
-  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
-  esac ;;
-esac
-ac_abs_top_builddir=$ac_pwd
-ac_abs_builddir=$ac_pwd$ac_dir_suffix
-# for backward compatibility:
-ac_top_builddir=$ac_top_build_prefix
-
-case $srcdir in
-  .)  # We are building in place.
-    ac_srcdir=.
-    ac_top_srcdir=$ac_top_builddir_sub
-    ac_abs_top_srcdir=$ac_pwd ;;
-  [\\/]* | ?:[\\/]* )  # Absolute name.
-    ac_srcdir=$srcdir$ac_dir_suffix;
-    ac_top_srcdir=$srcdir
-    ac_abs_top_srcdir=$srcdir ;;
-  *) # Relative name.
-    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
-    ac_top_srcdir=$ac_top_build_prefix$srcdir
-    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
-esac
-ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
-
-
-  case $ac_mode in
-  :F)
-  #
-  # CONFIG_FILE
-  #
-
-_ACEOF
-
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-# If the template does not know about datarootdir, expand it.
-# FIXME: This hack should be removed a few years after 2.60.
-ac_datarootdir_hack=; ac_datarootdir_seen=
-ac_sed_dataroot='
-/datarootdir/ {
-  p
-  q
-}
-/@datadir@/p
-/@docdir@/p
-/@infodir@/p
-/@localedir@/p
-/@mandir@/p'
-case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
-*datarootdir*) ac_datarootdir_seen=yes;;
-*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
-$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
-_ACEOF
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-  ac_datarootdir_hack='
-  s&@datadir@&$datadir&g
-  s&@docdir@&$docdir&g
-  s&@infodir@&$infodir&g
-  s&@localedir@&$localedir&g
-  s&@mandir@&$mandir&g
-  s&\\\${datarootdir}&$datarootdir&g' ;;
-esac
-_ACEOF
-
-# Neutralize VPATH when `$srcdir' = `.'.
-# Shell code in configure.ac might set extrasub.
-# FIXME: do we really want to maintain this feature?
-cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
-ac_sed_extra="$ac_vpsub
-$extrasub
-_ACEOF
-cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
-:t
-/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
-s|@configure_input@|$ac_sed_conf_input|;t t
-s&@top_builddir@&$ac_top_builddir_sub&;t t
-s&@top_build_prefix@&$ac_top_build_prefix&;t t
-s&@srcdir@&$ac_srcdir&;t t
-s&@abs_srcdir@&$ac_abs_srcdir&;t t
-s&@top_srcdir@&$ac_top_srcdir&;t t
-s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
-s&@builddir@&$ac_builddir&;t t
-s&@abs_builddir@&$ac_abs_builddir&;t t
-s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
-$ac_datarootdir_hack
-"
-eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$ac_tmp/subs.awk" \
-  >$ac_tmp/out || as_fn_error $? "could not create $ac_file" "$LINENO" 5
-
-test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
-  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
-  { ac_out=`sed -n '/^[         ]*datarootdir[  ]*:*=/p' \
-      "$ac_tmp/out"`; test -z "$ac_out"; } &&
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file contains a reference to the variable \`datarootdir'
-which seems to be undefined.  Please make sure it is defined" >&5
-$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
-which seems to be undefined.  Please make sure it is defined" >&2;}
-
-  rm -f "$ac_tmp/stdin"
-  case $ac_file in
-  -) cat "$ac_tmp/out" && rm -f "$ac_tmp/out";;
-  *) rm -f "$ac_file" && mv "$ac_tmp/out" "$ac_file";;
-  esac \
-  || as_fn_error $? "could not create $ac_file" "$LINENO" 5
- ;;
-
-
-
-  esac
-
-done # for ac_tag
-
-
-as_fn_exit 0
-_ACEOF
-ac_clean_files=$ac_clean_files_save
-
-test $ac_write_fail = 0 ||
-  as_fn_error $? "write failure creating $CONFIG_STATUS" "$LINENO" 5
-
-
-# configure is writing to config.log, and then calls config.status.
-# config.status does its own redirection, appending to config.log.
-# Unfortunately, on DOS this fails, as config.log is still kept open
-# by configure, so config.status won't be able to write to it; its
-# output is simply discarded.  So we exec the FD to /dev/null,
-# effectively closing config.log, so it can be properly (re)opened and
-# appended to by config.status.  When coming back to configure, we
-# need to make the FD available again.
-if test "$no_create" != yes; then
-  ac_cs_success=:
-  ac_config_status_args=
-  test "$silent" = yes &&
-    ac_config_status_args="$ac_config_status_args --quiet"
-  exec 5>/dev/null
-  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
-  exec 5>>config.log
-  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
-  # would make configure fail if this is the last instruction.
-  $ac_cs_success || as_fn_exit 1
-fi
-if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
-  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
-$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
-fi
-
diff --git a/sim/testsuite/d10v-elf/configure.ac b/sim/testsuite/d10v-elf/configure.ac
deleted file mode 100644 (file)
index 278d84d..0000000
+++ /dev/null
@@ -1,18 +0,0 @@
-dnl Process this file file with autoconf to produce a configure script.
-dnl This file is a shell script fragment that supplies the information
-dnl necessary to tailor a template configure script into the configure
-dnl script appropriate for this directory.  For more information, check
-dnl any existing configure script.
-
-dnl FIXME - think of a truly uniq file to this directory
-AC_INIT(Makefile.in)
-
-CC=${CC-cc}
-AC_SUBST(CC)
-AC_CONFIG_AUX_DIR(../../..)
-AC_CANONICAL_SYSTEM
-
-AC_SUBST(target_cpu)
-
-
-AC_OUTPUT(Makefile)
diff --git a/sim/testsuite/d10v-elf/exit47.s b/sim/testsuite/d10v-elf/exit47.s
deleted file mode 100644 (file)
index 93e4664..0000000
+++ /dev/null
@@ -1,4 +0,0 @@
-.include "t-macros.i"
-
-       start
-       exit47
diff --git a/sim/testsuite/d10v-elf/hello.s b/sim/testsuite/d10v-elf/hello.s
deleted file mode 100644 (file)
index d060c89..0000000
+++ /dev/null
@@ -1,5 +0,0 @@
-       .include "t-macros.i"
-
-       start
-       hello
-       exit0
diff --git a/sim/testsuite/d10v-elf/loop.s b/sim/testsuite/d10v-elf/loop.s
deleted file mode 100644 (file)
index e1371e4..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-       .text
-       .globl _start
-
-_start:
-       bra _start
-       nop
diff --git a/sim/testsuite/d10v-elf/t-ae-ld-d.s b/sim/testsuite/d10v-elf/t-ae-ld-d.s
deleted file mode 100644 (file)
index 1be783f..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
-       
-       ld r8,@0x4000
-test_ld:
-       ld r8,@0x4001
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld-i.s b/sim/testsuite/d10v-elf/t-ae-ld-i.s
deleted file mode 100644 (file)
index 42168e1..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
-       
-       ldi r10, #0x4000
-       ld r8, @r10
-
-       ldi r10, #0x4001
-test_ld:
-       ld r8,@r10
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld-id.s b/sim/testsuite/d10v-elf/t-ae-ld-id.s
deleted file mode 100644 (file)
index 86b7382..0000000
+++ /dev/null
@@ -1,15 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
-       
-       ldi r10, #0x4001
-       ld r8, @(1,r10)
-
-test_ld:
-       ld r8,@(2,r10)
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld-im.s b/sim/testsuite/d10v-elf/t-ae-ld-im.s
deleted file mode 100644 (file)
index 08e2ba6..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
-       
-       ldi r10, #0x4000
-       ld r8, @r10-
-
-       ldi r10, #0x4001
-test_ld:
-       ld r8,@r10-
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld-ip.s b/sim/testsuite/d10v-elf/t-ae-ld-ip.s
deleted file mode 100644 (file)
index cad6660..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
-       
-       ldi r10, #0x4000
-       ld r8, @r10+
-
-       ldi r10, #0x4001
-test_ld:
-       ld r8,@r10+
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld2w-d.s b/sim/testsuite/d10v-elf/t-ae-ld2w-d.s
deleted file mode 100644 (file)
index c8254ab..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
-       
-       ld2w r8,@0x4000
-test_ld2w:
-       ld2w r8,@0x4001
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld2w-i.s b/sim/testsuite/d10v-elf/t-ae-ld2w-i.s
deleted file mode 100644 (file)
index 4b32df5..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
-       
-       ldi r10, #0x4000
-       ld2w r8, @r10
-
-       ldi r10, #0x4001
-test_ld2w:
-       ld2w r8,@r10
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld2w-id.s b/sim/testsuite/d10v-elf/t-ae-ld2w-id.s
deleted file mode 100644 (file)
index 906b2a0..0000000
+++ /dev/null
@@ -1,14 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
-       
-       ldi r10, #0x4001
-       ld2w r8,@(1,r10)
-test_ld2w:
-       ld2w r8,@(2,r10)
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld2w-im.s b/sim/testsuite/d10v-elf/t-ae-ld2w-im.s
deleted file mode 100644 (file)
index 71a7286..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
-       
-       ldi r10, #0x4000
-       ld2w r8, @r10-
-
-       ldi r10, #0x4001
-test_ld2w:
-       ld2w r8,@r10-
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-ld2w-ip.s b/sim/testsuite/d10v-elf/t-ae-ld2w-ip.s
deleted file mode 100644 (file)
index 38cfab6..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
-       
-       ldi r10, #0x4000
-       ld2w r8, @r10+
-
-       ldi r10, #0x4001
-test_ld2w:
-       ld2w r8,@r10+
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-d.s b/sim/testsuite/d10v-elf/t-ae-st-d.s
deleted file mode 100644 (file)
index 1f0edd8..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       st r8,@0x4000
-test_st:
-       st r8,@0x4001
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-i.s b/sim/testsuite/d10v-elf/t-ae-st-i.s
deleted file mode 100644 (file)
index 1524598..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       ldi r10,#0x4000
-       st r8, @r10
-
-       ldi r10,#0x4001
-test_st:
-       st r8,@r10
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-id.s b/sim/testsuite/d10v-elf/t-ae-st-id.s
deleted file mode 100644 (file)
index 4caa1b4..0000000
+++ /dev/null
@@ -1,14 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       ldi r10,#0x4001
-       st r8, @(1,r10)
-test_st:
-       st r8,@(2,r10)
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-im.s b/sim/testsuite/d10v-elf/t-ae-st-im.s
deleted file mode 100644 (file)
index d4c8baf..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       ldi r10,#0x4000
-       st r8, @r10-
-
-       ldi r10,#0x4001
-test_st:
-       st r8,@r10-
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-ip.s b/sim/testsuite/d10v-elf/t-ae-st-ip.s
deleted file mode 100644 (file)
index e3a02ee..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       ldi r10,#0x4000
-       st r8, @r10+
-
-       ldi r10,#0x4001
-test_st:
-       st r8,@r10+
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st-is.s b/sim/testsuite/d10v-elf/t-ae-st-is.s
deleted file mode 100644 (file)
index 4868780..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
-       
-       ldi sp,#0x4000
-       st r8, @-SP
-
-       ldi sp,#0x4001
-test_st:
-       st r8,@-SP
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-d.s b/sim/testsuite/d10v-elf/t-ae-st2w-d.s
deleted file mode 100644 (file)
index a0d9c31..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       st2w r8,@0x4000
-test_st2w:
-       st2w r8,@0x4001
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-i.s b/sim/testsuite/d10v-elf/t-ae-st2w-i.s
deleted file mode 100644 (file)
index 8c24bc9..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       ldi r10, #0x4000
-       st2w r8, @r10
-
-       ldi r10, #0x4001
-test_st2w:
-       st2w r8,@r10
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-id.s b/sim/testsuite/d10v-elf/t-ae-st2w-id.s
deleted file mode 100644 (file)
index bfbfd4d..0000000
+++ /dev/null
@@ -1,14 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       ldi r10, #0x4001
-       st2w r8, @(1,r10)
-test_st2w:
-       st2w r8,@(2,r10)
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-im.s b/sim/testsuite/d10v-elf/t-ae-st2w-im.s
deleted file mode 100644 (file)
index ee0a9eb..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       ldi r10, #0x4000
-       st2w r8, @r10-
-
-       ldi r10, #0x4001
-test_st2w:
-       st2w r8,@r10-
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-ip.s b/sim/testsuite/d10v-elf/t-ae-st2w-ip.s
deleted file mode 100644 (file)
index dc911f7..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       ldi r10, #0x4000
-       st2w r8, @r10+
-
-       ldi r10, #0x4001
-test_st2w:
-       st2w r8,@r10+
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-ae-st2w-is.s b/sim/testsuite/d10v-elf/t-ae-st2w-is.s
deleted file mode 100644 (file)
index e39d71c..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
-       
-       ldi sp, #0x4004
-       st2w r8, @-SP
-
-       ldi sp, #0x4005
-test_st2w:
-       st2w r8,@-SP
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-dbt.s b/sim/testsuite/d10v-elf/t-dbt.s
deleted file mode 100644 (file)
index 8c51847..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = PSW_DM
-       
-;;; Blat our DMAP registers so that they point at on-chip imem
-
-       ldi r2, MAP_INSN | 0xf
-       st r2, @(DMAP_REG,r0)
-       ldi r2, MAP_INSN
-       st r2, @(IMAP1_REG,r0)
-
-;;; Patch the interrupt vector's dbt entry with a jmp to success
-
-       ldi r4, #trap
-       ldi r5, (VEC_DBT & DMAP_MASK) + DMAP_BASE
-       ld2w r2, @(0,r4)
-       st2w r2, @(0,r5)
-       ld2w r2, @(4,r4)
-       st2w r2, @(4,r5)
-
-test_dbt:
-       dbt -> nop
-       exit47
-
-success:
-       checkpsw2 1 PSW_BITS
-       exit0
-
-       .data
-trap:  ldi r1, success@word
-       jmp r1
diff --git a/sim/testsuite/d10v-elf/t-ld-st.s b/sim/testsuite/d10v-elf/t-ld-st.s
deleted file mode 100644 (file)
index ec9f202..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ; Test ld and st
-       ld r4, @foo
-       check 1 r4 0xdead
-
-       ldi r4, #0x2152
-       st r4, @foo
-       ld r4, @foo
-       check 2 r4 0x2152
-
-       ; Test ld2w and st2w
-       ldi r4, #0xdead
-       st r4, @foo
-       ld2w r4, @foo
-       check2w2 3 r4 0xdead 0xf000
-
-       ldi r4, #0x2112
-       ldi r5, #0x1984
-       st2w r4, @foo
-       ld2w r4, @foo
-       check2w2 4 r4 0x2112 0x1984
-
-       .data
-       .align 2
-foo:   .short 0xdead
-bar:   .short 0xf000
-       .text
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-mac.s b/sim/testsuite/d10v-elf/t-mac.s
deleted file mode 100644 (file)
index 364f615..0000000
+++ /dev/null
@@ -1,71 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ;; clear FX
-       loadpsw2 0x8005
-       loadacc2 a1 0x7f 0xffff 0xffff
-       load r8 0xffff
-       load r9 0x8001
-test_macu1:
-       MACU a1, r9, r8
-       checkacc2 1 a1 0x80 0x8000 0x7FFE
-
-       ;; set FX
-       loadpsw2 0x8085
-       loadacc2 a1 0x7f 0xffff 0xffff
-       load r8 0xffff
-       load r9 0x8001
-test_macu2:
-       MACU a1, r9, r8
-       checkacc2 2 a1 0x81 0x0000 0xfffd
-
-
-
-       
-       ;; clear FX
-       ldi r2, #0x8005
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, #0xffff
-       ldi r9, #0x7FFF
-test_macsu1:
-       MACSU a1, r9, r8
-       checkacc2 3 a1 0x80 0x7FFE 0x8000
-
-       ;; set FX
-       ldi r2, #0x8085
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, #0xffff
-       ldi r9, #0x7FFF
-test_macsu2:
-       MACSU a1, r9, r8
-       checkacc2 4 a1 0x80 0xfffd 0x0001
-
-       ;; clear FX
-       ldi r2, #0x8005
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, 0xffff
-       ldi r9, 0x8001
-test_macsu3:
-       MACSU a1, r9, r8
-       checkacc2 5 a1 0x7F 0x8001 0x7FFE
-
-       ;; set FX
-       ldi r2, #0x8085
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, #0xffff
-       ldi r9, #0x8001
-test_macsu4:
-       MACSU a1, r9, r8
-       checkacc2 6 a1 0x7f 0x0002 0xFFFD
-
-       exit0
-
diff --git a/sim/testsuite/d10v-elf/t-macros.i b/sim/testsuite/d10v-elf/t-macros.i
deleted file mode 100644 (file)
index f424acf..0000000
+++ /dev/null
@@ -1,233 +0,0 @@
-       .macro start
-       .text
-       .align 2
-       .globl _start
-_start:
-       ldi r0, 0
-       .endm
-
-
-       .macro exit47
-       ldi r4, 1
-       ldi r0, 47
-       trap 15
-       .endm
-
-
-       .macro exit0
-       ldi r4, 1
-       ldi r0, 0
-       trap 15
-       .endm
-
-
-       .macro exit1
-       ldi r4, 1
-       ldi r0, 1
-       trap 15
-       .endm
-
-
-       .macro exit2
-       ldi r4, 1
-       ldi r0, 2
-       trap 15
-       .endm
-
-
-       .macro load reg val
-       ldi \reg, #\val
-       .endm
-
-
-       .macro load2w reg hi lo
-       ld2w \reg, @(1f,r0)
-       .data
-       .align 2
-1:     .short \hi
-       .short \lo
-       .text
-       .endm
-
-
-       .macro check exit reg val
-       cmpeqi  \reg, #\val
-       brf0t 1f
-0:     ldi r4, 1
-       ldi r0, \exit
-       trap 15
-1:
-       .endm
-
-
-       .macro check2w2 exit reg hi lo
-       st2w    \reg, @(1f,r0)
-       ld      r2, @(1f, r0)
-       cmpeqi  r2, #\hi
-       brf0f   0f
-       ld      r2, @(1f + 2, r0)
-       cmpeqi  r2, #\lo
-       brf0f   0f
-       bra     2f
-0:     ldi r4, 1
-       ldi r0, \exit
-       trap 15
-       .data
-       .align 2
-1:     .long 0
-       .text
-2:
-       .endm
-
-
-       .macro loadacc2 acc guard hi lo
-       ldi     r2, #\lo
-       mvtaclo r2, \acc
-       ldi     r2, #\hi
-       mvtachi r2, \acc
-       ldi     r2, #\guard
-       mvtacg  r2, \acc
-       .endm
-
-
-       .macro checkacc2 exit acc guard hi lo
-       ldi     r2, #\guard
-       mvfacg  r3, \acc
-       cmpeq   r2, r3
-       brf0f   0f
-       ldi     r2, #\hi
-       mvfachi r3, \acc
-       cmpeq   r2, r3
-       brf0f   0f
-       ldi     r2, #\lo
-       mvfaclo r3, \acc
-       cmpeq   r2, r3
-       brf0f   0f
-       bra     4f
-0:     ldi r4, 1
-       ldi r0, \exit
-       trap 15
-4:
-       .endm
-
-
-       .macro loadpsw2 val
-       ldi r2, #\val
-       mvtc    r2, cr0
-       .endm
-
-
-       .macro checkpsw2 exit val
-       mvfc    r2, cr0
-       cmpeqi  r2, #\val
-       brf0t   1f
-       ldi r4, 1
-       ldi r0, \exit
-       trap 15
-1:
-       .endm
-
-
-       .macro hello
-       ;; 4:write (1, string, strlen (string))
-       ldi r4, 4
-       ldi r0, 1
-       ldi r1, 1f
-       ldi r2, 2f-1f-1
-       trap 15
-       .section        .rodata
-1:     .string "Hello World!\n"
-2:     .align 2
-       .text
-       .endm
-
-
-;;; Blat our DMAP registers so that they point at on-chip imem
-       .macro point_dmap_at_imem
-       .text
-       ldi r2, MAP_INSN | 0xf
-       st r2, @(DMAP_REG,r0)
-       ldi r2, MAP_INSN
-       st r2, @(IMAP1_REG,r0)
-       .endm
-
-;;; Patch VEC so that it jumps back to code that checks PSW
-;;; and then exits with success.
-       .macro check_interrupt vec psw src
-;;; Patch the interrupt vector's AE entry with a jmp to success
-       .text
-       ldi r4, #1f
-       ldi r5, \vec
-       ;;      
-       ld2w r2, @(0,r4)
-       st2w r2, @(0,r5)
-       ld2w r2, @(4,r4)
-       st2w r2, @(4,r5)
-       ;;      
-       bra 9f
-       nop
-;;; Code that gets patched into the interrupt vector
-       .data
-1:     ldi r1, 2f@word
-       jmp r1
-;;; Successfull trap jumps back to here
-       .text
-;;; Verify the PSW
-2:     mvfc    r2, cr0
-       cmpeqi  r2, #\psw
-       brf0t   3f
-       nop
-       exit1
-;;; Verify the original addr
-3:     mvfc    r2, bpc
-       cmpeqi  r2, #\src@word
-       brf0t   4f
-       exit2
-4:     exit0
-;;; continue as normal
-9:     
-       .endm
-
-
-       PSW_SM = 0x8000
-       PSW_01 = 0x4000
-       PSW_EA = 0x2000
-       PSW_DB = 0x1000
-       PSW_DM = 0x0800
-       PSW_IE = 0x0400
-       PSW_RP = 0x0200
-       PSW_MD = 0x0100
-       PSW_FX = 0x0080
-       PSW_ST = 0x0040
-       PSW_10 = 0x0020
-       PSW_11 = 0x0010
-       PSW_F0 = 0x0008
-       PSW_F1 = 0x0004
-       PSW_14 = 0x0002
-       PSW_C  = 0x0001
-
-
-;;;
-
-       DMAP_MASK = 0x3fff
-       DMAP_BASE = 0x8000
-       DMAP_REG = 0xff04
-
-       IMAP0_REG = 0xff00
-       IMAP1_REG = 0xff02
-
-       MAP_INSN = 0x1000
-
-;;;
-
-       VEC_RI   = 0x3ff00
-       VEC_BAE  = 0x3ff04
-       VEC_RIE  = 0x3ff08
-       VEC_AE   = 0x3ff0c
-       VEC_TRAP = 0x3ff10
-       VEC_DBT  = 0x3ff50
-       VEC_SDBT = 0x3fff4
-       VEC_DBI  = 0x3ff58
-       VEC_EI   = 0x3ff5c
-
-
diff --git a/sim/testsuite/d10v-elf/t-mod-ld-pre.s b/sim/testsuite/d10v-elf/t-mod-ld-pre.s
deleted file mode 100644 (file)
index 4536e03..0000000
+++ /dev/null
@@ -1,126 +0,0 @@
-.include "t-macros.i"
-
-.section        .rodata
-        .text
-        .globl  main
-        .type   main,@function
-main:
-    mvfc        r0, PSW             ||  ldi.s       r14, #0
-    ldi.l       r2, 0x100               ; MOD_E
-    ldi.l       r3, 0x108               ; MOD_S
-test_mod_dec_ld:
-    mvtc        r2, MOD_E           ||  bseti       r0, #7
-    mvtc        r3, MOD_S
-    mvtc        r0, PSW                 ; modulo mode enable
-    mv          r1,r3                           ; r1=0x108
-    ld          r4, @r1-        ||      nop     ; r1=0x106
-    ld          r4, @r1-        ||      nop     ; r1=0x104
-    ld          r4, @r1-        ||      nop     ; r1=0x102
-    ld          r4, @r1-        ||      nop     ; r1=0x100
-    ld          r4, @r1-        ||      nop     ; r1=0x108 
-    ld          r4, @r1-        ||      nop     ; r1=0x106 
-    cmpeqi      r1,#0x106
-    brf0f       _ERR            ;  branch to error
-test_mod_inc_ld:
-    mvtc        r2, MOD_S
-    mvtc        r3, MOD_E
-    mv          r1,r2                           ; r1=0x100
-    ld          r4, @r1+        ||      nop     ; r1=0x102
-    ld          r4, @r1+        ||      nop     ; r1=0x104
-    ld          r4, @r1+        ||      nop     ; r1=0x106
-    ld          r4, @r1+        ||      nop     ; r1=0x108
-    ld          r4, @r1+        ||      nop     ; r1=0x100
-    ld          r4, @r1+        ||      nop     ; r1=0x102
-    cmpeqi      r1,#0x102
-    brf0f       _ERR
-test_mod_dec_ld2w:
-    mvtc        r2, MOD_E
-    mvtc        r3, MOD_S
-    mv          r1,r3                           ; r1=0x108
-    ld2W        r4, @r1-        ||      nop     ; r1=0x104
-    ld2W        r4, @r1-        ||      nop     ; r1=0x100
-    ld2W        r4, @r1-        ||      nop     ; r1=0x108 
-    ld2W        r4, @r1-        ||      nop     ; r1=0x104 
-    cmpeqi      r1,#0x104
-    brf0f       _ERR            ; <= branch to error
-test_mod_inc_ld2w:
-    mvtc        r2, MOD_S
-    mvtc        r3, MOD_E           ||  BCLRI       r0, #7
-    mv          r1,r2                           ; r1=0x100
-    ld2W        r4, @r1+        ||      nop     ; r1=0x104
-    ld2W        r4, @r1+        ||      nop     ; r1=0x108
-    ld2W        r4, @r1+        ||      nop     ; r1=0x100
-    ld2W        r4, @r1+        ||      nop     ; r1=0x104
-    cmpeqi      r1,#0x104
-    brf0f       _ERR
-test_mod_dec_ld_dis:
-    mvtc        r0, PSW                 ; modulo mode disable
-    mvtc        r2, MOD_E
-    mvtc        r3, MOD_S
-    mv          r1,r3                           ; r1=0x108
-    ld          r4, @r1-        ||      nop     ; r1=0x106
-    ld          r4, @r1-        ||      nop     ; r1=0x104
-    ld          r4, @r1-        ||      nop     ; r1=0x102
-    ld          r4, @r1-        ||      nop     ; r1=0x100
-    ld          r4, @r1-        ||      nop     ; r1=0xFE
-    ld          r4, @r1-        ||      nop     ; r1=0xFC
-    cmpeqi      r1,#0xFC
-    brf0f       _ERR
-test_mod_inc_ld_dis:
-    mvtc        r2, MOD_S
-    mvtc        r3, MOD_E
-    mv          r1,r2                           ; r1=0x100
-    ld          r4, @r1+        ||      nop     ; r1=0x102
-    ld          r4, @r1+        ||      nop     ; r1=0x104
-    ld          r4, @r1+        ||      nop     ; r1=0x106
-    ld          r4, @r1+        ||      nop     ; r1=0x108
-    ld          r4, @r1+        ||      nop     ; r1=0x10A
-    ld          r4, @r1+        ||      nop     ; r1=0x10C
-    cmpeqi      r1,#0x10C
-    brf0f       _ERR
-test_mod_dec_ld2w_dis:
-    mvtc        r2, MOD_E
-    mvtc        r3, MOD_S
-    mv          r1,r3                           ; r1=0x108
-    ld2W        r4, @r1-        ||      nop     ; r1=0x104
-    ld2W        r4, @r1-        ||      nop     ; r1=0x100
-    ld2W        r4, @r1-        ||      nop     ; r1=0xFC
-    ld2W        r4, @r1-        ||      nop     ; r1=0xF8
-    cmpeqi      r1,#0xF8
-    brf0f       _ERR
-
- test_mod_inc_ld2w_dis:
-    mvtc        r2, MOD_S
-    mvtc        r3, MOD_E
-    mv          r1,r2                           ; r1=0x100
-    ld2W        r4, @r1+        ||      nop     ; r1=0x104
-    ld2W        r4, @r1+        ||      nop     ; r1=0x108
-    ld2W        r4, @r1+        ||      nop     ; r1=0x10C
-    ld2W        r4, @r1+        ||      nop     ; r1=0x110
-    cmpeqi      r1,#0x110
-    brf0f       _ERR 
-
-_OK:
-       exit0
-_ERR:
-       exit47
-
-
diff --git a/sim/testsuite/d10v-elf/t-msbu.s b/sim/testsuite/d10v-elf/t-msbu.s
deleted file mode 100644 (file)
index 04276cc..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ;; clear FX
-       ldi r2, #0x8005
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, 0xffff
-       ldi r9, 0x8001
-test_msbu1:
-       MSBU a1, r9, r8
-       checkacc2 1 a1 0X7F 0x7FFF 0x8000
-
-       
-       ;; set FX
-       ldi r2, #0x8085
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, 0xffff
-       ldi r9, 0x8001
-test_msbu2:
-       MSBU a1, r9, r8
-       checkacc2 2 a1 0X7E 0xFFFF 0x0001
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-mulxu.s b/sim/testsuite/d10v-elf/t-mulxu.s
deleted file mode 100644 (file)
index a8e6ffc..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ;; clear FX
-       ldi r2, #0x8005
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, 0xffff
-       ldi r9, 0x8001
-test_mulxu1:
-       MULXU a1, r9, r8
-       checkacc2 1 a1 0x00 0x8000 0x7FFF
-
-       
-       ;; set FX
-       ldi r2, #0x8085
-       mvtc r2, cr0
-
-       loadacc2 a1 0x7f 0xffff 0xffff
-       ldi r8, 0xffff
-       ldi r9, 0x8001
-test_mulxu2:
-       MULXU a1, r9, r8
-       checkacc2 2 a1 0x01 0x0000 0xFFFE
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-mvtac.s b/sim/testsuite/d10v-elf/t-mvtac.s
deleted file mode 100644 (file)
index 68452dc..0000000
+++ /dev/null
@@ -1,19 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ldi r8, 0xbeef
-       mvtaclo r8, a0
-       checkacc2 1 a0 0xff 0xffff 0xbeef
-
-       mvtacg  r0, a0
-       checkacc2 2 a0 0x00 0xffff 0xbeef
-       
-       ldi r8, 0xdead
-       mvtachi r8, a0
-       checkacc2 3 a0 0xff 0xdead 0xbeef
-
-       loadacc2 a1 0xfe 0xbeef 0xdead
-       checkacc2 4 a1 0xfe 0xbeef 0xdead
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-mvtc.s b/sim/testsuite/d10v-elf/t-mvtc.s
deleted file mode 100644 (file)
index 2eed833..0000000
+++ /dev/null
@@ -1,129 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-;;; Try out each bit in the PSW
-
-       loadpsw2 PSW_SM
-       checkpsw2 1 PSW_SM
-
-       loadpsw2 PSW_01
-       checkpsw2 2 0 ;; PSW_01
-
-       loadpsw2 PSW_EA
-       checkpsw2 3 PSW_EA
-
-       loadpsw2 PSW_DB
-       checkpsw2 4 PSW_DB
-
-       loadpsw2 PSW_DM
-       checkpsw2 5 0 ;; PSW_DM
-
-       loadpsw2 PSW_IE
-       checkpsw2 6 PSW_IE
-
-       loadpsw2 PSW_RP
-       checkpsw2 7 PSW_RP
-
-       loadpsw2 PSW_MD
-       checkpsw2 8 PSW_MD
-
-       loadpsw2 PSW_FX|PSW_ST
-       checkpsw2 9 PSW_FX|PSW_ST
-
-       ;; loadpsw2 PSW_ST
-       ;; checkpsw2 10 
-
-       loadpsw2 PSW_10
-       checkpsw2 11 0 ;; PSW_10
-
-       loadpsw2 PSW_11
-       checkpsw2 12 0 ;; PSW_11
-
-       loadpsw2 PSW_F0
-       checkpsw2 13 PSW_F0
-
-       loadpsw2 PSW_F1
-       checkpsw2 14 PSW_F1
-
-       loadpsw2 PSW_14
-       checkpsw2 15 0 ;; PSW_14
-
-       loadpsw2 PSW_C
-       checkpsw2 16 PSW_C
-
-
-;;; Check that bit 0 (LSB) of the MOD_E & MOD_S registers are stuck at ZERO.
-
-       ldi     r6, #0xdead
-       mvtc    r6, cr10
-       ldi     r6, #0xbeef
-       mvtc    r6, cr11
-       
-       mvfc    r7, cr10
-       check 17 r7 0xdeac
-       mvfc    r7, cr11
-       check 18 r7 0xbeee
-
-;;; Check that certain bits of the PSW, DPSW and BPSW are hardwired to zero
-
-psw_ffff:
-       ldi     r6, 0xffff
-       mvtc    r6, psw
-       mvfc    r7, psw
-       check 18 r7 0xb7cd
-
-bpsw_ffff:
-       ldi     r6, 0xffff
-       mvtc    r6, bpsw
-       mvfc    r7, bpsw
-       check 18 r7 0xb7cd
-
-dpsw_ffff:
-       ldi     r6, 0xffff
-       mvtc    r6, dpsw
-       mvfc    r7, dpsw
-       check 18 r7 0xb7cd
-
-;;; Another check. Very similar
-
-psw_dfff:
-       ldi     r6, 0xdfff
-       mvtc    r6, psw
-       mvfc    r7, psw
-       check 18 r7 0x97cd
-
-bpsw_dfff:
-       ldi     r6, 0xdfff
-       mvtc    r6, bpsw
-       mvfc    r7, bpsw
-       check 18 r7 0x97cd
-
-dpsw_dfff:
-       ldi     r6, 0xdfff
-       mvtc    r6, dpsw
-       mvfc    r7, dpsw
-       check 18 r7 0x97cd
-
-;;; And again.
-
-psw_8005:
-       ldi     r6, 0x8005
-       mvtc    r6, psw
-       mvfc    r7, psw
-       check 18 r7 0x8005
-
-bpsw_8005:
-       ldi     r6, 0x8005
-       mvtc    r6, bpsw
-       mvfc    r7, bpsw
-       check 18 r7 0x8005
-
-dpsw_8005:
-       ldi     r6, 0x8005
-       mvtc    r6, dpsw
-       mvfc    r7, dpsw
-       check 18 r7 0x8005
-
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-rac.s b/sim/testsuite/d10v-elf/t-rac.s
deleted file mode 100644 (file)
index f212311..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       ;; clear FX
-       loadpsw2 0x8004
-       loadacc2 a0 0x80 0x0000 0x0000
-       loadacc2 a1 0x00 0x0000 0x5000
-       load r10 0x0123
-       load r11 0x4567
-test_rac1:
-       RAC     r10, a0, #-2
-       checkpsw2 1 0x8008
-       check2w2 2 r10 0x8000 0x0000
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-rachi.s b/sim/testsuite/d10v-elf/t-rachi.s
deleted file mode 100644 (file)
index ed90195..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       loadacc2 a0 0x00 0x7FFF 0x8000
-test_rachi_1:
-       rachi r4, a0, 0
-       check 1 r4 0x7FFF
-
-
-       loadacc2 a0 0xFF 0x8000 0x1000
-test_rachi_2:
-       rachi r4, a0, 0
-       check 2 r4 0x8000
-
-
-       loadacc2 a0 0x00 0x1000 0xA000
-test_rachi_3:
-       rachi r4, a0, 0
-       check 3 r4 0x1001
-
-
-       loadacc2 a0 0xFF 0xA000 0x7FFF
-test_rachi_4:
-       rachi r4, a0, 0
-       check 4 r4 0xa000
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-rdt.s b/sim/testsuite/d10v-elf/t-rdt.s
deleted file mode 100644 (file)
index 661b583..0000000
+++ /dev/null
@@ -1,18 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = PSW_C|PSW_F0|PSW_F1
-       
-       ldi     r6, #success@word
-       mvtc    r6, dpc
-       ldi     r6, #PSW_BITS
-       mvtc    r6, dpsw
-
-test_rdt:
-       RTD
-       exit47
-
-success:
-       checkpsw2 1 PSW_BITS
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-rep.s b/sim/testsuite/d10v-elf/t-rep.s
deleted file mode 100644 (file)
index cea3ea8..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-
-       
-       ;; Check that the instruction @REP_E is executed when it
-       ;; is reached using a branch instruction
-       
-       ldi r2, 1
-test_rep_1:
-       rep     r2, end_rep_1
-       nop || nop
-       nop || nop
-       nop || nop
-       nop || nop
-       ldi     r3, 46
-       bra     end_rep_1
-       ldi     r3, 42
-end_rep_1:
-       addi    r3, 1
-
-       check 1 r3 47
-
-
-       ;; Check that the loop is executed the correct number of times
-
-       ldi     r2, 10
-       ldi     r3, 0
-       ldi     r4, 0
-test_rep_2:
-       rep     r2, end_rep_2
-       nop || nop
-       nop || nop
-       nop || nop
-       nop || nop
-       nop || nop
-       addi    r3, 1
-end_rep_2:
-       addi    r4, 1
-
-       check 2 r3 10
-       check 3 r4 10
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-rie-xx.s b/sim/testsuite/d10v-elf/t-rie-xx.s
deleted file mode 100644 (file)
index 2a6fcbd..0000000
+++ /dev/null
@@ -1,12 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = 0
-       point_dmap_at_imem
-       check_interrupt (VEC_RIE&DMAP_MASK)+DMAP_BASE PSW_BITS test_rie_xx
-       
-test_rie_xx:
-        .short 0xe120, 0x0000  ;; Example of RIE code
-       nop
-       exit47
diff --git a/sim/testsuite/d10v-elf/t-rte.s b/sim/testsuite/d10v-elf/t-rte.s
deleted file mode 100644 (file)
index 5ce31dd..0000000
+++ /dev/null
@@ -1,18 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = PSW_C|PSW_F0|PSW_F1
-       
-       ldi     r6, #success@word
-       mvtc    r6, bpc
-       ldi     r6, #PSW_BITS
-       mvtc    r6, bpsw
-
-test_rte:
-       RTE
-       exit47
-
-success:
-       checkpsw2 1 PSW_BITS
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sac.s b/sim/testsuite/d10v-elf/t-sac.s
deleted file mode 100644 (file)
index 7042be0..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-test_sac_1:
-       loadacc2 a0 0x00 0xAFFF 0x0000
-       sac r4, a0
-       check 1 r4 0x7FFF
-       check 2 r5 0xFFFF
-
-test_sac_2:
-       loadacc2 a0 0xFF 0x7000 0x0000
-       sac r4, a0
-       check 3 r4 0x8000
-       check 4 r5 0x0000
-
-test_sac_3:
-       loadacc2 a0 0x00 0x1000 0xA000
-       sac r4, a0
-       check 5 r4 0x1000
-       check 6 r5 0xA000
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sachi.s b/sim/testsuite/d10v-elf/t-sachi.s
deleted file mode 100644 (file)
index 7774ee0..0000000
+++ /dev/null
@@ -1,22 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-test_sachi_1:
-       loadacc2 a0 0x00 0xAFFF 0x0000
-       sachi r4, a0
-       check 1 r4 0x7FFF
-
-
-test_sachi_2:
-       loadacc2 a0 0xFF 0x8000 0x1000
-       sachi r4, a0
-       check 2 r4 0x8000
-
-
-test_sachi_3:
-       loadacc2 a0 0x00 0x1000 0xA000
-       sachi r4, a0
-       check 3 r4 0x1000
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sadd.s b/sim/testsuite/d10v-elf/t-sadd.s
deleted file mode 100644 (file)
index f3e4ebe..0000000
+++ /dev/null
@@ -1,38 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       PSW_BITS = PSW_FX|PSW_ST|PSW_SM
-        loadpsw2 PSW_BITS
-
- ;; Test normal sadd
-
-        loadacc2 a0 0x00 0x7fff 0xffff
-        loadacc2 a1 0xff 0x8000 0x0000
-        sadd a1, a0
-        checkacc2 1 a0 0x00 0x7fff 0xffff
-        checkacc2 2 a1 0xff 0x8000 0x7fff
-
- ;; Test overflow 
-
-        loadacc2 a0 0x00 0x0000 0x0000
-        loadacc2 a1 0x01 0x8000 0x0000
-        sadd a1, a0
-        checkacc2 3 a0 0x00 0x0000 0x0000
-        checkacc2 4 a1 0x00 0x7fff 0xffff
-
-        loadacc2 a0 0x00 0xffff 0xffff
-        loadacc2 a1 0x00 0xffff 0xffff
-        sadd a1, a0
-        checkacc2 5 a1 0x00 0x7fff 0xffff
-        checkacc2 6 a0 0x00 0xffff 0xffff
-
- ;; Test underflow
-
-        loadacc2 a0 0x00 0x0000 0x0000
-        loadacc2 a1 0x80 0x8000 0x0000
-        sadd a1, a0
-        checkacc2 7 a0 0x00 0x0000 0x0000
-        checkacc2 8 a1 0xff 0x8000 0x0000
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-slae.s b/sim/testsuite/d10v-elf/t-slae.s
deleted file mode 100644 (file)
index 6d8422d..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-test_slae_1:
-       loadpsw2 PSW_ST|PSW_FX
-       loadacc2 a0 0x00 0x0AFF 0xF000
-       ldi r0, 4
-       slae a0, r0
-       checkacc2 1 a0 0x00 0x7FFF 0xFFFF
-
-test_slae_2:
-       loadpsw2 PSW_ST|PSW_FX
-       loadacc2 a0 0xFF 0xF700 0x1000
-       ldi r0, 4
-       slae a0, r0
-       checkacc2 2 a0 0xFF 0x8000 0x0000
-
-test_slae_3:
-       loadpsw2 PSW_ST|PSW_FX
-       loadacc2 a0 0x00 0x0010 0xA000
-       ldi r0, 4
-       slae a0, r0
-       checkacc2 3 a0 0x00 0x010A 0x0000
-
-test_slae_4:
-       loadpsw2 0
-       loadacc2 a0 0x00 0x0010 0xA000
-       ldi r0, 4
-       slae a0, r0
-       checkacc2 4 a0 0x00 0x010A 0x0000
-
-test_slae_5:
-       loadacc2 a0 0x00 0x0010 0xA000
-       ldi r0, -4
-       slae a0, r0
-       checkacc2 4 a0 0x00 0x0001 0x0A00
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sp.s b/sim/testsuite/d10v-elf/t-sp.s
deleted file mode 100644 (file)
index 84f9ad4..0000000
+++ /dev/null
@@ -1,17 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-;;; Read/Write values to SPU/SPI
-
-       loadpsw2 0
-       ldi sp, 0xdead
-       loadpsw2 PSW_SM
-       ldi sp, 0xbeef
-       
-       loadpsw2 0
-       check 1 sp 0xdead
-       loadpsw2 PSW_SM
-       check 2 sp 0xbeef
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sub.s b/sim/testsuite/d10v-elf/t-sub.s
deleted file mode 100644 (file)
index 26d0a3a..0000000
+++ /dev/null
@@ -1,42 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-;;  The d10v implements negated addition for subtraction
-
-       .macro check_sub s x y r c
-       ;; clear carry
-       ldi     r6,#0x8004
-       mvtc    r6,cr0
-       ;; subtract
-       ldi     r10,#\x
-       ldi     r11,#\y
-       sub     r10, r11
-       ;; verify result
-       ldi     r12, #\r
-       cmpeq   r10, r12
-       brf0t   1f
-       ldi     r6, 1
-       ldi     r2, #\s
-       trap    15
-1:
-       ;; verify carry
-       mvfc    r6, cr0
-       and3    r6, r6, #1
-       cmpeqi  r6, #\c
-       brf0t   1f
-       ldi     r6, 1
-       ldi     r2, #\s
-       trap    15
-1:
-       .endm
-
-check_sub 1 0x0000 0x0000  0x0000  1
-check_sub 2 0x0000 0x0001  0xffff  0
-check_sub 3 0x0001 0x0000  0x0001  1
-check_sub 4 0x0001 0x0001  0x0000  1
-check_sub 5 0x0000 0x8000  0x8000  0
-check_sub 6 0x8000 0x0001  0x7fff  1
-check_sub 7 0x7fff 0x7fff  0x0000  1
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-sub2w.s b/sim/testsuite/d10v-elf/t-sub2w.s
deleted file mode 100644 (file)
index 9f1bbb7..0000000
+++ /dev/null
@@ -1,57 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-;;  The d10v implements negated addition for subtraction
-
-       .macro check_sub2w s x y r c v
-       
-       ;; clear carry
-       ldi     r6,#0x8004
-       mvtc    r6,cr0
-       
-       ;; load opnds
-       ld2w    r6, @(1f,r0)
-       ld2w    r8, @(2f,r0)
-       .data
-1:     .long   \x
-2:     .long   \y
-       .text
-       
-       ;; subtract
-       SUB2W   r6, r8
-       
-       ;; verify result
-       ld2w    r10, @(1f,r0)
-       .data
-1:     .long   \r
-       .text
-       cmpeq   r6, r10
-       brf0f   2f
-       cmpeq   r7, r11
-       brf0t   3f
-2:     ldi     r4, 1
-       ldi     r0, \s
-       trap    15
-3:
-       
-       ;; verify carry
-       mvfc    r6, cr0
-       and3    r6, r6, #1
-       cmpeqi  r6, #\c
-       brf0t   1f
-       ldi     r4, 1
-       ldi     r0, \s
-       trap    15
-1:
-       .endm
-       
-check_sub2w 1 0x00000000 0x00000000  0x00000000 1 0
-check_sub2w 2 0x00000000 0x00000001  0xffffffff 0 0
-check_sub2w 3 0x00000001 0x00000000  0x00000001 1 0
-check_sub2w 3 0x00000001 0x00000001  0x00000000 1 0
-check_sub2w 5 0x00000000 0x80000000  0x80000000 0 1
-check_sub2w 6 0x80000000 0x00000001  0x7fffffff 1 1
-check_sub2w 7 0x7fffffff 0x7fffffff  0x00000000 1 0
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-subi.s b/sim/testsuite/d10v-elf/t-subi.s
deleted file mode 100644 (file)
index 81faad5..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-;;  The d10v implements negated addition for subtraction
-
-       .macro check_subi s x y r c v
-       ;; clear carry
-       ldi     r6,#0x8004
-       mvtc    r6,cr0
-       ;; subtract
-       ldi     r10,#\x
-       SUBI    r10,#\y
-       ;; verify result
-       ldi     r11, #\r
-       cmpeq   r10, r11
-       brf0t   1f
-       ldi     r6, 1
-       ldi     r2, \s
-       trap    15
-1:
-       ;; verify carry
-       mvfc    r6, cr0
-       and3    r6, r6, #1
-       cmpeqi  r6, #\c
-       brf0t   1f
-       ldi     r6, 1
-       ldi     r2, \s
-       trap    15
-1:
-       .endm
-
-       check_subi 1 0000  0x0000  0xfff0 00 ;;  0 - 0x10
-       check_subi 2 0x0000  0x0001  0xffff 0 0
-       check_subi 3 0x0001  0x0000  0xfff1 0 0
-       check_subi 4 0x0001  0x0001  0x0000 1 0
-       check_subi 5 0x8000  0x0001  0x7fff 1 1
-
-       exit0
diff --git a/sim/testsuite/d10v-elf/t-trap.s b/sim/testsuite/d10v-elf/t-trap.s
deleted file mode 100644 (file)
index 6ac4ae0..0000000
+++ /dev/null
@@ -1,5 +0,0 @@
-.include "t-macros.i"
-
-       start
-
-       exit47
diff --git a/sim/testsuite/sim/d10v/ChangeLog b/sim/testsuite/sim/d10v/ChangeLog
new file mode 100644 (file)
index 0000000..5ca8910
--- /dev/null
@@ -0,0 +1,144 @@
+2021-01-15  Mike Frysinger  <vapier@gentoo.org>
+
+       * allinsn.exp: New file.
+       * configure, configure.ac, loop.s, Makefile.in: Deleted.
+
+2020-10-06  Andrew Burgess  <andrew.burgess@embecosm.com>
+
+       * configure: Regnerate.
+       * configure.ac (AC_CONFIG_AUX_DIR): Update.
+
+2015-03-30  Mike Frysinger  <vapier@gentoo.org>
+
+       * Makefile.in (RUNFLAGS_FOR_TARGET): Set to --environment operating.
+
+2009-08-22  Ralf Wildenhues  <Ralf.Wildenhues@gmx.de>
+
+       * configure: Regenerate.
+
+2005-01-07  Andrew Cagney  <cagney@gnu.org>
+
+       * configure.ac: Rename configure.in, require autoconf 2.59.
+       * configure: Re-generate.
+
+Tue Apr 18 16:32:07 2000  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-rie-xx.s (test_rie_xx): New test.
+       * Makefile.in (TESTS): Update.
+
+Tue Feb 22 17:36:34 2000  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * Makefile.in: Force d10v into operating mode.
+
+Mon Jan  3 00:17:28 2000  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-ae-ld-d.s, t-ae-ld-i.s, t-ae-ld-id.s, t-ae-ld-im.s ,
+       t-ae-ld-ip.s, t-ae-ld2w-d.s, t-ae-ld2w-i.s, t-ae-ld2w-id.s ,
+       t-ae-ld2w-im.s, t-ae-ld2w-ip.s, t-ae-st-d.s, t-ae-st-i.s ,
+       t-ae-st-id.s, t-ae-st-im.s, t-ae-st-ip.s, t-ae-st-is.s ,
+       t-ae-st2w-d.s, t-ae-st2w-i.s, t-ae-st2w-id.s, t-ae-st2w-im.s ,
+       t-ae-st2w-ip.s, t-ae-st2w-is.s: New tests.  Check that an address
+       exception occures when a word/two-word load/store is not word
+       aligned.
+       * Makefile.in (TESTS): Update.
+
+Fri Oct 29 18:36:34 1999  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-mvtc.s: Check that the user can not modify the DM bit in the
+       BPSW or DPSW.
+
+Thu Oct 28 01:47:26 1999  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-mvtc.s: Update. Check that user can not modify DM bit.
+
+Wed Sep  8 19:34:55 MDT 1999   Diego Novillo <dnovillo@cygnus.com>
+
+       * t-ld-st.s: New file.
+       * t-sac.s: New file.
+       * t-sachi.s: New file.
+       * t-slae.s: New file.
+
+1999-01-13  Jason Molenda  (jsm@bugshack.cygnus.com)
+
+       * t-sadd.s: New file.
+       * Makefile.in (TESTS): Add t-sadd.
+
+Mon Feb 16 09:20:57 1998  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-macros.i (VEC_*): Define.
+       (DMAP_REG, DMAP_BASE, DMAP_MASK): Define.
+       (IMAP[01]_REG): Define.
+
+       * t-rdt.s (test_tdt): New file.
+
+       * t-dbt.s (test_dbt): New file.
+
+       * Makefile.in (TESTS): Add t-rdt and t-dbt.
+
+
+Fri Feb 13 16:21:13 1998  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-sp.s: New test.
+       * Makefile.in (TESTS): Update.
+
+Wed Feb 11 17:58:50 1998  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-macros.i: Update trap calls, func in r4, args in
+       r0...
+       (start): Force r0 to zero.
+
+       * t-sub2w.s: Ditto.
+
+Tue Dec  9 10:41:44 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-rte.s (success): New file.
+       * Makefile.in: Update.
+
+       * t-rep.s: Check rep repeats correct number of times.
+
+Fri Dec  5 10:11:18 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-mvtc.s: Check for stuck-zero in MOD_E, MOD_S.
+
+       * t-trap.s: New file.
+       * Makefile.in (TESTS): Update.
+
+Thu Dec  4 16:56:55 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-macros.i: Add definitions for PSW bits.
+
+       * t-mvtc.s: New file.
+       * Makefile.in (TESTS): Update.
+
+Wed Dec  3 16:35:24 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-rac.s: New files.
+
+       * t-macros.i: Add macros for checking psw and 2w quantities.
+
+       * Makefile.in (TESTS): Update.
+
+Tue Dec  2 11:01:36 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-sub2w.s, t-mulxu.s, t-mac.s, t-mvtac.s, t-msbu.s, t-sub.s: New
+       files.
+
+       * Makefile.in: Update.
+
+Mon Nov 17 20:14:48 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-subi.s (test_subi): New file.
+       * Makefile.in: Update.
+
+Fri Nov 14 14:06:06 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-rep.s: New file. Test case of branch to RPT_E address.
+
+Mon Nov 10 19:21:26 1997  Andrew Cagney  <cagney@b1.cygnus.com>
+
+       * t-macros.i (_start): New file.
+       * t-rachi.s: New file.
+
+       * Makefile.in (RUN_FOR_TARGET): Look for simulator in d10v
+       directory.
+
diff --git a/sim/testsuite/sim/d10v/allinsn.exp b/sim/testsuite/sim/d10v/allinsn.exp
new file mode 100644 (file)
index 0000000..123509a
--- /dev/null
@@ -0,0 +1,17 @@
+# d10v simulator testsuite.
+
+if [istarget d10v*-*] {
+    # load support procs (none yet)
+    # load_lib cgen.exp
+    # all machines
+    set all_machs "d10v"
+
+    foreach src [lsort [glob -nocomplain $srcdir/$subdir/*.s]] {
+       # If we're only testing specific files and this isn't one of them,
+       # skip it.
+       if ![runtest_file_p $runtests $src] {
+           continue
+       }
+       run_sim_test $src $all_machs
+    }
+}
diff --git a/sim/testsuite/sim/d10v/exit47.s b/sim/testsuite/sim/d10v/exit47.s
new file mode 100644 (file)
index 0000000..8f2a6ee
--- /dev/null
@@ -0,0 +1,8 @@
+# mach: all
+# status: 47
+# output:
+
+.include "t-macros.i"
+
+       start
+       exit47
diff --git a/sim/testsuite/sim/d10v/hello.s b/sim/testsuite/sim/d10v/hello.s
new file mode 100644 (file)
index 0000000..3e3557d
--- /dev/null
@@ -0,0 +1,8 @@
+# mach: all
+# output: Hello World!\n
+
+       .include "t-macros.i"
+
+       start
+       hello
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-ae-ld-d.s b/sim/testsuite/sim/d10v/t-ae-ld-d.s
new file mode 100644 (file)
index 0000000..511fbb0
--- /dev/null
@@ -0,0 +1,17 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
+
+       ld r8,@0x4000
+test_ld:
+       ld r8,@0x4001
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld-i.s b/sim/testsuite/sim/d10v/t-ae-ld-i.s
new file mode 100644 (file)
index 0000000..b9d10d1
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
+
+       ldi r10, #0x4000
+       ld r8, @r10
+
+       ldi r10, #0x4001
+test_ld:
+       ld r8,@r10
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld-id.s b/sim/testsuite/sim/d10v/t-ae-ld-id.s
new file mode 100644 (file)
index 0000000..ed86525
--- /dev/null
@@ -0,0 +1,19 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
+
+       ldi r10, #0x4001
+       ld r8, @(1,r10)
+
+test_ld:
+       ld r8,@(2,r10)
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld-im.s b/sim/testsuite/sim/d10v/t-ae-ld-im.s
new file mode 100644 (file)
index 0000000..42f8716
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
+
+       ldi r10, #0x4000
+       ld r8, @r10-
+
+       ldi r10, #0x4001
+test_ld:
+       ld r8,@r10-
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld-ip.s b/sim/testsuite/sim/d10v/t-ae-ld-ip.s
new file mode 100644 (file)
index 0000000..c163912
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld
+
+       ldi r10, #0x4000
+       ld r8, @r10+
+
+       ldi r10, #0x4001
+test_ld:
+       ld r8,@r10+
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld2w-d.s b/sim/testsuite/sim/d10v/t-ae-ld2w-d.s
new file mode 100644 (file)
index 0000000..1c81594
--- /dev/null
@@ -0,0 +1,17 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
+
+       ld2w r8,@0x4000
+test_ld2w:
+       ld2w r8,@0x4001
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld2w-i.s b/sim/testsuite/sim/d10v/t-ae-ld2w-i.s
new file mode 100644 (file)
index 0000000..9547870
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
+
+       ldi r10, #0x4000
+       ld2w r8, @r10
+
+       ldi r10, #0x4001
+test_ld2w:
+       ld2w r8,@r10
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld2w-id.s b/sim/testsuite/sim/d10v/t-ae-ld2w-id.s
new file mode 100644 (file)
index 0000000..2766388
--- /dev/null
@@ -0,0 +1,18 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
+
+       ldi r10, #0x4001
+       ld2w r8,@(1,r10)
+test_ld2w:
+       ld2w r8,@(2,r10)
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld2w-im.s b/sim/testsuite/sim/d10v/t-ae-ld2w-im.s
new file mode 100644 (file)
index 0000000..c6946f3
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
+
+       ldi r10, #0x4000
+       ld2w r8, @r10-
+
+       ldi r10, #0x4001
+test_ld2w:
+       ld2w r8,@r10-
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-ld2w-ip.s b/sim/testsuite/sim/d10v/t-ae-ld2w-ip.s
new file mode 100644 (file)
index 0000000..6214853
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_ld2w
+
+       ldi r10, #0x4000
+       ld2w r8, @r10+
+
+       ldi r10, #0x4001
+test_ld2w:
+       ld2w r8,@r10+
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-d.s b/sim/testsuite/sim/d10v/t-ae-st-d.s
new file mode 100644 (file)
index 0000000..99bd724
--- /dev/null
@@ -0,0 +1,17 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       st r8,@0x4000
+test_st:
+       st r8,@0x4001
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-i.s b/sim/testsuite/sim/d10v/t-ae-st-i.s
new file mode 100644 (file)
index 0000000..5f0f9b4
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       ldi r10,#0x4000
+       st r8, @r10
+
+       ldi r10,#0x4001
+test_st:
+       st r8,@r10
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-id.s b/sim/testsuite/sim/d10v/t-ae-st-id.s
new file mode 100644 (file)
index 0000000..9620fce
--- /dev/null
@@ -0,0 +1,18 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       ldi r10,#0x4001
+       st r8, @(1,r10)
+test_st:
+       st r8,@(2,r10)
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-im.s b/sim/testsuite/sim/d10v/t-ae-st-im.s
new file mode 100644 (file)
index 0000000..0318243
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       ldi r10,#0x4000
+       st r8, @r10-
+
+       ldi r10,#0x4001
+test_st:
+       st r8,@r10-
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-ip.s b/sim/testsuite/sim/d10v/t-ae-st-ip.s
new file mode 100644 (file)
index 0000000..78d9a1d
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       ldi r10,#0x4000
+       st r8, @r10+
+
+       ldi r10,#0x4001
+test_st:
+       st r8,@r10+
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st-is.s b/sim/testsuite/sim/d10v/t-ae-st-is.s
new file mode 100644 (file)
index 0000000..08e1d7e
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st
+
+       ldi sp,#0x4000
+       st r8, @-SP
+
+       ldi sp,#0x4001
+test_st:
+       st r8,@-SP
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-d.s b/sim/testsuite/sim/d10v/t-ae-st2w-d.s
new file mode 100644 (file)
index 0000000..6f07a99
--- /dev/null
@@ -0,0 +1,17 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       st2w r8,@0x4000
+test_st2w:
+       st2w r8,@0x4001
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-i.s b/sim/testsuite/sim/d10v/t-ae-st2w-i.s
new file mode 100644 (file)
index 0000000..a629b75
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       ldi r10, #0x4000
+       st2w r8, @r10
+
+       ldi r10, #0x4001
+test_st2w:
+       st2w r8,@r10
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-id.s b/sim/testsuite/sim/d10v/t-ae-st2w-id.s
new file mode 100644 (file)
index 0000000..91f2319
--- /dev/null
@@ -0,0 +1,18 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       ldi r10, #0x4001
+       st2w r8, @(1,r10)
+test_st2w:
+       st2w r8,@(2,r10)
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-im.s b/sim/testsuite/sim/d10v/t-ae-st2w-im.s
new file mode 100644 (file)
index 0000000..f8cc7fb
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       ldi r10, #0x4000
+       st2w r8, @r10-
+
+       ldi r10, #0x4001
+test_st2w:
+       st2w r8,@r10-
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-ip.s b/sim/testsuite/sim/d10v/t-ae-st2w-ip.s
new file mode 100644 (file)
index 0000000..63c5abd
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       ldi r10, #0x4000
+       st2w r8, @r10+
+
+       ldi r10, #0x4001
+test_st2w:
+       st2w r8,@r10+
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-ae-st2w-is.s b/sim/testsuite/sim/d10v/t-ae-st2w-is.s
new file mode 100644 (file)
index 0000000..190ab42
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_AE&DMAP_MASK)+DMAP_BASE PSW_BITS test_st2w
+
+       ldi sp, #0x4004
+       st2w r8, @-SP
+
+       ldi sp, #0x4005
+test_st2w:
+       st2w r8,@-SP
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-dbt.s b/sim/testsuite/sim/d10v/t-dbt.s
new file mode 100644 (file)
index 0000000..9b405b0
--- /dev/null
@@ -0,0 +1,38 @@
+# mach: all
+# output:
+# sim: --environment operating
+# as: -W
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = PSW_DM
+
+;;; Blat our DMAP registers so that they point at on-chip imem
+
+       ldi r2, MAP_INSN | 0xf
+       st r2, @(DMAP_REG,r0)
+       ldi r2, MAP_INSN
+       st r2, @(IMAP1_REG,r0)
+
+;;; Patch the interrupt vector's dbt entry with a jmp to success
+
+       ldi r4, #trap
+       ldi r5, (VEC_DBT & DMAP_MASK) + DMAP_BASE
+       ld2w r2, @(0,r4)
+       st2w r2, @(0,r5)
+       ld2w r2, @(4,r4)
+       st2w r2, @(4,r5)
+
+test_dbt:
+       dbt -> nop
+       exit47
+
+success:
+       checkpsw2 1 PSW_BITS
+       exit0
+
+       .data
+trap:  ldi r1, success@word
+       jmp r1
diff --git a/sim/testsuite/sim/d10v/t-ld-st.s b/sim/testsuite/sim/d10v/t-ld-st.s
new file mode 100644 (file)
index 0000000..4ae4f85
--- /dev/null
@@ -0,0 +1,36 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ; Test ld and st
+       ld r4, @foo
+       check 1 r4 0xdead
+
+       ldi r4, #0x2152
+       st r4, @foo
+       ld r4, @foo
+       check 2 r4 0x2152
+
+       ; Test ld2w and st2w
+       ldi r4, #0xdead
+       st r4, @foo
+       ld2w r4, @foo
+       check2w2 3 r4 0xdead 0xf000
+
+       ldi r4, #0x2112
+       ldi r5, #0x1984
+       st2w r4, @foo
+       ld2w r4, @foo
+       check2w2 4 r4 0x2112 0x1984
+
+       .data
+       .align 2
+foo:   .short 0xdead
+bar:   .short 0xf000
+       .text
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-mac.s b/sim/testsuite/sim/d10v/t-mac.s
new file mode 100644 (file)
index 0000000..1b6e660
--- /dev/null
@@ -0,0 +1,75 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ;; clear FX
+       loadpsw2 0x8005
+       loadacc2 a1 0x7f 0xffff 0xffff
+       load r8 0xffff
+       load r9 0x8001
+test_macu1:
+       MACU a1, r9, r8
+       checkacc2 1 a1 0x80 0x8000 0x7FFE
+
+       ;; set FX
+       loadpsw2 0x8085
+       loadacc2 a1 0x7f 0xffff 0xffff
+       load r8 0xffff
+       load r9 0x8001
+test_macu2:
+       MACU a1, r9, r8
+       checkacc2 2 a1 0x81 0x0000 0xfffd
+
+
+
+
+       ;; clear FX
+       ldi r2, #0x8005
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, #0xffff
+       ldi r9, #0x7FFF
+test_macsu1:
+       MACSU a1, r9, r8
+       checkacc2 3 a1 0x80 0x7FFE 0x8000
+
+       ;; set FX
+       ldi r2, #0x8085
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, #0xffff
+       ldi r9, #0x7FFF
+test_macsu2:
+       MACSU a1, r9, r8
+       checkacc2 4 a1 0x80 0xfffd 0x0001
+
+       ;; clear FX
+       ldi r2, #0x8005
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, 0xffff
+       ldi r9, 0x8001
+test_macsu3:
+       MACSU a1, r9, r8
+       checkacc2 5 a1 0x7F 0x8001 0x7FFE
+
+       ;; set FX
+       ldi r2, #0x8085
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, #0xffff
+       ldi r9, #0x8001
+test_macsu4:
+       MACSU a1, r9, r8
+       checkacc2 6 a1 0x7f 0x0002 0xFFFD
+
+       exit0
+
diff --git a/sim/testsuite/sim/d10v/t-macros.i b/sim/testsuite/sim/d10v/t-macros.i
new file mode 100644 (file)
index 0000000..d6e155c
--- /dev/null
@@ -0,0 +1,235 @@
+# mach: d10v
+# output:
+# sim: --environment operating
+
+       .macro start
+       .text
+       .align 2
+       .globl _start
+_start:
+       ldi r0, 0
+       .endm
+
+
+       .macro exit47
+       ldi r4, 1
+       ldi r0, 47
+       trap 15
+       .endm
+
+
+       .macro exit0
+       ldi r4, 1
+       ldi r0, 0
+       trap 15
+       .endm
+
+
+       .macro exit1
+       ldi r4, 1
+       ldi r0, 1
+       trap 15
+       .endm
+
+
+       .macro exit2
+       ldi r4, 1
+       ldi r0, 2
+       trap 15
+       .endm
+
+
+       .macro load reg val
+       ldi \reg, #\val
+       .endm
+
+
+       .macro load2w reg hi lo
+       ld2w \reg, @(1f,r0)
+       .data
+       .align 2
+1:     .short \hi
+       .short \lo
+       .text
+       .endm
+
+
+       .macro check exit reg val
+       cmpeqi  \reg, #\val
+       brf0t 1f
+0:     ldi r4, 1
+       ldi r0, \exit
+       trap 15
+1:
+       .endm
+
+
+       .macro check2w2 exit reg hi lo
+       st2w    \reg, @(1f,r0)
+       ld      r2, @(1f, r0)
+       cmpeqi  r2, #\hi
+       brf0f   0f
+       ld      r2, @(1f + 2, r0)
+       cmpeqi  r2, #\lo
+       brf0f   0f
+       bra     2f
+0:     ldi r4, 1
+       ldi r0, \exit
+       trap 15
+       .data
+       .align 2
+1:     .long 0
+       .text
+2:
+       .endm
+
+
+       .macro loadacc2 acc guard hi lo
+       ldi     r2, #\lo
+       mvtaclo r2, \acc
+       ldi     r2, #\hi
+       mvtachi r2, \acc
+       ldi     r2, #\guard
+       mvtacg  r2, \acc
+       .endm
+
+
+       .macro checkacc2 exit acc guard hi lo
+       ldi     r2, #\guard
+       mvfacg  r3, \acc
+       cmpeq   r2, r3
+       brf0f   0f
+       ldi     r2, #\hi
+       mvfachi r3, \acc
+       cmpeq   r2, r3
+       brf0f   0f
+       ldi     r2, #\lo
+       mvfaclo r3, \acc
+       cmpeq   r2, r3
+       brf0f   0f
+       bra     4f
+0:     ldi r4, 1
+       ldi r0, \exit
+       trap 15
+4:
+       .endm
+
+
+       .macro loadpsw2 val
+       ldi r2, #\val
+       mvtc    r2, cr0
+       .endm
+
+
+       .macro checkpsw2 exit val
+       mvfc    r2, cr0
+       cmpeqi  r2, #\val
+       brf0t   1f
+       ldi r4, 1
+       ldi r0, \exit
+       trap 15
+1:
+       .endm
+
+
+       .macro hello
+       ;; 4:write (1, string, strlen (string))
+       ldi r4, 4
+       ldi r0, 1
+       ldi r1, 1f
+       ldi r2, 2f-1f-1
+       trap 15
+       .section        .rodata
+1:     .string "Hello World!\n"
+2:     .align 2
+       .text
+       .endm
+
+
+;;; Blat our DMAP registers so that they point at on-chip imem
+       .macro point_dmap_at_imem
+       .text
+       ldi r2, MAP_INSN | 0xf
+       st r2, @(DMAP_REG,r0)
+       ldi r2, MAP_INSN
+       st r2, @(IMAP1_REG,r0)
+       .endm
+
+;;; Patch VEC so that it jumps back to code that checks PSW
+;;; and then exits with success.
+       .macro check_interrupt vec psw src
+;;; Patch the interrupt vector's AE entry with a jmp to success
+       .text
+       ldi r4, #1f
+       ldi r5, \vec
+       ;;
+       ld2w r2, @(0,r4)
+       st2w r2, @(0,r5)
+       ld2w r2, @(4,r4)
+       st2w r2, @(4,r5)
+       ;;
+       bra 9f
+       nop
+;;; Code that gets patched into the interrupt vector
+       .data
+1:     ldi r1, 2f@word
+       jmp r1
+;;; Successfull trap jumps back to here
+       .text
+;;; Verify the PSW
+2:     mvfc    r2, cr0
+       cmpeqi  r2, #\psw
+       brf0t   3f
+       nop
+       exit1
+;;; Verify the original addr
+3:     mvfc    r2, bpc
+       cmpeqi  r2, #\src@word
+       brf0t   4f
+       exit2
+4:     exit0
+;;; continue as normal
+9:
+       .endm
+
+
+       PSW_SM = 0x8000
+       PSW_01 = 0x4000
+       PSW_EA = 0x2000
+       PSW_DB = 0x1000
+       PSW_DM = 0x0800
+       PSW_IE = 0x0400
+       PSW_RP = 0x0200
+       PSW_MD = 0x0100
+       PSW_FX = 0x0080
+       PSW_ST = 0x0040
+       PSW_10 = 0x0020
+       PSW_11 = 0x0010
+       PSW_F0 = 0x0008
+       PSW_F1 = 0x0004
+       PSW_14 = 0x0002
+       PSW_C  = 0x0001
+
+
+;;;
+
+       DMAP_MASK = 0x3fff
+       DMAP_BASE = 0x8000
+       DMAP_REG = 0xff04
+
+       IMAP0_REG = 0xff00
+       IMAP1_REG = 0xff02
+
+       MAP_INSN = 0x1000
+
+;;;
+
+       VEC_RI   = 0x3ff00
+       VEC_BAE  = 0x3ff04
+       VEC_RIE  = 0x3ff08
+       VEC_AE   = 0x3ff0c
+       VEC_TRAP = 0x3ff10
+       VEC_DBT  = 0x3ff50
+       VEC_SDBT = 0x3fff4
+       VEC_DBI  = 0x3ff58
+       VEC_EI   = 0x3ff5c
diff --git a/sim/testsuite/sim/d10v/t-mod-ld-pre.s b/sim/testsuite/sim/d10v/t-mod-ld-pre.s
new file mode 100644 (file)
index 0000000..7d75af2
--- /dev/null
@@ -0,0 +1,126 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+    start
+
+    mvfc        r0, PSW             ||  ldi.s       r14, #0
+    ldi.l       r2, 0x100               ; MOD_E
+    ldi.l       r3, 0x108               ; MOD_S
+
+test_mod_dec_ld:
+    mvtc        r2, MOD_E           ||  bseti       r0, #7
+    mvtc        r3, MOD_S
+    mvtc        r0, PSW                 ; modulo mode enable
+    mv          r1,r3                           ; r1=0x108
+    ld          r4, @r1-        ||      nop     ; r1=0x106
+    ld          r4, @r1-        ||      nop     ; r1=0x104
+    ld          r4, @r1-        ||      nop     ; r1=0x102
+    ld          r4, @r1-        ||      nop     ; r1=0x100
+    ld          r4, @r1-        ||      nop     ; r1=0x108
+    ld          r4, @r1-        ||      nop     ; r1=0x106
+
+    cmpeqi      r1,#0x106
+    brf0f       _ERR            ;  branch to error
+
+test_mod_inc_ld:
+    mvtc        r2, MOD_S
+    mvtc        r3, MOD_E
+    mv          r1,r2                           ; r1=0x100
+    ld          r4, @r1+        ||      nop     ; r1=0x102
+    ld          r4, @r1+        ||      nop     ; r1=0x104
+    ld          r4, @r1+        ||      nop     ; r1=0x106
+    ld          r4, @r1+        ||      nop     ; r1=0x108
+    ld          r4, @r1+        ||      nop     ; r1=0x100
+    ld          r4, @r1+        ||      nop     ; r1=0x102
+
+    cmpeqi      r1,#0x102
+    brf0f       _ERR
+
+test_mod_dec_ld2w:
+    mvtc        r2, MOD_E
+    mvtc        r3, MOD_S
+    mv          r1,r3                           ; r1=0x108
+    ld2W        r4, @r1-        ||      nop     ; r1=0x104
+    ld2W        r4, @r1-        ||      nop     ; r1=0x100
+    ld2W        r4, @r1-        ||      nop     ; r1=0x108
+    ld2W        r4, @r1-        ||      nop     ; r1=0x104
+
+    cmpeqi      r1,#0x104
+    brf0f       _ERR            ; <= branch to error
+
+test_mod_inc_ld2w:
+    mvtc        r2, MOD_S
+    mvtc        r3, MOD_E           ||  BCLRI       r0, #7
+    mv          r1,r2                           ; r1=0x100
+    ld2W        r4, @r1+        ||      nop     ; r1=0x104
+    ld2W        r4, @r1+        ||      nop     ; r1=0x108
+    ld2W        r4, @r1+        ||      nop     ; r1=0x100
+    ld2W        r4, @r1+        ||      nop     ; r1=0x104
+
+    cmpeqi      r1,#0x104
+    brf0f       _ERR
+
+test_mod_dec_ld_dis:
+    mvtc        r0, PSW                 ; modulo mode disable
+    mvtc        r2, MOD_E
+    mvtc        r3, MOD_S
+    mv          r1,r3                           ; r1=0x108
+    ld          r4, @r1-        ||      nop     ; r1=0x106
+    ld          r4, @r1-        ||      nop     ; r1=0x104
+    ld          r4, @r1-        ||      nop     ; r1=0x102
+    ld          r4, @r1-        ||      nop     ; r1=0x100
+    ld          r4, @r1-        ||      nop     ; r1=0xFE
+    ld          r4, @r1-        ||      nop     ; r1=0xFC
+
+    cmpeqi      r1,#0xFC
+    brf0f       _ERR
+
+test_mod_inc_ld_dis:
+    mvtc        r2, MOD_S
+    mvtc        r3, MOD_E
+    mv          r1,r2                           ; r1=0x100
+    ld          r4, @r1+        ||      nop     ; r1=0x102
+    ld          r4, @r1+        ||      nop     ; r1=0x104
+    ld          r4, @r1+        ||      nop     ; r1=0x106
+    ld          r4, @r1+        ||      nop     ; r1=0x108
+    ld          r4, @r1+        ||      nop     ; r1=0x10A
+    ld          r4, @r1+        ||      nop     ; r1=0x10C
+
+    cmpeqi      r1,#0x10C
+    brf0f       _ERR
+
+test_mod_dec_ld2w_dis:
+    mvtc        r2, MOD_E
+    mvtc        r3, MOD_S
+    mv          r1,r3                           ; r1=0x108
+    ld2W        r4, @r1-        ||      nop     ; r1=0x104
+    ld2W        r4, @r1-        ||      nop     ; r1=0x100
+    ld2W        r4, @r1-        ||      nop     ; r1=0xFC
+    ld2W        r4, @r1-        ||      nop     ; r1=0xF8
+
+    cmpeqi      r1,#0xF8
+    brf0f       _ERR
+
+ test_mod_inc_ld2w_dis:
+    mvtc        r2, MOD_S
+    mvtc        r3, MOD_E
+    mv          r1,r2                           ; r1=0x100
+    ld2W        r4, @r1+        ||      nop     ; r1=0x104
+    ld2W        r4, @r1+        ||      nop     ; r1=0x108
+    ld2W        r4, @r1+        ||      nop     ; r1=0x10C
+    ld2W        r4, @r1+        ||      nop     ; r1=0x110
+
+    cmpeqi      r1,#0x110
+    brf0f       _ERR
+
+_OK:
+       exit0
+
+_ERR:
+       exit47
+
+
+
diff --git a/sim/testsuite/sim/d10v/t-msbu.s b/sim/testsuite/sim/d10v/t-msbu.s
new file mode 100644 (file)
index 0000000..93b65a5
--- /dev/null
@@ -0,0 +1,32 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ;; clear FX
+       ldi r2, #0x8005
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, 0xffff
+       ldi r9, 0x8001
+test_msbu1:
+       MSBU a1, r9, r8
+       checkacc2 1 a1 0X7F 0x7FFF 0x8000
+
+
+       ;; set FX
+       ldi r2, #0x8085
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, 0xffff
+       ldi r9, 0x8001
+test_msbu2:
+       MSBU a1, r9, r8
+       checkacc2 2 a1 0X7E 0xFFFF 0x0001
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-mulxu.s b/sim/testsuite/sim/d10v/t-mulxu.s
new file mode 100644 (file)
index 0000000..b0c14b6
--- /dev/null
@@ -0,0 +1,32 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ;; clear FX
+       ldi r2, #0x8005
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, 0xffff
+       ldi r9, 0x8001
+test_mulxu1:
+       MULXU a1, r9, r8
+       checkacc2 1 a1 0x00 0x8000 0x7FFF
+
+
+       ;; set FX
+       ldi r2, #0x8085
+       mvtc r2, cr0
+
+       loadacc2 a1 0x7f 0xffff 0xffff
+       ldi r8, 0xffff
+       ldi r9, 0x8001
+test_mulxu2:
+       MULXU a1, r9, r8
+       checkacc2 2 a1 0x01 0x0000 0xFFFE
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-mvtac.s b/sim/testsuite/sim/d10v/t-mvtac.s
new file mode 100644 (file)
index 0000000..dc73403
--- /dev/null
@@ -0,0 +1,23 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ldi r8, 0xbeef
+       mvtaclo r8, a0
+       checkacc2 1 a0 0xff 0xffff 0xbeef
+
+       mvtacg  r0, a0
+       checkacc2 2 a0 0x00 0xffff 0xbeef
+
+       ldi r8, 0xdead
+       mvtachi r8, a0
+       checkacc2 3 a0 0xff 0xdead 0xbeef
+
+       loadacc2 a1 0xfe 0xbeef 0xdead
+       checkacc2 4 a1 0xfe 0xbeef 0xdead
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-mvtc.s b/sim/testsuite/sim/d10v/t-mvtc.s
new file mode 100644 (file)
index 0000000..0b463ae
--- /dev/null
@@ -0,0 +1,134 @@
+# mach: all
+# output:
+# sim: --environment operating
+# as: -W
+
+.include "t-macros.i"
+
+       start
+
+;;; Try out each bit in the PSW
+
+       loadpsw2 PSW_SM
+       checkpsw2 1 PSW_SM
+
+       loadpsw2 PSW_01
+       checkpsw2 2 0 ;; PSW_01
+
+       loadpsw2 PSW_EA
+       checkpsw2 3 PSW_EA
+
+       loadpsw2 PSW_DB
+       checkpsw2 4 PSW_DB
+
+       loadpsw2 PSW_DM
+       checkpsw2 5 0 ;; PSW_DM
+
+       loadpsw2 PSW_IE
+       checkpsw2 6 PSW_IE
+
+       loadpsw2 PSW_RP
+       checkpsw2 7 PSW_RP
+
+       loadpsw2 PSW_MD
+       checkpsw2 8 PSW_MD
+
+       loadpsw2 PSW_FX|PSW_ST
+       checkpsw2 9 PSW_FX|PSW_ST
+
+       ;; loadpsw2 PSW_ST
+       ;; checkpsw2 10
+
+       loadpsw2 PSW_10
+       checkpsw2 11 0 ;; PSW_10
+
+       loadpsw2 PSW_11
+       checkpsw2 12 0 ;; PSW_11
+
+       loadpsw2 PSW_F0
+       checkpsw2 13 PSW_F0
+
+       loadpsw2 PSW_F1
+       checkpsw2 14 PSW_F1
+
+       loadpsw2 PSW_14
+       checkpsw2 15 0 ;; PSW_14
+
+       loadpsw2 PSW_C
+       checkpsw2 16 PSW_C
+
+
+;;; Check that bit 0 (LSB) of the MOD_E & MOD_S registers are stuck at ZERO.
+
+       ldi     r6, #0xdead
+       mvtc    r6, cr10
+       ldi     r6, #0xbeef
+       mvtc    r6, cr11
+
+       mvfc    r7, cr10
+       check 17 r7 0xdeac
+       mvfc    r7, cr11
+       check 18 r7 0xbeee
+
+;;; Check that certain bits of the PSW, DPSW and BPSW are hardwired to zero
+
+psw_ffff:
+       ldi     r6, 0xffff
+       mvtc    r6, psw
+       mvfc    r7, psw
+       check 18 r7 0xb7cd
+
+bpsw_ffff:
+       ldi     r6, 0xffff
+       mvtc    r6, bpsw
+       mvfc    r7, bpsw
+       check 18 r7 0xb7cd
+
+dpsw_ffff:
+       ldi     r6, 0xffff
+       mvtc    r6, dpsw
+       mvfc    r7, dpsw
+       check 18 r7 0xb7cd
+
+;;; Another check. Very similar
+
+psw_dfff:
+       ldi     r6, 0xdfff
+       mvtc    r6, psw
+       mvfc    r7, psw
+       check 18 r7 0x97cd
+
+bpsw_dfff:
+       ldi     r6, 0xdfff
+       mvtc    r6, bpsw
+       mvfc    r7, bpsw
+       check 18 r7 0x97cd
+
+dpsw_dfff:
+       ldi     r6, 0xdfff
+       mvtc    r6, dpsw
+       mvfc    r7, dpsw
+       check 18 r7 0x97cd
+
+;;; And again.
+
+psw_8005:
+       ldi     r6, 0x8005
+       mvtc    r6, psw
+       mvfc    r7, psw
+       check 18 r7 0x8005
+
+bpsw_8005:
+       ldi     r6, 0x8005
+       mvtc    r6, bpsw
+       mvfc    r7, bpsw
+       check 18 r7 0x8005
+
+dpsw_8005:
+       ldi     r6, 0x8005
+       mvtc    r6, dpsw
+       mvfc    r7, dpsw
+       check 18 r7 0x8005
+
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-rac.s b/sim/testsuite/sim/d10v/t-rac.s
new file mode 100644 (file)
index 0000000..a452299
--- /dev/null
@@ -0,0 +1,20 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       ;; clear FX
+       loadpsw2 0x8004
+       loadacc2 a0 0x80 0x0000 0x0000
+       loadacc2 a1 0x00 0x0000 0x5000
+       load r10 0x0123
+       load r11 0x4567
+test_rac1:
+       RAC     r10, a0, #-2
+       checkpsw2 1 0x8008
+       check2w2 2 r10 0x8000 0x0000
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-rachi.s b/sim/testsuite/sim/d10v/t-rachi.s
new file mode 100644 (file)
index 0000000..57589b5
--- /dev/null
@@ -0,0 +1,32 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       loadacc2 a0 0x00 0x7FFF 0x8000
+test_rachi_1:
+       rachi r4, a0, 0
+       check 1 r4 0x7FFF
+
+
+       loadacc2 a0 0xFF 0x8000 0x1000
+test_rachi_2:
+       rachi r4, a0, 0
+       check 2 r4 0x8000
+
+
+       loadacc2 a0 0x00 0x1000 0xA000
+test_rachi_3:
+       rachi r4, a0, 0
+       check 3 r4 0x1001
+
+
+       loadacc2 a0 0xFF 0xA000 0x7FFF
+test_rachi_4:
+       rachi r4, a0, 0
+       check 4 r4 0xa000
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-rdt.s b/sim/testsuite/sim/d10v/t-rdt.s
new file mode 100644 (file)
index 0000000..947da86
--- /dev/null
@@ -0,0 +1,23 @@
+# mach: all
+# output:
+# sim: --environment operating
+# as: -W
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = PSW_C|PSW_F0|PSW_F1
+
+       ldi     r6, #success@word
+       mvtc    r6, dpc
+       ldi     r6, #PSW_BITS
+       mvtc    r6, dpsw
+
+test_rdt:
+       RTD
+       exit47
+
+success:
+       checkpsw2 1 PSW_BITS
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-rep.s b/sim/testsuite/sim/d10v/t-rep.s
new file mode 100644 (file)
index 0000000..433aff1
--- /dev/null
@@ -0,0 +1,49 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+
+
+       ;; Check that the instruction @REP_E is executed when it
+       ;; is reached using a branch instruction
+
+       ldi r2, 1
+test_rep_1:
+       rep     r2, end_rep_1
+       nop || nop
+       nop || nop
+       nop || nop
+       nop || nop
+       ldi     r3, 46
+       bra     end_rep_1
+       ldi     r3, 42
+end_rep_1:
+       addi    r3, 1
+
+       check 1 r3 47
+
+
+       ;; Check that the loop is executed the correct number of times
+
+       ldi     r2, 10
+       ldi     r3, 0
+       ldi     r4, 0
+test_rep_2:
+       rep     r2, end_rep_2
+       nop || nop
+       nop || nop
+       nop || nop
+       nop || nop
+       nop || nop
+       addi    r3, 1
+end_rep_2:
+       addi    r4, 1
+
+       check 2 r3 10
+       check 3 r4 10
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-rie-xx.s b/sim/testsuite/sim/d10v/t-rie-xx.s
new file mode 100644 (file)
index 0000000..fa6b4fc
--- /dev/null
@@ -0,0 +1,16 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = 0
+       point_dmap_at_imem
+       check_interrupt (VEC_RIE&DMAP_MASK)+DMAP_BASE PSW_BITS test_rie_xx
+
+test_rie_xx:
+        .short 0xe120, 0x0000  ;; Example of RIE code
+       nop
+       exit47
diff --git a/sim/testsuite/sim/d10v/t-rte.s b/sim/testsuite/sim/d10v/t-rte.s
new file mode 100644 (file)
index 0000000..392f118
--- /dev/null
@@ -0,0 +1,22 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = PSW_C|PSW_F0|PSW_F1
+
+       ldi     r6, #success@word
+       mvtc    r6, bpc
+       ldi     r6, #PSW_BITS
+       mvtc    r6, bpsw
+
+test_rte:
+       RTE
+       exit47
+
+success:
+       checkpsw2 1 PSW_BITS
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sac.s b/sim/testsuite/sim/d10v/t-sac.s
new file mode 100644 (file)
index 0000000..84c31d7
--- /dev/null
@@ -0,0 +1,27 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+test_sac_1:
+       loadacc2 a0 0x00 0xAFFF 0x0000
+       sac r4, a0
+       check 1 r4 0x7FFF
+       check 2 r5 0xFFFF
+
+test_sac_2:
+       loadacc2 a0 0xFF 0x7000 0x0000
+       sac r4, a0
+       check 3 r4 0x8000
+       check 4 r5 0x0000
+
+test_sac_3:
+       loadacc2 a0 0x00 0x1000 0xA000
+       sac r4, a0
+       check 5 r4 0x1000
+       check 6 r5 0xA000
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sachi.s b/sim/testsuite/sim/d10v/t-sachi.s
new file mode 100644 (file)
index 0000000..b9ed0e7
--- /dev/null
@@ -0,0 +1,26 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+test_sachi_1:
+       loadacc2 a0 0x00 0xAFFF 0x0000
+       sachi r4, a0
+       check 1 r4 0x7FFF
+
+
+test_sachi_2:
+       loadacc2 a0 0xFF 0x8000 0x1000
+       sachi r4, a0
+       check 2 r4 0x8000
+
+
+test_sachi_3:
+       loadacc2 a0 0x00 0x1000 0xA000
+       sachi r4, a0
+       check 3 r4 0x1000
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sadd.s b/sim/testsuite/sim/d10v/t-sadd.s
new file mode 100644 (file)
index 0000000..fb463d9
--- /dev/null
@@ -0,0 +1,42 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       PSW_BITS = PSW_FX|PSW_ST|PSW_SM
+        loadpsw2 PSW_BITS
+
+ ;; Test normal sadd
+
+        loadacc2 a0 0x00 0x7fff 0xffff
+        loadacc2 a1 0xff 0x8000 0x0000
+        sadd a1, a0
+        checkacc2 1 a0 0x00 0x7fff 0xffff
+        checkacc2 2 a1 0xff 0x8000 0x7fff
+
+ ;; Test overflow
+
+        loadacc2 a0 0x00 0x0000 0x0000
+        loadacc2 a1 0x01 0x8000 0x0000
+        sadd a1, a0
+        checkacc2 3 a0 0x00 0x0000 0x0000
+        checkacc2 4 a1 0x00 0x7fff 0xffff
+
+        loadacc2 a0 0x00 0xffff 0xffff
+        loadacc2 a1 0x00 0xffff 0xffff
+        sadd a1, a0
+        checkacc2 5 a1 0x00 0x7fff 0xffff
+        checkacc2 6 a0 0x00 0xffff 0xffff
+
+ ;; Test underflow
+
+        loadacc2 a0 0x00 0x0000 0x0000
+        loadacc2 a1 0x80 0x8000 0x0000
+        sadd a1, a0
+        checkacc2 7 a0 0x00 0x0000 0x0000
+        checkacc2 8 a1 0xff 0x8000 0x0000
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-slae.s b/sim/testsuite/sim/d10v/t-slae.s
new file mode 100644 (file)
index 0000000..8236fa2
--- /dev/null
@@ -0,0 +1,43 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+test_slae_1:
+       loadpsw2 PSW_ST|PSW_FX
+       loadacc2 a0 0x00 0x0AFF 0xF000
+       ldi r0, 4
+       slae a0, r0
+       checkacc2 1 a0 0x00 0x7FFF 0xFFFF
+
+test_slae_2:
+       loadpsw2 PSW_ST|PSW_FX
+       loadacc2 a0 0xFF 0xF700 0x1000
+       ldi r0, 4
+       slae a0, r0
+       checkacc2 2 a0 0xFF 0x8000 0x0000
+
+test_slae_3:
+       loadpsw2 PSW_ST|PSW_FX
+       loadacc2 a0 0x00 0x0010 0xA000
+       ldi r0, 4
+       slae a0, r0
+       checkacc2 3 a0 0x00 0x010A 0x0000
+
+test_slae_4:
+       loadpsw2 0
+       loadacc2 a0 0x00 0x0010 0xA000
+       ldi r0, 4
+       slae a0, r0
+       checkacc2 4 a0 0x00 0x010A 0x0000
+
+test_slae_5:
+       loadacc2 a0 0x00 0x0010 0xA000
+       ldi r0, -4
+       slae a0, r0
+       checkacc2 4 a0 0x00 0x0001 0x0A00
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sp.s b/sim/testsuite/sim/d10v/t-sp.s
new file mode 100644 (file)
index 0000000..df443b9
--- /dev/null
@@ -0,0 +1,21 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+;;; Read/Write values to SPU/SPI
+
+       loadpsw2 0
+       ldi sp, 0xdead
+       loadpsw2 PSW_SM
+       ldi sp, 0xbeef
+
+       loadpsw2 0
+       check 1 sp 0xdead
+       loadpsw2 PSW_SM
+       check 2 sp 0xbeef
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sub.s b/sim/testsuite/sim/d10v/t-sub.s
new file mode 100644 (file)
index 0000000..57b99e6
--- /dev/null
@@ -0,0 +1,46 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+;;  The d10v implements negated addition for subtraction
+
+       .macro check_sub s x y r c
+       ;; clear carry
+       ldi     r6,#0x8004
+       mvtc    r6,cr0
+       ;; subtract
+       ldi     r10,#\x
+       ldi     r11,#\y
+       sub     r10, r11
+       ;; verify result
+       ldi     r12, #\r
+       cmpeq   r10, r12
+       brf0t   1f
+       ldi     r6, 1
+       ldi     r2, #\s
+       trap    15
+1:
+       ;; verify carry
+       mvfc    r6, cr0
+       and3    r6, r6, #1
+       cmpeqi  r6, #\c
+       brf0t   1f
+       ldi     r6, 1
+       ldi     r2, #\s
+       trap    15
+1:
+       .endm
+
+check_sub 1 0x0000 0x0000  0x0000  1
+check_sub 2 0x0000 0x0001  0xffff  0
+check_sub 3 0x0001 0x0000  0x0001  1
+check_sub 4 0x0001 0x0001  0x0000  1
+check_sub 5 0x0000 0x8000  0x8000  0
+check_sub 6 0x8000 0x0001  0x7fff  1
+check_sub 7 0x7fff 0x7fff  0x0000  1
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-sub2w.s b/sim/testsuite/sim/d10v/t-sub2w.s
new file mode 100644 (file)
index 0000000..5e8daee
--- /dev/null
@@ -0,0 +1,61 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+;;  The d10v implements negated addition for subtraction
+
+       .macro check_sub2w s x y r c v
+
+       ;; clear carry
+       ldi     r6,#0x8004
+       mvtc    r6,cr0
+
+       ;; load opnds
+       ld2w    r6, @(1f,r0)
+       ld2w    r8, @(2f,r0)
+       .data
+1:     .long   \x
+2:     .long   \y
+       .text
+
+       ;; subtract
+       SUB2W   r6, r8
+
+       ;; verify result
+       ld2w    r10, @(1f,r0)
+       .data
+1:     .long   \r
+       .text
+       cmpeq   r6, r10
+       brf0f   2f
+       cmpeq   r7, r11
+       brf0t   3f
+2:     ldi     r4, 1
+       ldi     r0, \s
+       trap    15
+3:
+
+       ;; verify carry
+       mvfc    r6, cr0
+       and3    r6, r6, #1
+       cmpeqi  r6, #\c
+       brf0t   1f
+       ldi     r4, 1
+       ldi     r0, \s
+       trap    15
+1:
+       .endm
+
+check_sub2w 1 0x00000000 0x00000000  0x00000000 1 0
+check_sub2w 2 0x00000000 0x00000001  0xffffffff 0 0
+check_sub2w 3 0x00000001 0x00000000  0x00000001 1 0
+check_sub2w 3 0x00000001 0x00000001  0x00000000 1 0
+check_sub2w 5 0x00000000 0x80000000  0x80000000 0 1
+check_sub2w 6 0x80000000 0x00000001  0x7fffffff 1 1
+check_sub2w 7 0x7fffffff 0x7fffffff  0x00000000 1 0
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-subi.s b/sim/testsuite/sim/d10v/t-subi.s
new file mode 100644 (file)
index 0000000..dd4b2be
--- /dev/null
@@ -0,0 +1,43 @@
+# mach: all
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+;;  The d10v implements negated addition for subtraction
+
+       .macro check_subi s x y r c v
+       ;; clear carry
+       ldi     r6,#0x8004
+       mvtc    r6,cr0
+       ;; subtract
+       ldi     r10,#\x
+       SUBI    r10,#\y
+       ;; verify result
+       ldi     r11, #\r
+       cmpeq   r10, r11
+       brf0t   1f
+       ldi     r6, 1
+       ldi     r2, \s
+       trap    15
+1:
+       ;; verify carry
+       mvfc    r6, cr0
+       and3    r6, r6, #1
+       cmpeqi  r6, #\c
+       brf0t   1f
+       ldi     r6, 1
+       ldi     r2, \s
+       trap    15
+1:
+       .endm
+
+       check_subi 1 0000  0x0000  0xfff0 00 ;;  0 - 0x10
+       check_subi 2 0x0000  0x0001  0xffff 0 0
+       check_subi 3 0x0001  0x0000  0xfff1 0 0
+       check_subi 4 0x0001  0x0001  0x0000 1 0
+       check_subi 5 0x8000  0x0001  0x7fff 1 1
+
+       exit0
diff --git a/sim/testsuite/sim/d10v/t-trap.s b/sim/testsuite/sim/d10v/t-trap.s
new file mode 100644 (file)
index 0000000..7e5336c
--- /dev/null
@@ -0,0 +1,10 @@
+# mach: all
+# status: 47
+# output:
+# sim: --environment operating
+
+.include "t-macros.i"
+
+       start
+
+       exit47
This page took 0.113878 seconds and 4 git commands to generate.