From 116615c5f7a7a1f1cad328d4ac4189b66c34266a Mon Sep 17 00:00:00 2001 From: "H.J. Lu" Date: Thu, 22 May 2008 20:52:54 +0000 Subject: [PATCH] gas/testsuite/ 2008-05-22 H.J. Lu PR gas/6517 * gas/i386/avx.s: Add tests for unspecified memory operand size in Intel syntax. * gas/i386/x86-64-avx.s: Likewise. * gas/i386/simd.s: Add tests for cvtsi2ss and cvtsi2sd with unspecified memory operand size in Intel syntax. * gas/i386/avx.d: Updated. * gas/i386/avx-intel.d: Likewise. * gas/i386/simd.d: Likewise. * gas/i386/simd-intel.d: Likewise. * gas/i386/simd-suffix.d: Likewise. * gas/i386/x86-64-avx.d: Likewise. * gas/i386/x86-64-avx-intel.d: Likewise. opcodes/ 2008-05-22 H.J. Lu PR gas/6517 * i386-opc.tbl: Break cvtsi2ss/cvtsi2sd/vcvtsi2sd/vcvtsi2ss into 32bit and 64bit. Remove Reg64|Qword and add IgnoreSize|No_qSuf on 32bit version. * i386-tbl.h: Regenerated. --- gas/testsuite/ChangeLog | 18 + gas/testsuite/gas/i386/avx-intel.d | 598 ++++++++++++++++++++ gas/testsuite/gas/i386/avx.d | 598 ++++++++++++++++++++ gas/testsuite/gas/i386/avx.s | 604 +++++++++++++++++++- gas/testsuite/gas/i386/simd-intel.d | 2 + gas/testsuite/gas/i386/simd-suffix.d | 2 + gas/testsuite/gas/i386/simd.d | 2 + gas/testsuite/gas/i386/simd.s | 2 + gas/testsuite/gas/i386/x86-64-avx-intel.d | 643 +++++++++++++++++++++- gas/testsuite/gas/i386/x86-64-avx.d | 643 +++++++++++++++++++++- gas/testsuite/gas/i386/x86-64-avx.s | 607 +++++++++++++++++++- opcodes/ChangeLog | 8 + opcodes/i386-opc.tbl | 18 +- opcodes/i386-tbl.h | 90 ++- 14 files changed, 3777 insertions(+), 58 deletions(-) diff --git a/gas/testsuite/ChangeLog b/gas/testsuite/ChangeLog index af845e28a2..8236eeb02b 100644 --- a/gas/testsuite/ChangeLog +++ b/gas/testsuite/ChangeLog @@ -1,3 +1,21 @@ +2008-05-22 H.J. Lu + + PR gas/6517 + * gas/i386/avx.s: Add tests for unspecified memory operand + size in Intel syntax. + * gas/i386/x86-64-avx.s: Likewise. + + * gas/i386/simd.s: Add tests for cvtsi2ss and cvtsi2sd with + unspecified memory operand size in Intel syntax. + + * gas/i386/avx.d: Updated. + * gas/i386/avx-intel.d: Likewise. + * gas/i386/simd.d: Likewise. + * gas/i386/simd-intel.d: Likewise. + * gas/i386/simd-suffix.d: Likewise. + * gas/i386/x86-64-avx.d: Likewise. + * gas/i386/x86-64-avx-intel.d: Likewise. + 2008-05-21 H.J. Lu * gas/i386/sse-noavx.s: Add tests for movdq2q and movq2dq. diff --git a/gas/testsuite/gas/i386/avx-intel.d b/gas/testsuite/gas/i386/avx-intel.d index 831a71d2ce..990876a899 100644 --- a/gas/testsuite/gas/i386/avx-intel.d +++ b/gas/testsuite/gas/i386/avx-intel.d @@ -1393,211 +1393,317 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 c1 72 f0 64 vpslld xmm7,xmm0,0x64 [ ]*[a-f0-9]+: c5 fc 50 c0 vmovmskps eax,ymm0 [ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd ymm6,ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd YMMWORD PTR \[ecx\],ymm6,ymm4 +[ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd ymm6,ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd YMMWORD PTR \[ecx\],ymm6,ymm4 +[ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps ymm6,ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps YMMWORD PTR \[ecx\],ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps ymm6,ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps YMMWORD PTR \[ecx\],ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 7d 05 d6 64 vpermilpd ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 04 d6 64 vpermilps ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 09 d6 64 vroundpd ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 08 d6 64 vroundps ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 cd 58 d4 vaddpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 58 11 vaddpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 58 11 vaddpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 58 d4 vaddps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 58 11 vaddps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 58 11 vaddps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd d0 d4 vaddsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cf d0 d4 vaddsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 55 d4 vandnpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 55 11 vandnpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 55 11 vandnpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 55 d4 vandnps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 55 11 vandnps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 55 11 vandnps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 54 d4 vandpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 54 11 vandpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 54 11 vandpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 54 d4 vandps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 54 11 vandps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 54 11 vandps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 5e d4 vdivpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 5e d4 vdivps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5e 11 vdivps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 5e 11 vdivps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 7c d4 vhaddpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cf 7c d4 vhaddps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 7d d4 vhsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cf 7d d4 vhsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 5f d4 vmaxpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 5f d4 vmaxps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 5d d4 vminpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5d 11 vminpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 5d 11 vminpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 5d d4 vminps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5d 11 vminps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 5d 11 vminps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 59 d4 vmulpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 59 11 vmulpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 59 11 vmulpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 59 d4 vmulps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 59 11 vmulps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 59 11 vmulps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 56 d4 vorpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 56 11 vorpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 56 11 vorpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 56 d4 vorps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 56 11 vorps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 56 11 vorps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 4d 0d d4 vpermilpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 4d 0c d4 vpermilps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 5c d4 vsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 5c d4 vsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5c 11 vsubps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 5c 11 vsubps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 15 d4 vunpckhpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 15 d4 vunpckhps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 14 d4 vunpcklpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 14 d4 vunpcklps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd 57 d4 vxorpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 57 11 vxorpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd 57 11 vxorpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc 57 d4 vxorps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 57 11 vxorps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc 57 11 vxorps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 00 vcmpeqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 01 vcmpltpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 02 vcmplepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 03 vcmpunordpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 04 vcmpneqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 05 vcmpnltpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 06 vcmpnlepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 07 vcmpordpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 08 vcmpeq_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 09 vcmpngepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0a vcmpngtpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0b vcmpfalsepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0c vcmpneq_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0d vcmpgepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0e vcmpgtpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0f vcmptruepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 10 vcmpeq_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 11 vcmplt_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 12 vcmple_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 13 vcmpunord_spd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 14 vcmpneq_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 15 vcmpnlt_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 16 vcmpnle_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 17 vcmpord_spd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 18 vcmpeq_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 19 vcmpnge_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1a vcmpngt_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1b vcmpfalse_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1c vcmpneq_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1d vcmpge_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1e vcmpgt_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1f vcmptrue_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 00 vcmpeqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 01 vcmpltps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 02 vcmpleps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 03 vcmpunordps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 04 vcmpneqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 05 vcmpnltps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 06 vcmpnleps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 07 vcmpordps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 08 vcmpeq_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 09 vcmpngeps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0a vcmpngtps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0b vcmpfalseps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0c vcmpneq_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0d vcmpgeps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0e vcmpgtps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0f vcmptrueps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 10 vcmpeq_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 11 vcmplt_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 12 vcmple_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 13 vcmpunord_sps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 14 vcmpneq_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 15 vcmpnlt_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 16 vcmpnle_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 17 vcmpord_sps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 18 vcmpeq_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 19 vcmpnge_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1a vcmpngt_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1b vcmpfalse_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1c vcmpneq_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1d vcmpge_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1e vcmpgt_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1f vcmptrue_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ff e6 e4 vcvtpd2dq xmm4,ymm4 [ ]*[a-f0-9]+: c5 ff e6 21 vcvtpd2dq xmm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 5a e4 vcvtpd2ps xmm4,ymm4 @@ -1606,935 +1712,1427 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 fd e6 21 vcvttpd2dq xmm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 5b e4 vcvtdq2ps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 5b e4 vcvtps2dq ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fe 5b e4 vcvttps2dq ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 28 e4 vmovapd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 28 21 vmovapd ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fd 28 21 vmovapd ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 28 e4 vmovaps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 28 21 vmovaps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 28 21 vmovaps ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 6f e4 vmovdqa ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fe 6f e4 vmovdqu ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ff 12 e4 vmovddup ymm4,ymm4 [ ]*[a-f0-9]+: c5 ff 12 21 vmovddup ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ff 12 21 vmovddup ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fe 16 e4 vmovshdup ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fe 12 e4 vmovsldup ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 10 e4 vmovupd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 10 21 vmovupd ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fd 10 21 vmovupd ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 10 e4 vmovups ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 10 21 vmovups ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 10 21 vmovups ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 17 e4 vptest ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 53 e4 vrcpps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 53 21 vrcpps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 53 21 vrcpps ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 52 e4 vrsqrtps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fd 51 e4 vsqrtpd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 51 e4 vsqrtps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 0f e4 vtestpd ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd ymm4,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 0e e4 vtestps ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps ymm4,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ff f0 21 vlddqu ymm4,\[ecx\] [ ]*[a-f0-9]+: c5 ff f0 21 vlddqu ymm4,\[ecx\] [ ]*[a-f0-9]+: c4 e3 4d 0d d4 64 vblendpd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 0c d4 64 vblendps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 cd c2 d4 64 vcmppd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 cc c2 d4 64 vcmpps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 40 d4 64 vdpps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 06 d4 64 vperm2f128 ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 cd c6 d4 64 vshufpd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 cc c6 d4 64 vshufps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps ymm2,ymm6,YMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 6d 4b fe 40 vblendvpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd ymm7,ymm2,YMMWORD PTR \[ecx\],ymm4 +[ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd ymm7,ymm2,YMMWORD PTR \[ecx\],ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4a fe 40 vblendvps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps ymm7,ymm2,YMMWORD PTR \[ecx\],ymm4 +[ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps ymm7,ymm2,YMMWORD PTR \[ecx\],ymm4 [ ]*[a-f0-9]+: c4 e3 cd 69 fc 20 vfmaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 68 fc 20 vfmaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 5d fc 20 vfmaddsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 5c fc 20 vfmaddsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 5f fc 20 vfmsubaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 5e fc 20 vfmsubaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 6d fc 20 vfmsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 6c fc 20 vfmsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 79 fc 20 vfnmaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 78 fc 20 vfnmaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 7d fc 20 vfnmsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 cd 7c fc 20 vfnmsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 62 vpermilmo2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 63 vpermilmz2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 60 vpermiltd2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 62 vpermilmo2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 63 vpermilmz2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 60 vpermiltd2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 6a vpermil2pd ymm7,ymm2,ymm6,ymm4,0xa [ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\],0xa +[ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\],0xa [ ]*[a-f0-9]+: c4 e3 ed 48 fc 6a vpermil2ps ymm7,ymm2,ymm6,ymm4,0xa [ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\],0xa +[ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR \[ecx\],0xa [ ]*[a-f0-9]+: c4 e3 5d 18 f4 64 vinsertf128 ymm6,ymm4,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 ymm6,ymm4,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 ymm6,ymm4,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 19 e4 64 vextractf128 xmm4,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 XMMWORD PTR \[ecx\],ymm4,0x64 +[ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 XMMWORD PTR \[ecx\],ymm4,0x64 +[ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 ymm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 ymm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 5b f4 vcvtdq2ps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fb e6 f4 vcvtpd2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 fb e6 21 vcvtpd2dq xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 5a f4 vcvtpd2ps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 5a 21 vcvtpd2ps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 5b f4 vcvtps2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 e6 f4 vcvttpd2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 e6 21 vcvttpd2dq xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 5b f4 vcvttps2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 28 21 vmovapd xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 28 21 vmovapd xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 28 21 vmovaps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 28 21 vmovaps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 16 f4 vmovshdup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 12 f4 vmovsldup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 10 21 vmovupd xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 10 21 vmovupd xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 10 21 vmovups xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 10 21 vmovups xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 1c f4 vpabsb xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 1d f4 vpabsw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 1e f4 vpabsd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 41 f4 vphminposuw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 17 f4 vptest xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 17 21 vptest xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 17 21 vptest xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 0e f4 vtestps xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 0f f4 vtestpd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 53 f4 vrcpps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 53 21 vrcpps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 53 21 vrcpps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 52 f4 vrsqrtps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 51 f4 vsqrtpd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 51 f4 vsqrtps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 29 21 vmovapd XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 29 21 vmovapd XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 29 21 vmovaps XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 29 21 vmovaps XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 11 21 vmovupd XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 11 21 vmovupd XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 11 21 vmovups XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 11 21 vmovups XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 fb f0 21 vlddqu xmm4,\[ecx\] [ ]*[a-f0-9]+: c5 fb f0 21 vlddqu xmm4,\[ecx\] [ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 fe e6 e4 vcvtdq2pd ymm4,xmm4 [ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd ymm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd ymm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fc 5a e4 vcvtps2pd ymm4,xmm4 [ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd ymm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd ymm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 58 d4 vaddpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 58 39 vaddpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 58 39 vaddpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 58 d4 vaddps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 58 39 vaddps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 58 39 vaddps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d0 d4 vaddsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb d0 d4 vaddsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 55 d4 vandnpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 55 39 vandnpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 55 39 vandnpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 55 d4 vandnps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 55 39 vandnps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 55 39 vandnps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 54 d4 vandpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 54 39 vandpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 54 39 vandpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 54 d4 vandps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 54 39 vandps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 54 39 vandps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 5e d4 vdivpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 5e d4 vdivps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5e 39 vdivps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 5e 39 vdivps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 7c d4 vhaddpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 7c d4 vhaddps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 7d d4 vhsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 7d d4 vhsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 5f d4 vmaxpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 5f d4 vmaxps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 5d d4 vminpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5d 39 vminpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 5d 39 vminpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 5d d4 vminps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5d 39 vminps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 5d 39 vminps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 59 d4 vmulpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 59 39 vmulpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 59 39 vmulpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 59 d4 vmulps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 59 39 vmulps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 59 39 vmulps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 56 d4 vorpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 56 39 vorpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 56 39 vorpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 56 d4 vorps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 56 39 vorps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 56 39 vorps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 63 d4 vpacksswb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 6b d4 vpackssdw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 67 d4 vpackuswb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 2b d4 vpackusdw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 fc d4 vpaddb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 fd d4 vpaddw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 fe d4 vpaddd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d4 d4 vpaddq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 ec d4 vpaddsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 ed d4 vpaddsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 dc d4 vpaddusb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 dd d4 vpaddusw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 db d4 vpand xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 db 39 vpand xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 db 39 vpand xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 df d4 vpandn xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 df 39 vpandn xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 df 39 vpandn xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e0 d4 vpavgb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e3 d4 vpavgw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 74 d4 vpcmpeqb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 75 d4 vpcmpeqw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 76 d4 vpcmpeqd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 29 d4 vpcmpeqq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 64 d4 vpcmpgtb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 65 d4 vpcmpgtw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 66 d4 vpcmpgtd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 37 d4 vpcmpgtq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 0d d4 vpermilpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 0c d4 vpermilps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 01 d4 vphaddw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 02 d4 vphaddd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 03 d4 vphaddsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 05 d4 vphsubw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 06 d4 vphsubd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 07 d4 vphsubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f5 d4 vpmaddwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 04 d4 vpmaddubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3c d4 vpmaxsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 ee d4 vpmaxsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3d d4 vpmaxsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 de d4 vpmaxub xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3e d4 vpmaxuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3f d4 vpmaxud xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 38 d4 vpminsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 ea d4 vpminsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 39 d4 vpminsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 da d4 vpminub xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 da 39 vpminub xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 da 39 vpminub xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3a d4 vpminuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 3b d4 vpminud xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e4 d4 vpmulhuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 0b d4 vpmulhrsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e5 d4 vpmulhw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d5 d4 vpmullw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 40 d4 vpmulld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f4 d4 vpmuludq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 28 d4 vpmuldq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 eb d4 vpor xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 eb 39 vpor xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 eb 39 vpor xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f6 d4 vpsadbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 00 d4 vpshufb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 08 d4 vpsignb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 09 d4 vpsignw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 49 0a d4 vpsignd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f1 d4 vpsllw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f2 d4 vpslld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f2 39 vpslld xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f2 39 vpslld xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f3 d4 vpsllq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e1 d4 vpsraw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e2 d4 vpsrad xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d1 d4 vpsrlw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d2 d4 vpsrld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d3 d4 vpsrlq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f8 d4 vpsubb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 f9 d4 vpsubw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 fa d4 vpsubd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 fb d4 vpsubq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e8 d4 vpsubsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 e9 d4 vpsubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d8 d4 vpsubusb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 d9 d4 vpsubusw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 68 d4 vpunpckhbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 69 d4 vpunpckhwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 6a d4 vpunpckhdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 6d d4 vpunpckhqdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 60 d4 vpunpcklbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 61 d4 vpunpcklwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 62 d4 vpunpckldq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 6c d4 vpunpcklqdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 ef d4 vpxor xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ef 39 vpxor xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 ef 39 vpxor xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 5c d4 vsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 5c d4 vsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5c 39 vsubps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 5c 39 vsubps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 15 d4 vunpckhpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 15 d4 vunpckhps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 14 d4 vunpcklpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 14 d4 vunpcklps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 57 d4 vxorpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 57 39 vxorpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 57 39 vxorpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 57 d4 vxorps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 57 39 vxorps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 57 39 vxorps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 00 vcmpeqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 01 vcmpltpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 02 vcmplepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 03 vcmpunordpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 04 vcmpneqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 05 vcmpnltpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 06 vcmpnlepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 07 vcmpordpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 08 vcmpeq_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 09 vcmpngepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0a vcmpngtpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0b vcmpfalsepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0c vcmpneq_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0d vcmpgepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0e vcmpgtpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0f vcmptruepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 10 vcmpeq_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 11 vcmplt_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 12 vcmple_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 13 vcmpunord_spd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 14 vcmpneq_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 15 vcmpnlt_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 16 vcmpnle_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 17 vcmpord_spd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 18 vcmpeq_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 19 vcmpnge_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1a vcmpngt_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1b vcmpfalse_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1c vcmpneq_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1d vcmpge_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1e vcmpgt_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1f vcmptrue_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 00 vcmpeqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 01 vcmpltps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 02 vcmpleps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 03 vcmpunordps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 04 vcmpneqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 05 vcmpnltps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 06 vcmpnleps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 07 vcmpordps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 08 vcmpeq_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 09 vcmpngeps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0a vcmpngtps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0b vcmpfalseps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0c vcmpneq_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0d vcmpgeps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0e vcmpgtps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0f vcmptrueps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 10 vcmpeq_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 11 vcmplt_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 12 vcmple_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 13 vcmpunord_sps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 14 vcmpneq_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 15 vcmpnlt_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 16 vcmpnle_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 17 vcmpord_sps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 18 vcmpeq_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 19 vcmpnge_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1a vcmpngt_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1b vcmpfalse_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1c vcmpneq_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1d vcmpge_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1e vcmpgt_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps xmm7,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1f vcmptrue_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps xmm7,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps xmm6,xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps xmm6,xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd xmm6,xmm4,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd xmm6,xmm4,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 79 61 f4 64 vpcmpestri xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 60 f4 64 vpcmpestrm xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 63 f4 64 vpcmpistri xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 62 f4 64 vpcmpistrm xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 05 f4 64 vpermilpd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 04 f4 64 vpermilps xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 f9 70 f4 64 vpshufd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 fa 70 f4 64 vpshufhw xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 fb 70 f4 64 vpshuflw xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 09 f4 64 vroundpd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 08 f4 64 vroundps xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps XMMWORD PTR \[ecx\],xmm6,xmm4 +[ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps XMMWORD PTR \[ecx\],xmm6,xmm4 +[ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd XMMWORD PTR \[ecx\],xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd XMMWORD PTR \[ecx\],xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 49 0d d4 64 vblendpd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0c d4 64 vblendps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 c9 c2 d4 64 vcmppd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 c8 c2 d4 64 vcmpps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 41 d4 64 vdppd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 40 d4 64 vdpps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 42 d4 64 vmpsadbw xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0f d4 64 vpalignr xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0e d4 64 vpblendw xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 c9 c6 d4 64 vshufpd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 c8 c6 d4 64 vshufps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps xmm2,xmm6,XMMWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 69 4b fe 40 vblendvpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 69 4a fe 40 vblendvps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 69 4c fe 40 vpblendvb xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 69 fc 20 vfmaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 68 fc 20 vfmaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5d fc 20 vfmaddsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5c fc 20 vfmaddsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5f fc 20 vfmsubaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5e fc 20 vfmsubaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6d fc 20 vfmsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6c fc 20 vfmsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 79 fc 20 vfnmaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 78 fc 20 vfnmaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7d fc 20 vfnmsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7c fc 20 vfnmsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 62 vpermilmo2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 63 vpermilmz2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 60 vpermiltd2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 62 vpermilmo2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 63 vpermilmz2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 60 vpermiltd2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 6a vpermil2pd xmm7,xmm2,xmm6,xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\],0xa [ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\],0xa +[ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 48 fc 6a vpermil2ps xmm7,xmm2,xmm6,xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\],0xa [ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR \[ecx\],0xa +[ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps xmm7,xmm2,XMMWORD PTR \[ecx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd ymm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd ymm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 2f f4 vcomisd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa e6 f4 vcvtdq2pd xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 5a f4 vcvtps2pd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fb 12 f4 vmovddup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fb 12 21 vmovddup xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fb 12 21 vmovddup xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 20 f4 vpmovsxbw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 23 f4 vpmovsxwd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 25 f4 vpmovsxdq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 30 f4 vpmovzxbw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 33 f4 vpmovzxwd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 35 f4 vpmovzxdq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 2e f4 vucomisd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fb 10 21 vmovsd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fb 10 21 vmovsd xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f8 13 21 vmovlps QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 13 21 vmovlps QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f8 17 21 vmovhps QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 17 21 vmovhps QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 fb 11 21 vmovsd QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 fb 11 21 vmovsd QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 d6 21 vmovq QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 fa 7e 21 vmovq xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 d6 21 vmovq QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 fa 7e 21 vmovq xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fb 2d cc vcvtsd2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si ecx,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si ecx,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fb 2c cc vcvttsd2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si ecx,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si ecx,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd xmm6,xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd xmm6,xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 d8 12 31 vmovlps xmm6,xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 d8 12 31 vmovlps xmm6,xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd xmm6,xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd xmm6,xmm4,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 d8 16 31 vmovhps xmm6,xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 d8 16 31 vmovhps xmm6,xmm4,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 64 vcmpsd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd xmm2,xmm6,QWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd xmm2,xmm6,QWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0b d4 64 vroundsd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd xmm2,xmm6,QWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd xmm2,xmm6,QWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 c9 6b fc 20 vfmaddsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6f fc 20 vfmsubsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7b fc 20 vfnmaddsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7f fc 20 vfnmsubsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd xmm7,xmm2,QWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 cb 58 d4 vaddsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 58 11 vaddsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 58 11 vaddsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 5a d4 vcvtsd2ss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 5e d4 vdivsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 5f d4 vmaxsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 5d d4 vminsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5d 11 vminsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 5d 11 vminsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 59 d4 vmulsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 59 11 vmulsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 59 11 vmulsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 51 d4 vsqrtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb 5c d4 vsubsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 00 vcmpeqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 01 vcmpltsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 02 vcmplesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 03 vcmpunordsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 04 vcmpneqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 05 vcmpnltsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 06 vcmpnlesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 07 vcmpordsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 08 vcmpeq_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 09 vcmpngesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0a vcmpngtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0b vcmpfalsesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0c vcmpneq_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0d vcmpgesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0e vcmpgtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0f vcmptruesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 10 vcmpeq_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 11 vcmplt_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 12 vcmple_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 13 vcmpunord_ssd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 14 vcmpneq_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 15 vcmpnlt_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 16 vcmpnle_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 17 vcmpord_ssd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 18 vcmpeq_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 19 vcmpnge_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1a vcmpngt_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1b vcmpfalse_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1c vcmpneq_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1d vcmpge_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1e vcmpgt_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1f vcmptrue_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd xmm2,xmm6,QWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd xmm2,xmm6,QWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 58 d4 vaddss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 58 11 vaddss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 58 11 vaddss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 5a d4 vcvtss2sd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 5e d4 vdivss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5e 11 vdivss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 5e 11 vdivss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 5f d4 vmaxss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 5d d4 vminss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5d 11 vminss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 5d 11 vminss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 59 d4 vmulss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 59 11 vmulss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 59 11 vmulss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 53 d4 vrcpss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 53 11 vrcpss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 53 11 vrcpss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 52 d4 vrsqrtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 51 d4 vsqrtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca 5c d4 vsubss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5c 11 vsubss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca 5c 11 vsubss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 00 vcmpeqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 01 vcmpltss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 02 vcmpless xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 03 vcmpunordss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 04 vcmpneqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 05 vcmpnltss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 06 vcmpnless xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 07 vcmpordss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 08 vcmpeq_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 09 vcmpngess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0a vcmpngtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0b vcmpfalsess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0c vcmpneq_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0d vcmpgess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0e vcmpgtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0f vcmptruess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 10 vcmpeq_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 11 vcmplt_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 12 vcmple_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 13 vcmpunord_sss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 14 vcmpneq_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 15 vcmpnlt_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 16 vcmpnle_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 17 vcmpord_sss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 18 vcmpeq_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 19 vcmpnge_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1a vcmpngt_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1b vcmpfalse_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1c vcmpneq_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1d vcmpge_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1e vcmpgt_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1f vcmptrue_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss ymm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss ymm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 2f f4 vcomiss xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 21 f4 vpmovsxbd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 24 f4 vpmovsxwq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 31 f4 vpmovzxbd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 34 f4 vpmovzxwq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f8 2e f4 vucomiss xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 10 21 vmovss xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 10 21 vmovss xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 11 21 vmovss DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 fa 11 21 vmovss DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 7e e1 vmovd ecx,xmm4 [ ]*[a-f0-9]+: c5 f9 7e 21 vmovd DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c5 f9 6e e1 vmovd xmm4,ecx [ ]*[a-f0-9]+: c5 f9 6e 21 vmovd xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 f9 7e 21 vmovd DWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 6e 21 vmovd xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 2d cc vcvtss2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si ecx,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si ecx,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 fa 2c cc vcvttss2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si ecx,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si ecx,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 16 e1 64 vpextrd ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd DWORD PTR \[ecx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd DWORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[ecx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c5 db 2a f1 vcvtsi2sd xmm6,xmm4,ecx [ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sd xmm6,xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sd xmm6,xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 da 2a f1 vcvtsi2ss xmm6,xmm4,ecx [ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ss xmm6,xmm4,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ss xmm6,xmm4,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 ca c2 d4 64 vcmpss xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss xmm2,xmm6,DWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss xmm2,xmm6,DWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 21 d4 64 vinsertps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps xmm2,xmm6,DWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps xmm2,xmm6,DWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0a d4 64 vroundss xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss xmm2,xmm6,DWORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss xmm2,xmm6,DWORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 c9 6a fc 20 vfmaddss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6e fc 20 vfmsubss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7a fc 20 vfnmaddss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7e fc 20 vfnmsubss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss xmm7,xmm2,DWORD PTR \[ecx\],xmm4 [ ]*[a-f0-9]+: c4 e2 79 22 f4 vpmovsxbq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq xmm4,WORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq xmm4,WORD PTR \[ecx\] [ ]*[a-f0-9]+: c4 e2 79 32 f4 vpmovzxbq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq xmm4,WORD PTR \[ecx\] +[ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq xmm4,WORD PTR \[ecx\] [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[ecx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[ecx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[ecx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[ecx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[ecx\],0x64 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[ecx\],0x64 [ ]*[a-f0-9]+: c5 f9 f7 f4 vmaskmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 7e f4 vmovq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd ecx,xmm4 diff --git a/gas/testsuite/gas/i386/avx.d b/gas/testsuite/gas/i386/avx.d index 8d9922b496..b284e8bf7f 100644 --- a/gas/testsuite/gas/i386/avx.d +++ b/gas/testsuite/gas/i386/avx.d @@ -1392,211 +1392,317 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 c1 72 f0 64 vpslld \$0x64,%xmm0,%xmm7 [ ]*[a-f0-9]+: c5 fc 50 c0 vmovmskps %ymm0,%eax [ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr \(%ecx\) +[ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr \(%ecx\) +[ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr \(%ecx\) [ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr \(%ecx\) [ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd \(%ecx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd %ymm4,%ymm6,\(%ecx\) +[ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd \(%ecx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd %ymm4,%ymm6,\(%ecx\) +[ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps \(%ecx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps %ymm4,%ymm6,\(%ecx\) [ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps \(%ecx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps %ymm4,%ymm6,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 7d 05 d6 64 vpermilpd \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd \$0x64,\(%ecx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd \$0x64,\(%ecx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 04 d6 64 vpermilps \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps \$0x64,\(%ecx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps \$0x64,\(%ecx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 09 d6 64 vroundpd \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd \$0x64,\(%ecx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd \$0x64,\(%ecx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 08 d6 64 vroundps \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps \$0x64,\(%ecx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps \$0x64,\(%ecx\),%ymm6 [ ]*[a-f0-9]+: c5 cd 58 d4 vaddpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 58 11 vaddpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 58 11 vaddpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 58 d4 vaddps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 58 11 vaddps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 58 11 vaddps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd d0 d4 vaddsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf d0 d4 vaddsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 55 d4 vandnpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 55 11 vandnpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 55 11 vandnpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 55 d4 vandnps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 55 11 vandnps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 55 11 vandnps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 54 d4 vandpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 54 11 vandpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 54 11 vandpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 54 d4 vandps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 54 11 vandps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 54 11 vandps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5e d4 vdivpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5e d4 vdivps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5e 11 vdivps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5e 11 vdivps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7c d4 vhaddpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7c d4 vhaddps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7d d4 vhsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7d d4 vhsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5f d4 vmaxpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5f d4 vmaxps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5d d4 vminpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5d 11 vminpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5d 11 vminpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5d d4 vminps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5d 11 vminps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5d 11 vminps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 59 d4 vmulpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 59 11 vmulpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 59 11 vmulpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 59 d4 vmulps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 59 11 vmulps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 59 11 vmulps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 56 d4 vorpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 56 11 vorpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 56 11 vorpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 56 d4 vorps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 56 11 vorps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 56 11 vorps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0d d4 vpermilpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0c d4 vpermilps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5c d4 vsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5c d4 vsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5c 11 vsubps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5c 11 vsubps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 15 d4 vunpckhpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 15 d4 vunpckhps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 14 d4 vunpcklpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 14 d4 vunpcklps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 57 d4 vxorpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 57 11 vxorpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 57 11 vxorpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 57 d4 vxorps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 57 11 vxorps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 57 11 vxorps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 00 vcmpeqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 01 vcmpltpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 02 vcmplepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 03 vcmpunordpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 04 vcmpneqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 05 vcmpnltpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 06 vcmpnlepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 07 vcmpordpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 08 vcmpeq_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 09 vcmpngepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0a vcmpngtpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0b vcmpfalsepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0c vcmpneq_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0d vcmpgepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0e vcmpgtpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0f vcmptruepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 10 vcmpeq_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 11 vcmplt_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 12 vcmple_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 13 vcmpunord_spd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 14 vcmpneq_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 15 vcmpnlt_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 16 vcmpnle_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 17 vcmpord_spd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 18 vcmpeq_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 19 vcmpnge_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1a vcmpngt_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1b vcmpfalse_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1c vcmpneq_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1d vcmpge_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1e vcmpgt_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1f vcmptrue_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 00 vcmpeqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 01 vcmpltps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 02 vcmpleps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 03 vcmpunordps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 04 vcmpneqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 05 vcmpnltps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 06 vcmpnleps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 07 vcmpordps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 08 vcmpeq_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 09 vcmpngeps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0a vcmpngtps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0b vcmpfalseps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0c vcmpneq_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0d vcmpgeps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0e vcmpgtps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0f vcmptrueps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 10 vcmpeq_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 11 vcmplt_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 12 vcmple_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 13 vcmpunord_sps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 14 vcmpneq_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 15 vcmpnlt_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 16 vcmpnle_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 17 vcmpord_sps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 18 vcmpeq_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 19 vcmpnge_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1a vcmpngt_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1b vcmpfalse_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1c vcmpneq_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1d vcmpge_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1e vcmpgt_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1f vcmptrue_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps \(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps \(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 ff e6 e4 vcvtpd2dq %ymm4,%xmm4 [ ]*[a-f0-9]+: c5 ff e6 21 vcvtpd2dqy \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fd 5a e4 vcvtpd2ps %ymm4,%xmm4 @@ -1605,935 +1711,1427 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 fd e6 21 vcvttpd2dqy \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fc 5b e4 vcvtdq2ps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 5b e4 vcvtps2dq %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 5b e4 vcvttps2dq %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 28 e4 vmovapd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 28 21 vmovapd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 28 21 vmovapd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 28 e4 vmovaps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 28 21 vmovaps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 28 21 vmovaps \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 6f e4 vmovdqa %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 6f e4 vmovdqu %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 ff 12 e4 vmovddup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 ff 12 21 vmovddup \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 ff 12 21 vmovddup \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 16 e4 vmovshdup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 12 e4 vmovsldup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 10 e4 vmovupd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 10 21 vmovupd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 10 21 vmovupd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 10 e4 vmovups %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 10 21 vmovups \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 10 21 vmovups \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 e4 vptest %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 53 e4 vrcpps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 53 21 vrcpps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 53 21 vrcpps \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 52 e4 vrsqrtps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 51 e4 vsqrtpd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 51 e4 vsqrtps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f e4 vtestpd %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e e4 vtestps %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 ff f0 21 vlddqu \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 ff f0 21 vlddqu \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e3 4d 0d d4 64 vblendpd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0c d4 64 vblendps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 64 vcmppd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 64 vcmpps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 40 d4 64 vdpps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 06 d4 64 vperm2f128 \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c6 d4 64 vshufpd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c6 d4 64 vshufps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps \$0x64,\(%ecx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps \$0x64,\(%ecx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 6d 4b fe 40 vblendvpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd %ymm4,\(%ecx\),%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd %ymm4,\(%ecx\),%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4a fe 40 vblendvps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps %ymm4,\(%ecx\),%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps %ymm4,\(%ecx\),%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 69 fc 20 vfmaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 68 fc 20 vfmaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5d fc 20 vfmaddsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5c fc 20 vfmaddsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5f fc 20 vfmsubaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5e fc 20 vfmsubaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6d fc 20 vfmsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6c fc 20 vfmsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 79 fc 20 vfnmaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 78 fc 20 vfnmaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7d fc 20 vfnmsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7c fc 20 vfnmsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 62 vpermilmo2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 63 vpermilmz2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 60 vpermiltd2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 62 vpermilmo2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 63 vpermilmz2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 60 vpermiltd2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps \(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps \(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 6a vpermil2pd \$0xa,%ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd \$0xa,\(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd \$0xa,\(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 6a vpermil2ps \$0xa,%ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps \$0xa,\(%ecx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps \$0xa,\(%ecx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 5d 18 f4 64 vinsertf128 \$0x64,%xmm4,%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 \$0x64,\(%ecx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 \$0x64,\(%ecx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 19 e4 64 vextractf128 \$0x64,%ymm4,%xmm4 [ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 \$0x64,%ymm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 \$0x64,%ymm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 f8 5b f4 vcvtdq2ps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fb e6 f4 vcvtpd2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb e6 21 vcvtpd2dqx \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 5a f4 vcvtpd2ps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 5a 21 vcvtpd2psx \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 5b f4 vcvtps2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 e6 f4 vcvttpd2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 e6 21 vcvttpd2dqx \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 5b f4 vcvttps2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 28 21 vmovapd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 28 21 vmovapd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 28 21 vmovaps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 28 21 vmovaps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 16 f4 vmovshdup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 12 f4 vmovsldup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 10 21 vmovupd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 10 21 vmovupd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 10 21 vmovups \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 10 21 vmovups \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1c f4 vpabsb %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1d f4 vpabsw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1e f4 vpabsd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 41 f4 vphminposuw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 17 f4 vptest %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 17 21 vptest \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 17 21 vptest \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 0e f4 vtestps %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 0f f4 vtestpd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 53 f4 vrcpps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 53 21 vrcpps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 53 21 vrcpps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 52 f4 vrsqrtps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 51 f4 vsqrtpd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 51 f4 vsqrtps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 29 21 vmovapd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 29 21 vmovapd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 29 21 vmovaps %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f8 29 21 vmovaps %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 11 21 vmovupd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 11 21 vmovupd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 11 21 vmovups %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f8 11 21 vmovups %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 fb f0 21 vlddqu \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fb f0 21 vlddqu \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 fe e6 e4 vcvtdq2pd %xmm4,%ymm4 [ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 5a e4 vcvtps2pd %xmm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd \(%ecx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 c9 58 d4 vaddpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 58 39 vaddpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 58 39 vaddpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 58 d4 vaddps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 58 39 vaddps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 58 39 vaddps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d0 d4 vaddsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb d0 d4 vaddsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 55 d4 vandnpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 55 39 vandnpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 55 39 vandnpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 55 d4 vandnps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 55 39 vandnps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 55 39 vandnps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 54 d4 vandpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 54 39 vandpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 54 39 vandpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 54 d4 vandps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 54 39 vandps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 54 39 vandps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5e d4 vdivpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5e d4 vdivps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5e 39 vdivps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5e 39 vdivps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 7c d4 vhaddpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb 7c d4 vhaddps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 7d d4 vhsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb 7d d4 vhsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5f d4 vmaxpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5f d4 vmaxps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5d d4 vminpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5d 39 vminpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5d 39 vminpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5d d4 vminps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5d 39 vminps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5d 39 vminps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 59 d4 vmulpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 59 39 vmulpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 59 39 vmulpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 59 d4 vmulps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 59 39 vmulps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 59 39 vmulps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 56 d4 vorpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 56 39 vorpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 56 39 vorpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 56 d4 vorps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 56 39 vorps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 56 39 vorps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 63 d4 vpacksswb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6b d4 vpackssdw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 67 d4 vpackuswb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 2b d4 vpackusdw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fc d4 vpaddb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fd d4 vpaddw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fe d4 vpaddd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d4 d4 vpaddq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ec d4 vpaddsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ed d4 vpaddsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 dc d4 vpaddusb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 dd d4 vpaddusw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 db d4 vpand %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 db 39 vpand \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 db 39 vpand \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 df d4 vpandn %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 df 39 vpandn \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 df 39 vpandn \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e0 d4 vpavgb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e3 d4 vpavgw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 74 d4 vpcmpeqb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 75 d4 vpcmpeqw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 76 d4 vpcmpeqd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 29 d4 vpcmpeqq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 64 d4 vpcmpgtb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 65 d4 vpcmpgtw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 66 d4 vpcmpgtd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 37 d4 vpcmpgtq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0d d4 vpermilpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0c d4 vpermilps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 01 d4 vphaddw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 02 d4 vphaddd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 03 d4 vphaddsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 05 d4 vphsubw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 06 d4 vphsubd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 07 d4 vphsubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f5 d4 vpmaddwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 04 d4 vpmaddubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3c d4 vpmaxsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ee d4 vpmaxsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3d d4 vpmaxsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 de d4 vpmaxub %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3e d4 vpmaxuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3f d4 vpmaxud %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 38 d4 vpminsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ea d4 vpminsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 39 d4 vpminsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 da d4 vpminub %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 da 39 vpminub \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 da 39 vpminub \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3a d4 vpminuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3b d4 vpminud %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e4 d4 vpmulhuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0b d4 vpmulhrsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e5 d4 vpmulhw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d5 d4 vpmullw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 40 d4 vpmulld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f4 d4 vpmuludq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 28 d4 vpmuldq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 eb d4 vpor %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 eb 39 vpor \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 eb 39 vpor \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f6 d4 vpsadbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 00 d4 vpshufb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 08 d4 vpsignb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 09 d4 vpsignw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0a d4 vpsignd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f1 d4 vpsllw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f2 d4 vpslld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f2 39 vpslld \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f2 39 vpslld \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f3 d4 vpsllq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e1 d4 vpsraw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e2 d4 vpsrad %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d1 d4 vpsrlw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d2 d4 vpsrld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d3 d4 vpsrlq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f8 d4 vpsubb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f9 d4 vpsubw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fa d4 vpsubd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fb d4 vpsubq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e8 d4 vpsubsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e9 d4 vpsubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d8 d4 vpsubusb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d9 d4 vpsubusw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 68 d4 vpunpckhbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 69 d4 vpunpckhwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6a d4 vpunpckhdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6d d4 vpunpckhqdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 60 d4 vpunpcklbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 61 d4 vpunpcklwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 62 d4 vpunpckldq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6c d4 vpunpcklqdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ef d4 vpxor %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ef 39 vpxor \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ef 39 vpxor \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5c d4 vsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5c d4 vsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5c 39 vsubps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5c 39 vsubps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 15 d4 vunpckhpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 15 d4 vunpckhps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 14 d4 vunpcklpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 14 d4 vunpcklps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 57 d4 vxorpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 57 39 vxorpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 57 39 vxorpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 57 d4 vxorps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 57 39 vxorps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 57 39 vxorps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 00 vcmpeqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 01 vcmpltpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 02 vcmplepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 03 vcmpunordpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 04 vcmpneqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 05 vcmpnltpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 06 vcmpnlepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 07 vcmpordpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 08 vcmpeq_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 09 vcmpngepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0a vcmpngtpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0b vcmpfalsepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0c vcmpneq_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0d vcmpgepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0e vcmpgtpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0f vcmptruepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 10 vcmpeq_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 11 vcmplt_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 12 vcmple_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 13 vcmpunord_spd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 14 vcmpneq_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 15 vcmpnlt_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 16 vcmpnle_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 17 vcmpord_spd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 18 vcmpeq_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 19 vcmpnge_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1a vcmpngt_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1b vcmpfalse_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1c vcmpneq_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1d vcmpge_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1e vcmpgt_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1f vcmptrue_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 00 vcmpeqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 01 vcmpltps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 02 vcmpleps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 03 vcmpunordps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 04 vcmpneqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 05 vcmpnltps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 06 vcmpnleps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 07 vcmpordps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 08 vcmpeq_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 09 vcmpngeps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0a vcmpngtps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0b vcmpfalseps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0c vcmpneq_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0d vcmpgeps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0e vcmpgtps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0f vcmptrueps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 10 vcmpeq_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 11 vcmplt_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 12 vcmple_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 13 vcmpunord_sps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 14 vcmpneq_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 15 vcmpnlt_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 16 vcmpnle_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 17 vcmpord_sps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 18 vcmpeq_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 19 vcmpnge_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1a vcmpngt_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1b vcmpfalse_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1c vcmpneq_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1d vcmpge_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1e vcmpgt_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps \(%ecx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1f vcmptrue_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps \(%ecx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 61 f4 64 vpcmpestri \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 60 f4 64 vpcmpestrm \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 63 f4 64 vpcmpistri \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 62 f4 64 vpcmpistrm \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 05 f4 64 vpermilpd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 04 f4 64 vpermilps \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c5 f9 70 f4 64 vpshufd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c5 fa 70 f4 64 vpshufhw \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c5 fb 70 f4 64 vpshuflw \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 09 f4 64 vroundpd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 08 f4 64 vroundps \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps \$0x64,\(%ecx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps \$0x64,\(%ecx\),%xmm6 [ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps %xmm4,%xmm6,\(%ecx\) +[ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps %xmm4,%xmm6,\(%ecx\) +[ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd %xmm4,%xmm6,\(%ecx\) [ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd %xmm4,%xmm6,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 49 0d d4 64 vblendpd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0c d4 64 vblendps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 d4 64 vcmppd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 d4 64 vcmpps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 41 d4 64 vdppd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 40 d4 64 vdpps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 42 d4 64 vmpsadbw \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0f d4 64 vpalignr \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0e d4 64 vpblendw \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c6 d4 64 vshufpd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c6 d4 64 vshufps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 69 4b fe 40 vblendvpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4a fe 40 vblendvps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4c fe 40 vpblendvb %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 69 fc 20 vfmaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 68 fc 20 vfmaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5d fc 20 vfmaddsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5c fc 20 vfmaddsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5f fc 20 vfmsubaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5e fc 20 vfmsubaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6d fc 20 vfmsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6c fc 20 vfmsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 79 fc 20 vfnmaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 78 fc 20 vfnmaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7d fc 20 vfnmsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7c fc 20 vfnmsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 62 vpermilmo2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 63 vpermilmz2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 60 vpermiltd2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 62 vpermilmo2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 63 vpermilmz2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 60 vpermiltd2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 6a vpermil2pd \$0xa,%xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd \$0xa,\(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd \$0xa,%xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd \$0xa,\(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd \$0xa,%xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 6a vpermil2ps \$0xa,%xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps \$0xa,\(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps \$0xa,%xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps \$0xa,\(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps \$0xa,%xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 f9 2f f4 vcomisd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa e6 f4 vcvtdq2pd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 5a f4 vcvtps2pd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 12 f4 vmovddup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb 12 21 vmovddup \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fb 12 21 vmovddup \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 20 f4 vpmovsxbw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 23 f4 vpmovsxwd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 25 f4 vpmovsxdq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 30 f4 vpmovzxbw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 33 f4 vpmovzxwd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 35 f4 vpmovzxdq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 2e f4 vucomisd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fb 10 21 vmovsd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 10 21 vmovsd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f8 13 21 vmovlps %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f8 13 21 vmovlps %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f8 17 21 vmovhps %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f8 17 21 vmovhps %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 fb 11 21 vmovsd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 fb 11 21 vmovsd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 d6 21 vmovq %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 fa 7e 21 vmovq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 d6 21 vmovq %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 fa 7e 21 vmovq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 2d cc vcvtsd2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si \(%ecx\),%ecx +[ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si \(%ecx\),%ecx [ ]*[a-f0-9]+: c5 fb 2c cc vcvttsd2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si \(%ecx\),%ecx +[ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si \(%ecx\),%ecx [ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d8 12 31 vmovlps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d8 12 31 vmovlps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d8 16 31 vmovhps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d8 16 31 vmovhps \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 cb c2 d4 64 vcmpsd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0b d4 64 vroundsd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 c9 6b fc 20 vfmaddsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6f fc 20 vfmsubsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7b fc 20 vfnmaddsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7f fc 20 vfnmsubsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c5 cb 58 d4 vaddsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 58 11 vaddsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 58 11 vaddsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5a d4 vcvtsd2ss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5e d4 vdivsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5f d4 vmaxsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5d d4 vminsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5d 11 vminsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5d 11 vminsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 59 d4 vmulsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 59 11 vmulsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 59 11 vmulsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 51 d4 vsqrtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5c d4 vsubsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 00 vcmpeqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 01 vcmpltsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 02 vcmplesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 03 vcmpunordsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 04 vcmpneqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 05 vcmpnltsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 06 vcmpnlesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 07 vcmpordsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 08 vcmpeq_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 09 vcmpngesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0a vcmpngtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0b vcmpfalsesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0c vcmpneq_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0d vcmpgesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0e vcmpgtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0f vcmptruesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 10 vcmpeq_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 11 vcmplt_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 12 vcmple_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 13 vcmpunord_ssd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 14 vcmpneq_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 15 vcmpnlt_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 16 vcmpnle_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 17 vcmpord_ssd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 18 vcmpeq_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 19 vcmpnge_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1a vcmpngt_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1b vcmpfalse_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1c vcmpneq_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1d vcmpge_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1e vcmpgt_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1f vcmptrue_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 58 d4 vaddss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 58 11 vaddss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 58 11 vaddss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5a d4 vcvtss2sd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5e d4 vdivss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5e 11 vdivss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5e 11 vdivss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5f d4 vmaxss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5d d4 vminss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5d 11 vminss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5d 11 vminss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 59 d4 vmulss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 59 11 vmulss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 59 11 vmulss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 53 d4 vrcpss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 53 11 vrcpss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 53 11 vrcpss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 52 d4 vrsqrtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 51 d4 vsqrtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5c d4 vsubss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5c 11 vsubss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5c 11 vsubss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 00 vcmpeqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 01 vcmpltss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 02 vcmpless %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 03 vcmpunordss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 04 vcmpneqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 05 vcmpnltss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 06 vcmpnless %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 07 vcmpordss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 08 vcmpeq_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 09 vcmpngess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0a vcmpngtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0b vcmpfalsess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0c vcmpneq_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0d vcmpgess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0e vcmpgtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0f vcmptruess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 10 vcmpeq_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 11 vcmplt_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 12 vcmple_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 13 vcmpunord_sss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 14 vcmpneq_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 15 vcmpnlt_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 16 vcmpnle_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 17 vcmpord_sss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 18 vcmpeq_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 19 vcmpnge_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1a vcmpngt_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1b vcmpfalse_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1c vcmpneq_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1d vcmpge_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1e vcmpgt_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss \(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1f vcmptrue_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss \(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss \(%ecx\),%ymm4 [ ]*[a-f0-9]+: c5 f8 2f f4 vcomiss %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 21 f4 vpmovsxbd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 24 f4 vpmovsxwq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 31 f4 vpmovzxbd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 34 f4 vpmovzxwq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 2e f4 vucomiss %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 10 21 vmovss \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 10 21 vmovss \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 11 21 vmovss %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 fa 11 21 vmovss %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 7e e1 vmovd %xmm4,%ecx [ ]*[a-f0-9]+: c5 f9 7e 21 vmovd %xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 f9 6e e1 vmovd %ecx,%xmm4 [ ]*[a-f0-9]+: c5 f9 6e 21 vmovd \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 7e 21 vmovd %xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c5 f9 6e 21 vmovd \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 2d cc vcvtss2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si \(%ecx\),%ecx +[ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si \(%ecx\),%ecx [ ]*[a-f0-9]+: c5 fa 2c cc vcvttss2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si \(%ecx\),%ecx +[ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si \(%ecx\),%ecx +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 79 16 e1 64 vpextrd \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd \$0x64,%xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 db 2a f1 vcvtsi2sd %ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sdl \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sdl \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 da 2a f1 vcvtsi2ss %ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ssl \(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ssl \(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 ca c2 d4 64 vcmpss \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 21 d4 64 vinsertps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0a d4 64 vroundss \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss \$0x64,\(%ecx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss \$0x64,\(%ecx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 c9 6a fc 20 vfmaddss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6e fc 20 vfmsubss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7a fc 20 vfnmaddss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7e fc 20 vfnmsubss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss \(%ecx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss %xmm4,\(%ecx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss \(%ecx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss %xmm4,\(%ecx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e2 79 22 f4 vpmovsxbq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 32 f4 vpmovzxbq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq \(%ecx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq \(%ecx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%ecx\) +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%ecx\) [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%ecx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%ecx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 f7 f4 vmaskmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 7e f4 vmovq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd %xmm4,%ecx diff --git a/gas/testsuite/gas/i386/avx.s b/gas/testsuite/gas/i386/avx.s index bfb8a0febe..640369f637 100644 --- a/gas/testsuite/gas/i386/avx.s +++ b/gas/testsuite/gas/i386/avx.s @@ -351,7 +351,6 @@ _start: vextractf128 $100,%ymm4,%xmm4 vextractf128 $100,%ymm4,(%ecx) -# Tests for op xmm/mem128, xmm # Tests for op mem128, ymm vbroadcastf128 (%ecx),%ymm4 @@ -1307,8 +1306,6 @@ _start: vmovmskpd %ymm4,%ecx vmovmskps %ymm4,%ecx -# Tests for op ymm, regq - # Default instructions without suffixes. vcvtpd2dq %xmm4,%xmm6 @@ -1536,218 +1533,324 @@ _start: .intel_syntax noprefix # Tests for op mem64 vldmxcsr DWORD PTR [ecx] + vldmxcsr [ecx] vstmxcsr DWORD PTR [ecx] + vstmxcsr [ecx] # Tests for op mem256, mask, ymm # Tests for op ymm, mask, mem256 vmaskmovpd ymm6,ymm4,YMMWORD PTR [ecx] vmaskmovpd YMMWORD PTR [ecx],ymm6,ymm4 + vmaskmovpd ymm6,ymm4,[ecx] + vmaskmovpd [ecx],ymm6,ymm4 vmaskmovps ymm6,ymm4,YMMWORD PTR [ecx] vmaskmovps YMMWORD PTR [ecx],ymm6,ymm4 + vmaskmovps ymm6,ymm4,[ecx] + vmaskmovps [ecx],ymm6,ymm4 # Tests for op imm8, ymm/mem256, ymm vpermilpd ymm2,ymm6,100 vpermilpd ymm6,YMMWORD PTR [ecx],100 + vpermilpd ymm6,[ecx],100 vpermilps ymm2,ymm6,100 vpermilps ymm6,YMMWORD PTR [ecx],100 + vpermilps ymm6,[ecx],100 vroundpd ymm2,ymm6,100 vroundpd ymm6,YMMWORD PTR [ecx],100 + vroundpd ymm6,[ecx],100 vroundps ymm2,ymm6,100 vroundps ymm6,YMMWORD PTR [ecx],100 + vroundps ymm6,[ecx],100 # Tests for op ymm/mem256, ymm, ymm vaddpd ymm2,ymm6,ymm4 vaddpd ymm2,ymm6,YMMWORD PTR [ecx] + vaddpd ymm2,ymm6,[ecx] vaddps ymm2,ymm6,ymm4 vaddps ymm2,ymm6,YMMWORD PTR [ecx] + vaddps ymm2,ymm6,[ecx] vaddsubpd ymm2,ymm6,ymm4 vaddsubpd ymm2,ymm6,YMMWORD PTR [ecx] + vaddsubpd ymm2,ymm6,[ecx] vaddsubps ymm2,ymm6,ymm4 vaddsubps ymm2,ymm6,YMMWORD PTR [ecx] + vaddsubps ymm2,ymm6,[ecx] vandnpd ymm2,ymm6,ymm4 vandnpd ymm2,ymm6,YMMWORD PTR [ecx] + vandnpd ymm2,ymm6,[ecx] vandnps ymm2,ymm6,ymm4 vandnps ymm2,ymm6,YMMWORD PTR [ecx] + vandnps ymm2,ymm6,[ecx] vandpd ymm2,ymm6,ymm4 vandpd ymm2,ymm6,YMMWORD PTR [ecx] + vandpd ymm2,ymm6,[ecx] vandps ymm2,ymm6,ymm4 vandps ymm2,ymm6,YMMWORD PTR [ecx] + vandps ymm2,ymm6,[ecx] vdivpd ymm2,ymm6,ymm4 vdivpd ymm2,ymm6,YMMWORD PTR [ecx] + vdivpd ymm2,ymm6,[ecx] vdivps ymm2,ymm6,ymm4 vdivps ymm2,ymm6,YMMWORD PTR [ecx] + vdivps ymm2,ymm6,[ecx] vhaddpd ymm2,ymm6,ymm4 vhaddpd ymm2,ymm6,YMMWORD PTR [ecx] + vhaddpd ymm2,ymm6,[ecx] vhaddps ymm2,ymm6,ymm4 vhaddps ymm2,ymm6,YMMWORD PTR [ecx] + vhaddps ymm2,ymm6,[ecx] vhsubpd ymm2,ymm6,ymm4 vhsubpd ymm2,ymm6,YMMWORD PTR [ecx] + vhsubpd ymm2,ymm6,[ecx] vhsubps ymm2,ymm6,ymm4 vhsubps ymm2,ymm6,YMMWORD PTR [ecx] + vhsubps ymm2,ymm6,[ecx] vmaxpd ymm2,ymm6,ymm4 vmaxpd ymm2,ymm6,YMMWORD PTR [ecx] + vmaxpd ymm2,ymm6,[ecx] vmaxps ymm2,ymm6,ymm4 vmaxps ymm2,ymm6,YMMWORD PTR [ecx] + vmaxps ymm2,ymm6,[ecx] vminpd ymm2,ymm6,ymm4 vminpd ymm2,ymm6,YMMWORD PTR [ecx] + vminpd ymm2,ymm6,[ecx] vminps ymm2,ymm6,ymm4 vminps ymm2,ymm6,YMMWORD PTR [ecx] + vminps ymm2,ymm6,[ecx] vmulpd ymm2,ymm6,ymm4 vmulpd ymm2,ymm6,YMMWORD PTR [ecx] + vmulpd ymm2,ymm6,[ecx] vmulps ymm2,ymm6,ymm4 vmulps ymm2,ymm6,YMMWORD PTR [ecx] + vmulps ymm2,ymm6,[ecx] vorpd ymm2,ymm6,ymm4 vorpd ymm2,ymm6,YMMWORD PTR [ecx] + vorpd ymm2,ymm6,[ecx] vorps ymm2,ymm6,ymm4 vorps ymm2,ymm6,YMMWORD PTR [ecx] + vorps ymm2,ymm6,[ecx] vpermilpd ymm2,ymm6,ymm4 vpermilpd ymm2,ymm6,YMMWORD PTR [ecx] + vpermilpd ymm2,ymm6,[ecx] vpermilps ymm2,ymm6,ymm4 vpermilps ymm2,ymm6,YMMWORD PTR [ecx] + vpermilps ymm2,ymm6,[ecx] vsubpd ymm2,ymm6,ymm4 vsubpd ymm2,ymm6,YMMWORD PTR [ecx] + vsubpd ymm2,ymm6,[ecx] vsubps ymm2,ymm6,ymm4 vsubps ymm2,ymm6,YMMWORD PTR [ecx] + vsubps ymm2,ymm6,[ecx] vunpckhpd ymm2,ymm6,ymm4 vunpckhpd ymm2,ymm6,YMMWORD PTR [ecx] + vunpckhpd ymm2,ymm6,[ecx] vunpckhps ymm2,ymm6,ymm4 vunpckhps ymm2,ymm6,YMMWORD PTR [ecx] + vunpckhps ymm2,ymm6,[ecx] vunpcklpd ymm2,ymm6,ymm4 vunpcklpd ymm2,ymm6,YMMWORD PTR [ecx] + vunpcklpd ymm2,ymm6,[ecx] vunpcklps ymm2,ymm6,ymm4 vunpcklps ymm2,ymm6,YMMWORD PTR [ecx] + vunpcklps ymm2,ymm6,[ecx] vxorpd ymm2,ymm6,ymm4 vxorpd ymm2,ymm6,YMMWORD PTR [ecx] + vxorpd ymm2,ymm6,[ecx] vxorps ymm2,ymm6,ymm4 vxorps ymm2,ymm6,YMMWORD PTR [ecx] + vxorps ymm2,ymm6,[ecx] vcmpeqpd ymm2,ymm6,ymm4 vcmpeqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeqpd ymm2,ymm6,[ecx] vcmpltpd ymm2,ymm6,ymm4 vcmpltpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpltpd ymm2,ymm6,[ecx] vcmplepd ymm2,ymm6,ymm4 vcmplepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmplepd ymm2,ymm6,[ecx] vcmpunordpd ymm2,ymm6,ymm4 vcmpunordpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpunordpd ymm2,ymm6,[ecx] vcmpneqpd ymm2,ymm6,ymm4 vcmpneqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneqpd ymm2,ymm6,[ecx] vcmpnltpd ymm2,ymm6,ymm4 vcmpnltpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnltpd ymm2,ymm6,[ecx] vcmpnlepd ymm2,ymm6,ymm4 vcmpnlepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnlepd ymm2,ymm6,[ecx] vcmpordpd ymm2,ymm6,ymm4 vcmpordpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpordpd ymm2,ymm6,[ecx] vcmpeq_uqpd ymm2,ymm6,ymm4 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_uqpd ymm2,ymm6,[ecx] vcmpngepd ymm2,ymm6,ymm4 vcmpngepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngepd ymm2,ymm6,[ecx] vcmpngtpd ymm2,ymm6,ymm4 vcmpngtpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngtpd ymm2,ymm6,[ecx] vcmpfalsepd ymm2,ymm6,ymm4 vcmpfalsepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpfalsepd ymm2,ymm6,[ecx] vcmpneq_oqpd ymm2,ymm6,ymm4 vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_oqpd ymm2,ymm6,[ecx] vcmpgepd ymm2,ymm6,ymm4 vcmpgepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgepd ymm2,ymm6,[ecx] vcmpgtpd ymm2,ymm6,ymm4 vcmpgtpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgtpd ymm2,ymm6,[ecx] vcmptruepd ymm2,ymm6,ymm4 vcmptruepd ymm2,ymm6,YMMWORD PTR [ecx] + vcmptruepd ymm2,ymm6,[ecx] vcmpeq_ospd ymm2,ymm6,ymm4 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_ospd ymm2,ymm6,[ecx] vcmplt_oqpd ymm2,ymm6,ymm4 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmplt_oqpd ymm2,ymm6,[ecx] vcmple_oqpd ymm2,ymm6,ymm4 vcmple_oqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmple_oqpd ymm2,ymm6,[ecx] vcmpunord_spd ymm2,ymm6,ymm4 vcmpunord_spd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpunord_spd ymm2,ymm6,[ecx] vcmpneq_uspd ymm2,ymm6,ymm4 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_uspd ymm2,ymm6,[ecx] vcmpnlt_uqpd ymm2,ymm6,ymm4 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnlt_uqpd ymm2,ymm6,[ecx] vcmpnle_uqpd ymm2,ymm6,ymm4 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnle_uqpd ymm2,ymm6,[ecx] vcmpord_spd ymm2,ymm6,ymm4 vcmpord_spd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpord_spd ymm2,ymm6,[ecx] vcmpeq_uspd ymm2,ymm6,ymm4 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_uspd ymm2,ymm6,[ecx] vcmpnge_uqpd ymm2,ymm6,ymm4 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnge_uqpd ymm2,ymm6,[ecx] vcmpngt_uqpd ymm2,ymm6,ymm4 vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngt_uqpd ymm2,ymm6,[ecx] vcmpfalse_ospd ymm2,ymm6,ymm4 vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpfalse_ospd ymm2,ymm6,[ecx] vcmpneq_ospd ymm2,ymm6,ymm4 vcmpneq_ospd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_ospd ymm2,ymm6,[ecx] vcmpge_oqpd ymm2,ymm6,ymm4 vcmpge_oqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpge_oqpd ymm2,ymm6,[ecx] vcmpgt_oqpd ymm2,ymm6,ymm4 vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgt_oqpd ymm2,ymm6,[ecx] vcmptrue_uspd ymm2,ymm6,ymm4 vcmptrue_uspd ymm2,ymm6,YMMWORD PTR [ecx] + vcmptrue_uspd ymm2,ymm6,[ecx] vcmpeqps ymm2,ymm6,ymm4 vcmpeqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeqps ymm2,ymm6,[ecx] vcmpltps ymm2,ymm6,ymm4 vcmpltps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpltps ymm2,ymm6,[ecx] vcmpleps ymm2,ymm6,ymm4 vcmpleps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpleps ymm2,ymm6,[ecx] vcmpunordps ymm2,ymm6,ymm4 vcmpunordps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpunordps ymm2,ymm6,[ecx] vcmpneqps ymm2,ymm6,ymm4 vcmpneqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneqps ymm2,ymm6,[ecx] vcmpnltps ymm2,ymm6,ymm4 vcmpnltps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnltps ymm2,ymm6,[ecx] vcmpnleps ymm2,ymm6,ymm4 vcmpnleps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnleps ymm2,ymm6,[ecx] vcmpordps ymm2,ymm6,ymm4 vcmpordps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpordps ymm2,ymm6,[ecx] vcmpeq_uqps ymm2,ymm6,ymm4 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_uqps ymm2,ymm6,[ecx] vcmpngeps ymm2,ymm6,ymm4 vcmpngeps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngeps ymm2,ymm6,[ecx] vcmpngtps ymm2,ymm6,ymm4 vcmpngtps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngtps ymm2,ymm6,[ecx] vcmpfalseps ymm2,ymm6,ymm4 vcmpfalseps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpfalseps ymm2,ymm6,[ecx] vcmpneq_oqps ymm2,ymm6,ymm4 vcmpneq_oqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_oqps ymm2,ymm6,[ecx] vcmpgeps ymm2,ymm6,ymm4 vcmpgeps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgeps ymm2,ymm6,[ecx] vcmpgtps ymm2,ymm6,ymm4 vcmpgtps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgtps ymm2,ymm6,[ecx] vcmptrueps ymm2,ymm6,ymm4 vcmptrueps ymm2,ymm6,YMMWORD PTR [ecx] + vcmptrueps ymm2,ymm6,[ecx] vcmpeq_osps ymm2,ymm6,ymm4 vcmpeq_osps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_osps ymm2,ymm6,[ecx] vcmplt_oqps ymm2,ymm6,ymm4 vcmplt_oqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmplt_oqps ymm2,ymm6,[ecx] vcmple_oqps ymm2,ymm6,ymm4 vcmple_oqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmple_oqps ymm2,ymm6,[ecx] vcmpunord_sps ymm2,ymm6,ymm4 vcmpunord_sps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpunord_sps ymm2,ymm6,[ecx] vcmpneq_usps ymm2,ymm6,ymm4 vcmpneq_usps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_usps ymm2,ymm6,[ecx] vcmpnlt_uqps ymm2,ymm6,ymm4 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnlt_uqps ymm2,ymm6,[ecx] vcmpnle_uqps ymm2,ymm6,ymm4 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnle_uqps ymm2,ymm6,[ecx] vcmpord_sps ymm2,ymm6,ymm4 vcmpord_sps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpord_sps ymm2,ymm6,[ecx] vcmpeq_usps ymm2,ymm6,ymm4 vcmpeq_usps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpeq_usps ymm2,ymm6,[ecx] vcmpnge_uqps ymm2,ymm6,ymm4 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpnge_uqps ymm2,ymm6,[ecx] vcmpngt_uqps ymm2,ymm6,ymm4 vcmpngt_uqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpngt_uqps ymm2,ymm6,[ecx] vcmpfalse_osps ymm2,ymm6,ymm4 vcmpfalse_osps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpfalse_osps ymm2,ymm6,[ecx] vcmpneq_osps ymm2,ymm6,ymm4 vcmpneq_osps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpneq_osps ymm2,ymm6,[ecx] vcmpge_oqps ymm2,ymm6,ymm4 vcmpge_oqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpge_oqps ymm2,ymm6,[ecx] vcmpgt_oqps ymm2,ymm6,ymm4 vcmpgt_oqps ymm2,ymm6,YMMWORD PTR [ecx] + vcmpgt_oqps ymm2,ymm6,[ecx] vcmptrue_usps ymm2,ymm6,ymm4 vcmptrue_usps ymm2,ymm6,YMMWORD PTR [ecx] + vcmptrue_usps ymm2,ymm6,[ecx] # Tests for op ymm/mem256, xmm vcvtpd2dq xmm4,ymm4 @@ -1760,963 +1863,1425 @@ _start: # Tests for op ymm/mem256, ymm vcvtdq2ps ymm4,ymm4 vcvtdq2ps ymm4,YMMWORD PTR [ecx] + vcvtdq2ps ymm4,[ecx] vcvtps2dq ymm4,ymm4 vcvtps2dq ymm4,YMMWORD PTR [ecx] + vcvtps2dq ymm4,[ecx] vcvttps2dq ymm4,ymm4 vcvttps2dq ymm4,YMMWORD PTR [ecx] + vcvttps2dq ymm4,[ecx] vmovapd ymm4,ymm4 vmovapd ymm4,YMMWORD PTR [ecx] + vmovapd ymm4,[ecx] vmovaps ymm4,ymm4 vmovaps ymm4,YMMWORD PTR [ecx] + vmovaps ymm4,[ecx] vmovdqa ymm4,ymm4 vmovdqa ymm4,YMMWORD PTR [ecx] + vmovdqa ymm4,[ecx] vmovdqu ymm4,ymm4 vmovdqu ymm4,YMMWORD PTR [ecx] + vmovdqu ymm4,[ecx] vmovddup ymm4,ymm4 vmovddup ymm4,YMMWORD PTR [ecx] + vmovddup ymm4,[ecx] vmovshdup ymm4,ymm4 vmovshdup ymm4,YMMWORD PTR [ecx] + vmovshdup ymm4,[ecx] vmovsldup ymm4,ymm4 vmovsldup ymm4,YMMWORD PTR [ecx] + vmovsldup ymm4,[ecx] vmovupd ymm4,ymm4 vmovupd ymm4,YMMWORD PTR [ecx] + vmovupd ymm4,[ecx] vmovups ymm4,ymm4 vmovups ymm4,YMMWORD PTR [ecx] + vmovups ymm4,[ecx] vptest ymm4,ymm4 vptest ymm4,YMMWORD PTR [ecx] + vptest ymm4,[ecx] vrcpps ymm4,ymm4 vrcpps ymm4,YMMWORD PTR [ecx] + vrcpps ymm4,[ecx] vrsqrtps ymm4,ymm4 vrsqrtps ymm4,YMMWORD PTR [ecx] + vrsqrtps ymm4,[ecx] vsqrtpd ymm4,ymm4 vsqrtpd ymm4,YMMWORD PTR [ecx] + vsqrtpd ymm4,[ecx] vsqrtps ymm4,ymm4 vsqrtps ymm4,YMMWORD PTR [ecx] + vsqrtps ymm4,[ecx] vtestpd ymm4,ymm4 vtestpd ymm4,YMMWORD PTR [ecx] + vtestpd ymm4,[ecx] vtestps ymm4,ymm4 vtestps ymm4,YMMWORD PTR [ecx] + vtestps ymm4,[ecx] # Tests for op mem256, ymm vlddqu ymm4,YMMWORD PTR [ecx] + vlddqu ymm4,[ecx] # Tests for op imm8, ymm/mem256, ymm, ymm vblendpd ymm2,ymm6,ymm4,100 vblendpd ymm2,ymm6,YMMWORD PTR [ecx],100 + vblendpd ymm2,ymm6,[ecx],100 vblendps ymm2,ymm6,ymm4,100 vblendps ymm2,ymm6,YMMWORD PTR [ecx],100 + vblendps ymm2,ymm6,[ecx],100 vcmppd ymm2,ymm6,ymm4,100 vcmppd ymm2,ymm6,YMMWORD PTR [ecx],100 + vcmppd ymm2,ymm6,[ecx],100 vcmpps ymm2,ymm6,ymm4,100 vcmpps ymm2,ymm6,YMMWORD PTR [ecx],100 + vcmpps ymm2,ymm6,[ecx],100 vdpps ymm2,ymm6,ymm4,100 vdpps ymm2,ymm6,YMMWORD PTR [ecx],100 + vdpps ymm2,ymm6,[ecx],100 vperm2f128 ymm2,ymm6,ymm4,100 vperm2f128 ymm2,ymm6,YMMWORD PTR [ecx],100 + vperm2f128 ymm2,ymm6,[ecx],100 vshufpd ymm2,ymm6,ymm4,100 vshufpd ymm2,ymm6,YMMWORD PTR [ecx],100 + vshufpd ymm2,ymm6,[ecx],100 vshufps ymm2,ymm6,ymm4,100 vshufps ymm2,ymm6,YMMWORD PTR [ecx],100 + vshufps ymm2,ymm6,[ecx],100 # Tests for op ymm, ymm/mem256, ymm, ymm vblendvpd ymm7,ymm2,ymm6,ymm4 vblendvpd ymm7,ymm2,YMMWORD PTR [ecx],ymm4 + vblendvpd ymm7,ymm2,[ecx],ymm4 vblendvps ymm7,ymm2,ymm6,ymm4 vblendvps ymm7,ymm2,YMMWORD PTR [ecx],ymm4 + vblendvps ymm7,ymm2,[ecx],ymm4 # Tests for op ymm/mem256, ymm, ymm, ymm # Tests for op ymm, ymm/mem256, ymm, ymm vfmaddpd ymm7,ymm2,ymm6,ymm4 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmaddpd ymm7,ymm2,ymm6,[ecx] vfmaddps ymm7,ymm2,ymm6,ymm4 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmaddps ymm7,ymm2,ymm6,[ecx] vfmaddsubpd ymm7,ymm2,ymm6,ymm4 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmaddsubpd ymm7,ymm2,ymm6,[ecx] vfmaddsubps ymm7,ymm2,ymm6,ymm4 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmaddsubps ymm7,ymm2,ymm6,[ecx] vfmsubaddpd ymm7,ymm2,ymm6,ymm4 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmsubaddpd ymm7,ymm2,ymm6,[ecx] vfmsubaddps ymm7,ymm2,ymm6,ymm4 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmsubaddps ymm7,ymm2,ymm6,[ecx] vfmsubpd ymm7,ymm2,ymm6,ymm4 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmsubpd ymm7,ymm2,ymm6,[ecx] vfmsubps ymm7,ymm2,ymm6,ymm4 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfmsubps ymm7,ymm2,ymm6,[ecx] vfnmaddpd ymm7,ymm2,ymm6,ymm4 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfnmaddpd ymm7,ymm2,ymm6,[ecx] vfnmaddps ymm7,ymm2,ymm6,ymm4 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfnmaddps ymm7,ymm2,ymm6,[ecx] vfnmsubpd ymm7,ymm2,ymm6,ymm4 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfnmsubpd ymm7,ymm2,ymm6,[ecx] vfnmsubps ymm7,ymm2,ymm6,ymm4 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vfnmsubps ymm7,ymm2,ymm6,[ecx] vpermilmo2pd ymm7,ymm2,ymm6,ymm4 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermilmo2pd ymm7,ymm2,ymm6,[ecx] vpermilmz2pd ymm7,ymm2,ymm6,ymm4 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermilmz2pd ymm7,ymm2,ymm6,[ecx] vpermiltd2pd ymm7,ymm2,ymm6,ymm4 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermiltd2pd ymm7,ymm2,ymm6,[ecx] vpermilmo2ps ymm7,ymm2,ymm6,ymm4 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermilmo2ps ymm7,ymm2,ymm6,[ecx] vpermilmz2ps ymm7,ymm2,ymm6,ymm4 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermilmz2ps ymm7,ymm2,ymm6,[ecx] vpermiltd2ps ymm7,ymm2,ymm6,ymm4 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR [ecx] + vpermiltd2ps ymm7,ymm2,ymm6,[ecx] # Tests for op imm4, ymm/mem256, ymm, ymm, ymm # Tests for op imm4, ymm, ymm/mem256, ymm, ymm vpermil2pd ymm7,ymm2,ymm6,ymm4,10 vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR [ecx],10 + vpermil2pd ymm7,ymm2,ymm6,[ecx],10 vpermil2ps ymm7,ymm2,ymm6,ymm4,10 vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR [ecx],10 + vpermil2ps ymm7,ymm2,ymm6,[ecx],10 # Tests for op imm8, xmm/mem128, ymm, ymm vinsertf128 ymm6,ymm4,xmm4,100 vinsertf128 ymm6,ymm4,XMMWORD PTR [ecx],100 + vinsertf128 ymm6,ymm4,[ecx],100 # Tests for op imm8, ymm, xmm/mem128 vextractf128 xmm4,ymm4,100 vextractf128 XMMWORD PTR [ecx],ymm4,100 + vextractf128 [ecx],ymm4,100 -# Tests for op xmm/mem128, xmm # Tests for op mem128, ymm vbroadcastf128 ymm4,XMMWORD PTR [ecx] + vbroadcastf128 ymm4,[ecx] # Tests for op xmm/mem128, xmm vcvtdq2ps xmm6,xmm4 vcvtdq2ps xmm4,XMMWORD PTR [ecx] + vcvtdq2ps xmm4,[ecx] vcvtpd2dq xmm6,xmm4 vcvtpd2dq xmm4,XMMWORD PTR [ecx] vcvtpd2ps xmm6,xmm4 vcvtpd2ps xmm4,XMMWORD PTR [ecx] vcvtps2dq xmm6,xmm4 vcvtps2dq xmm4,XMMWORD PTR [ecx] + vcvtps2dq xmm4,[ecx] vcvttpd2dq xmm6,xmm4 vcvttpd2dq xmm4,XMMWORD PTR [ecx] vcvttps2dq xmm6,xmm4 vcvttps2dq xmm4,XMMWORD PTR [ecx] + vcvttps2dq xmm4,[ecx] vmovapd xmm6,xmm4 vmovapd xmm4,XMMWORD PTR [ecx] + vmovapd xmm4,[ecx] vmovaps xmm6,xmm4 vmovaps xmm4,XMMWORD PTR [ecx] + vmovaps xmm4,[ecx] vmovdqa xmm6,xmm4 vmovdqa xmm4,XMMWORD PTR [ecx] + vmovdqa xmm4,[ecx] vmovdqu xmm6,xmm4 vmovdqu xmm4,XMMWORD PTR [ecx] + vmovdqu xmm4,[ecx] vmovshdup xmm6,xmm4 vmovshdup xmm4,XMMWORD PTR [ecx] + vmovshdup xmm4,[ecx] vmovsldup xmm6,xmm4 vmovsldup xmm4,XMMWORD PTR [ecx] + vmovsldup xmm4,[ecx] vmovupd xmm6,xmm4 vmovupd xmm4,XMMWORD PTR [ecx] + vmovupd xmm4,[ecx] vmovups xmm6,xmm4 vmovups xmm4,XMMWORD PTR [ecx] + vmovups xmm4,[ecx] vpabsb xmm6,xmm4 vpabsb xmm4,XMMWORD PTR [ecx] + vpabsb xmm4,[ecx] vpabsw xmm6,xmm4 vpabsw xmm4,XMMWORD PTR [ecx] + vpabsw xmm4,[ecx] vpabsd xmm6,xmm4 vpabsd xmm4,XMMWORD PTR [ecx] + vpabsd xmm4,[ecx] vphminposuw xmm6,xmm4 vphminposuw xmm4,XMMWORD PTR [ecx] + vphminposuw xmm4,[ecx] vptest xmm6,xmm4 vptest xmm4,XMMWORD PTR [ecx] + vptest xmm4,[ecx] vtestps xmm6,xmm4 vtestps xmm4,XMMWORD PTR [ecx] + vtestps xmm4,[ecx] vtestpd xmm6,xmm4 vtestpd xmm4,XMMWORD PTR [ecx] + vtestpd xmm4,[ecx] vrcpps xmm6,xmm4 vrcpps xmm4,XMMWORD PTR [ecx] + vrcpps xmm4,[ecx] vrsqrtps xmm6,xmm4 vrsqrtps xmm4,XMMWORD PTR [ecx] + vrsqrtps xmm4,[ecx] vsqrtpd xmm6,xmm4 vsqrtpd xmm4,XMMWORD PTR [ecx] + vsqrtpd xmm4,[ecx] vsqrtps xmm6,xmm4 vsqrtps xmm4,XMMWORD PTR [ecx] + vsqrtps xmm4,[ecx] # Tests for op xmm, xmm/mem128 vmovapd xmm6,xmm4 vmovapd XMMWORD PTR [ecx],xmm4 + vmovapd [ecx],xmm4 vmovaps xmm6,xmm4 vmovaps XMMWORD PTR [ecx],xmm4 + vmovaps [ecx],xmm4 vmovdqa xmm6,xmm4 vmovdqa XMMWORD PTR [ecx],xmm4 + vmovdqa [ecx],xmm4 vmovdqu xmm6,xmm4 vmovdqu XMMWORD PTR [ecx],xmm4 + vmovdqu [ecx],xmm4 vmovupd xmm6,xmm4 vmovupd XMMWORD PTR [ecx],xmm4 + vmovupd [ecx],xmm4 vmovups xmm6,xmm4 vmovups XMMWORD PTR [ecx],xmm4 + vmovups [ecx],xmm4 # Tests for op mem128, xmm vlddqu xmm4,XMMWORD PTR [ecx] + vlddqu xmm4,[ecx] vmovntdqa xmm4,XMMWORD PTR [ecx] + vmovntdqa xmm4,[ecx] # Tests for op xmm, mem128 vmovntdq XMMWORD PTR [ecx],xmm4 + vmovntdq [ecx],xmm4 vmovntpd XMMWORD PTR [ecx],xmm4 + vmovntpd [ecx],xmm4 vmovntps XMMWORD PTR [ecx],xmm4 + vmovntps [ecx],xmm4 # Tests for op xmm/mem128, ymm vcvtdq2pd ymm4,xmm4 vcvtdq2pd ymm4,XMMWORD PTR [ecx] + vcvtdq2pd ymm4,[ecx] vcvtps2pd ymm4,xmm4 vcvtps2pd ymm4,XMMWORD PTR [ecx] + vcvtps2pd ymm4,[ecx] # Tests for op xmm/mem128, xmm, xmm vaddpd xmm2,xmm6,xmm4 vaddpd xmm7,xmm6,XMMWORD PTR [ecx] + vaddpd xmm7,xmm6,[ecx] vaddps xmm2,xmm6,xmm4 vaddps xmm7,xmm6,XMMWORD PTR [ecx] + vaddps xmm7,xmm6,[ecx] vaddsubpd xmm2,xmm6,xmm4 vaddsubpd xmm7,xmm6,XMMWORD PTR [ecx] + vaddsubpd xmm7,xmm6,[ecx] vaddsubps xmm2,xmm6,xmm4 vaddsubps xmm7,xmm6,XMMWORD PTR [ecx] + vaddsubps xmm7,xmm6,[ecx] vandnpd xmm2,xmm6,xmm4 vandnpd xmm7,xmm6,XMMWORD PTR [ecx] + vandnpd xmm7,xmm6,[ecx] vandnps xmm2,xmm6,xmm4 vandnps xmm7,xmm6,XMMWORD PTR [ecx] + vandnps xmm7,xmm6,[ecx] vandpd xmm2,xmm6,xmm4 vandpd xmm7,xmm6,XMMWORD PTR [ecx] + vandpd xmm7,xmm6,[ecx] vandps xmm2,xmm6,xmm4 vandps xmm7,xmm6,XMMWORD PTR [ecx] + vandps xmm7,xmm6,[ecx] vdivpd xmm2,xmm6,xmm4 vdivpd xmm7,xmm6,XMMWORD PTR [ecx] + vdivpd xmm7,xmm6,[ecx] vdivps xmm2,xmm6,xmm4 vdivps xmm7,xmm6,XMMWORD PTR [ecx] + vdivps xmm7,xmm6,[ecx] vhaddpd xmm2,xmm6,xmm4 vhaddpd xmm7,xmm6,XMMWORD PTR [ecx] + vhaddpd xmm7,xmm6,[ecx] vhaddps xmm2,xmm6,xmm4 vhaddps xmm7,xmm6,XMMWORD PTR [ecx] + vhaddps xmm7,xmm6,[ecx] vhsubpd xmm2,xmm6,xmm4 vhsubpd xmm7,xmm6,XMMWORD PTR [ecx] + vhsubpd xmm7,xmm6,[ecx] vhsubps xmm2,xmm6,xmm4 vhsubps xmm7,xmm6,XMMWORD PTR [ecx] + vhsubps xmm7,xmm6,[ecx] vmaxpd xmm2,xmm6,xmm4 vmaxpd xmm7,xmm6,XMMWORD PTR [ecx] + vmaxpd xmm7,xmm6,[ecx] vmaxps xmm2,xmm6,xmm4 vmaxps xmm7,xmm6,XMMWORD PTR [ecx] + vmaxps xmm7,xmm6,[ecx] vminpd xmm2,xmm6,xmm4 vminpd xmm7,xmm6,XMMWORD PTR [ecx] + vminpd xmm7,xmm6,[ecx] vminps xmm2,xmm6,xmm4 vminps xmm7,xmm6,XMMWORD PTR [ecx] + vminps xmm7,xmm6,[ecx] vmulpd xmm2,xmm6,xmm4 vmulpd xmm7,xmm6,XMMWORD PTR [ecx] + vmulpd xmm7,xmm6,[ecx] vmulps xmm2,xmm6,xmm4 vmulps xmm7,xmm6,XMMWORD PTR [ecx] + vmulps xmm7,xmm6,[ecx] vorpd xmm2,xmm6,xmm4 vorpd xmm7,xmm6,XMMWORD PTR [ecx] + vorpd xmm7,xmm6,[ecx] vorps xmm2,xmm6,xmm4 vorps xmm7,xmm6,XMMWORD PTR [ecx] + vorps xmm7,xmm6,[ecx] vpacksswb xmm2,xmm6,xmm4 vpacksswb xmm7,xmm6,XMMWORD PTR [ecx] + vpacksswb xmm7,xmm6,[ecx] vpackssdw xmm2,xmm6,xmm4 vpackssdw xmm7,xmm6,XMMWORD PTR [ecx] + vpackssdw xmm7,xmm6,[ecx] vpackuswb xmm2,xmm6,xmm4 vpackuswb xmm7,xmm6,XMMWORD PTR [ecx] + vpackuswb xmm7,xmm6,[ecx] vpackusdw xmm2,xmm6,xmm4 vpackusdw xmm7,xmm6,XMMWORD PTR [ecx] + vpackusdw xmm7,xmm6,[ecx] vpaddb xmm2,xmm6,xmm4 vpaddb xmm7,xmm6,XMMWORD PTR [ecx] + vpaddb xmm7,xmm6,[ecx] vpaddw xmm2,xmm6,xmm4 vpaddw xmm7,xmm6,XMMWORD PTR [ecx] + vpaddw xmm7,xmm6,[ecx] vpaddd xmm2,xmm6,xmm4 vpaddd xmm7,xmm6,XMMWORD PTR [ecx] + vpaddd xmm7,xmm6,[ecx] vpaddq xmm2,xmm6,xmm4 vpaddq xmm7,xmm6,XMMWORD PTR [ecx] + vpaddq xmm7,xmm6,[ecx] vpaddsb xmm2,xmm6,xmm4 vpaddsb xmm7,xmm6,XMMWORD PTR [ecx] + vpaddsb xmm7,xmm6,[ecx] vpaddsw xmm2,xmm6,xmm4 vpaddsw xmm7,xmm6,XMMWORD PTR [ecx] + vpaddsw xmm7,xmm6,[ecx] vpaddusb xmm2,xmm6,xmm4 vpaddusb xmm7,xmm6,XMMWORD PTR [ecx] + vpaddusb xmm7,xmm6,[ecx] vpaddusw xmm2,xmm6,xmm4 vpaddusw xmm7,xmm6,XMMWORD PTR [ecx] + vpaddusw xmm7,xmm6,[ecx] vpand xmm2,xmm6,xmm4 vpand xmm7,xmm6,XMMWORD PTR [ecx] + vpand xmm7,xmm6,[ecx] vpandn xmm2,xmm6,xmm4 vpandn xmm7,xmm6,XMMWORD PTR [ecx] + vpandn xmm7,xmm6,[ecx] vpavgb xmm2,xmm6,xmm4 vpavgb xmm7,xmm6,XMMWORD PTR [ecx] + vpavgb xmm7,xmm6,[ecx] vpavgw xmm2,xmm6,xmm4 vpavgw xmm7,xmm6,XMMWORD PTR [ecx] + vpavgw xmm7,xmm6,[ecx] vpcmpeqb xmm2,xmm6,xmm4 vpcmpeqb xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpeqb xmm7,xmm6,[ecx] vpcmpeqw xmm2,xmm6,xmm4 vpcmpeqw xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpeqw xmm7,xmm6,[ecx] vpcmpeqd xmm2,xmm6,xmm4 vpcmpeqd xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpeqd xmm7,xmm6,[ecx] vpcmpeqq xmm2,xmm6,xmm4 vpcmpeqq xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpeqq xmm7,xmm6,[ecx] vpcmpgtb xmm2,xmm6,xmm4 vpcmpgtb xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpgtb xmm7,xmm6,[ecx] vpcmpgtw xmm2,xmm6,xmm4 vpcmpgtw xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpgtw xmm7,xmm6,[ecx] vpcmpgtd xmm2,xmm6,xmm4 vpcmpgtd xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpgtd xmm7,xmm6,[ecx] vpcmpgtq xmm2,xmm6,xmm4 vpcmpgtq xmm7,xmm6,XMMWORD PTR [ecx] + vpcmpgtq xmm7,xmm6,[ecx] vpermilpd xmm2,xmm6,xmm4 vpermilpd xmm7,xmm6,XMMWORD PTR [ecx] + vpermilpd xmm7,xmm6,[ecx] vpermilps xmm2,xmm6,xmm4 vpermilps xmm7,xmm6,XMMWORD PTR [ecx] + vpermilps xmm7,xmm6,[ecx] vphaddw xmm2,xmm6,xmm4 vphaddw xmm7,xmm6,XMMWORD PTR [ecx] + vphaddw xmm7,xmm6,[ecx] vphaddd xmm2,xmm6,xmm4 vphaddd xmm7,xmm6,XMMWORD PTR [ecx] + vphaddd xmm7,xmm6,[ecx] vphaddsw xmm2,xmm6,xmm4 vphaddsw xmm7,xmm6,XMMWORD PTR [ecx] + vphaddsw xmm7,xmm6,[ecx] vphsubw xmm2,xmm6,xmm4 vphsubw xmm7,xmm6,XMMWORD PTR [ecx] + vphsubw xmm7,xmm6,[ecx] vphsubd xmm2,xmm6,xmm4 vphsubd xmm7,xmm6,XMMWORD PTR [ecx] + vphsubd xmm7,xmm6,[ecx] vphsubsw xmm2,xmm6,xmm4 vphsubsw xmm7,xmm6,XMMWORD PTR [ecx] + vphsubsw xmm7,xmm6,[ecx] vpmaddwd xmm2,xmm6,xmm4 vpmaddwd xmm7,xmm6,XMMWORD PTR [ecx] + vpmaddwd xmm7,xmm6,[ecx] vpmaddubsw xmm2,xmm6,xmm4 vpmaddubsw xmm7,xmm6,XMMWORD PTR [ecx] + vpmaddubsw xmm7,xmm6,[ecx] vpmaxsb xmm2,xmm6,xmm4 vpmaxsb xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxsb xmm7,xmm6,[ecx] vpmaxsw xmm2,xmm6,xmm4 vpmaxsw xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxsw xmm7,xmm6,[ecx] vpmaxsd xmm2,xmm6,xmm4 vpmaxsd xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxsd xmm7,xmm6,[ecx] vpmaxub xmm2,xmm6,xmm4 vpmaxub xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxub xmm7,xmm6,[ecx] vpmaxuw xmm2,xmm6,xmm4 vpmaxuw xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxuw xmm7,xmm6,[ecx] vpmaxud xmm2,xmm6,xmm4 vpmaxud xmm7,xmm6,XMMWORD PTR [ecx] + vpmaxud xmm7,xmm6,[ecx] vpminsb xmm2,xmm6,xmm4 vpminsb xmm7,xmm6,XMMWORD PTR [ecx] + vpminsb xmm7,xmm6,[ecx] vpminsw xmm2,xmm6,xmm4 vpminsw xmm7,xmm6,XMMWORD PTR [ecx] + vpminsw xmm7,xmm6,[ecx] vpminsd xmm2,xmm6,xmm4 vpminsd xmm7,xmm6,XMMWORD PTR [ecx] + vpminsd xmm7,xmm6,[ecx] vpminub xmm2,xmm6,xmm4 vpminub xmm7,xmm6,XMMWORD PTR [ecx] + vpminub xmm7,xmm6,[ecx] vpminuw xmm2,xmm6,xmm4 vpminuw xmm7,xmm6,XMMWORD PTR [ecx] + vpminuw xmm7,xmm6,[ecx] vpminud xmm2,xmm6,xmm4 vpminud xmm7,xmm6,XMMWORD PTR [ecx] + vpminud xmm7,xmm6,[ecx] vpmulhuw xmm2,xmm6,xmm4 vpmulhuw xmm7,xmm6,XMMWORD PTR [ecx] + vpmulhuw xmm7,xmm6,[ecx] vpmulhrsw xmm2,xmm6,xmm4 vpmulhrsw xmm7,xmm6,XMMWORD PTR [ecx] + vpmulhrsw xmm7,xmm6,[ecx] vpmulhw xmm2,xmm6,xmm4 vpmulhw xmm7,xmm6,XMMWORD PTR [ecx] + vpmulhw xmm7,xmm6,[ecx] vpmullw xmm2,xmm6,xmm4 vpmullw xmm7,xmm6,XMMWORD PTR [ecx] + vpmullw xmm7,xmm6,[ecx] vpmulld xmm2,xmm6,xmm4 vpmulld xmm7,xmm6,XMMWORD PTR [ecx] + vpmulld xmm7,xmm6,[ecx] vpmuludq xmm2,xmm6,xmm4 vpmuludq xmm7,xmm6,XMMWORD PTR [ecx] + vpmuludq xmm7,xmm6,[ecx] vpmuldq xmm2,xmm6,xmm4 vpmuldq xmm7,xmm6,XMMWORD PTR [ecx] + vpmuldq xmm7,xmm6,[ecx] vpor xmm2,xmm6,xmm4 vpor xmm7,xmm6,XMMWORD PTR [ecx] + vpor xmm7,xmm6,[ecx] vpsadbw xmm2,xmm6,xmm4 vpsadbw xmm7,xmm6,XMMWORD PTR [ecx] + vpsadbw xmm7,xmm6,[ecx] vpshufb xmm2,xmm6,xmm4 vpshufb xmm7,xmm6,XMMWORD PTR [ecx] + vpshufb xmm7,xmm6,[ecx] vpsignb xmm2,xmm6,xmm4 vpsignb xmm7,xmm6,XMMWORD PTR [ecx] + vpsignb xmm7,xmm6,[ecx] vpsignw xmm2,xmm6,xmm4 vpsignw xmm7,xmm6,XMMWORD PTR [ecx] + vpsignw xmm7,xmm6,[ecx] vpsignd xmm2,xmm6,xmm4 vpsignd xmm7,xmm6,XMMWORD PTR [ecx] + vpsignd xmm7,xmm6,[ecx] vpsllw xmm2,xmm6,xmm4 vpsllw xmm7,xmm6,XMMWORD PTR [ecx] + vpsllw xmm7,xmm6,[ecx] vpslld xmm2,xmm6,xmm4 vpslld xmm7,xmm6,XMMWORD PTR [ecx] + vpslld xmm7,xmm6,[ecx] vpsllq xmm2,xmm6,xmm4 vpsllq xmm7,xmm6,XMMWORD PTR [ecx] + vpsllq xmm7,xmm6,[ecx] vpsraw xmm2,xmm6,xmm4 vpsraw xmm7,xmm6,XMMWORD PTR [ecx] + vpsraw xmm7,xmm6,[ecx] vpsrad xmm2,xmm6,xmm4 vpsrad xmm7,xmm6,XMMWORD PTR [ecx] + vpsrad xmm7,xmm6,[ecx] vpsrlw xmm2,xmm6,xmm4 vpsrlw xmm7,xmm6,XMMWORD PTR [ecx] + vpsrlw xmm7,xmm6,[ecx] vpsrld xmm2,xmm6,xmm4 vpsrld xmm7,xmm6,XMMWORD PTR [ecx] + vpsrld xmm7,xmm6,[ecx] vpsrlq xmm2,xmm6,xmm4 vpsrlq xmm7,xmm6,XMMWORD PTR [ecx] + vpsrlq xmm7,xmm6,[ecx] vpsubb xmm2,xmm6,xmm4 vpsubb xmm7,xmm6,XMMWORD PTR [ecx] + vpsubb xmm7,xmm6,[ecx] vpsubw xmm2,xmm6,xmm4 vpsubw xmm7,xmm6,XMMWORD PTR [ecx] + vpsubw xmm7,xmm6,[ecx] vpsubd xmm2,xmm6,xmm4 vpsubd xmm7,xmm6,XMMWORD PTR [ecx] + vpsubd xmm7,xmm6,[ecx] vpsubq xmm2,xmm6,xmm4 vpsubq xmm7,xmm6,XMMWORD PTR [ecx] + vpsubq xmm7,xmm6,[ecx] vpsubsb xmm2,xmm6,xmm4 vpsubsb xmm7,xmm6,XMMWORD PTR [ecx] + vpsubsb xmm7,xmm6,[ecx] vpsubsw xmm2,xmm6,xmm4 vpsubsw xmm7,xmm6,XMMWORD PTR [ecx] + vpsubsw xmm7,xmm6,[ecx] vpsubusb xmm2,xmm6,xmm4 vpsubusb xmm7,xmm6,XMMWORD PTR [ecx] + vpsubusb xmm7,xmm6,[ecx] vpsubusw xmm2,xmm6,xmm4 vpsubusw xmm7,xmm6,XMMWORD PTR [ecx] + vpsubusw xmm7,xmm6,[ecx] vpunpckhbw xmm2,xmm6,xmm4 vpunpckhbw xmm7,xmm6,XMMWORD PTR [ecx] + vpunpckhbw xmm7,xmm6,[ecx] vpunpckhwd xmm2,xmm6,xmm4 vpunpckhwd xmm7,xmm6,XMMWORD PTR [ecx] + vpunpckhwd xmm7,xmm6,[ecx] vpunpckhdq xmm2,xmm6,xmm4 vpunpckhdq xmm7,xmm6,XMMWORD PTR [ecx] + vpunpckhdq xmm7,xmm6,[ecx] vpunpckhqdq xmm2,xmm6,xmm4 vpunpckhqdq xmm7,xmm6,XMMWORD PTR [ecx] + vpunpckhqdq xmm7,xmm6,[ecx] vpunpcklbw xmm2,xmm6,xmm4 vpunpcklbw xmm7,xmm6,XMMWORD PTR [ecx] + vpunpcklbw xmm7,xmm6,[ecx] vpunpcklwd xmm2,xmm6,xmm4 vpunpcklwd xmm7,xmm6,XMMWORD PTR [ecx] + vpunpcklwd xmm7,xmm6,[ecx] vpunpckldq xmm2,xmm6,xmm4 vpunpckldq xmm7,xmm6,XMMWORD PTR [ecx] + vpunpckldq xmm7,xmm6,[ecx] vpunpcklqdq xmm2,xmm6,xmm4 vpunpcklqdq xmm7,xmm6,XMMWORD PTR [ecx] + vpunpcklqdq xmm7,xmm6,[ecx] vpxor xmm2,xmm6,xmm4 vpxor xmm7,xmm6,XMMWORD PTR [ecx] + vpxor xmm7,xmm6,[ecx] vsubpd xmm2,xmm6,xmm4 vsubpd xmm7,xmm6,XMMWORD PTR [ecx] + vsubpd xmm7,xmm6,[ecx] vsubps xmm2,xmm6,xmm4 vsubps xmm7,xmm6,XMMWORD PTR [ecx] + vsubps xmm7,xmm6,[ecx] vunpckhpd xmm2,xmm6,xmm4 vunpckhpd xmm7,xmm6,XMMWORD PTR [ecx] + vunpckhpd xmm7,xmm6,[ecx] vunpckhps xmm2,xmm6,xmm4 vunpckhps xmm7,xmm6,XMMWORD PTR [ecx] + vunpckhps xmm7,xmm6,[ecx] vunpcklpd xmm2,xmm6,xmm4 vunpcklpd xmm7,xmm6,XMMWORD PTR [ecx] + vunpcklpd xmm7,xmm6,[ecx] vunpcklps xmm2,xmm6,xmm4 vunpcklps xmm7,xmm6,XMMWORD PTR [ecx] + vunpcklps xmm7,xmm6,[ecx] vxorpd xmm2,xmm6,xmm4 vxorpd xmm7,xmm6,XMMWORD PTR [ecx] + vxorpd xmm7,xmm6,[ecx] vxorps xmm2,xmm6,xmm4 vxorps xmm7,xmm6,XMMWORD PTR [ecx] + vxorps xmm7,xmm6,[ecx] vcmpeqpd xmm2,xmm6,xmm4 vcmpeqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeqpd xmm7,xmm6,[ecx] vcmpltpd xmm2,xmm6,xmm4 vcmpltpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpltpd xmm7,xmm6,[ecx] vcmplepd xmm2,xmm6,xmm4 vcmplepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmplepd xmm7,xmm6,[ecx] vcmpunordpd xmm2,xmm6,xmm4 vcmpunordpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpunordpd xmm7,xmm6,[ecx] vcmpneqpd xmm2,xmm6,xmm4 vcmpneqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneqpd xmm7,xmm6,[ecx] vcmpnltpd xmm2,xmm6,xmm4 vcmpnltpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnltpd xmm7,xmm6,[ecx] vcmpnlepd xmm2,xmm6,xmm4 vcmpnlepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnlepd xmm7,xmm6,[ecx] vcmpordpd xmm2,xmm6,xmm4 vcmpordpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpordpd xmm7,xmm6,[ecx] vcmpeq_uqpd xmm2,xmm6,xmm4 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_uqpd xmm7,xmm6,[ecx] vcmpngepd xmm2,xmm6,xmm4 vcmpngepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngepd xmm7,xmm6,[ecx] vcmpngtpd xmm2,xmm6,xmm4 vcmpngtpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngtpd xmm7,xmm6,[ecx] vcmpfalsepd xmm2,xmm6,xmm4 vcmpfalsepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpfalsepd xmm7,xmm6,[ecx] vcmpneq_oqpd xmm2,xmm6,xmm4 vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_oqpd xmm7,xmm6,[ecx] vcmpgepd xmm2,xmm6,xmm4 vcmpgepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgepd xmm7,xmm6,[ecx] vcmpgtpd xmm2,xmm6,xmm4 vcmpgtpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgtpd xmm7,xmm6,[ecx] vcmptruepd xmm2,xmm6,xmm4 vcmptruepd xmm7,xmm6,XMMWORD PTR [ecx] + vcmptruepd xmm7,xmm6,[ecx] vcmpeq_ospd xmm2,xmm6,xmm4 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_ospd xmm7,xmm6,[ecx] vcmplt_oqpd xmm2,xmm6,xmm4 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmplt_oqpd xmm7,xmm6,[ecx] vcmple_oqpd xmm2,xmm6,xmm4 vcmple_oqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmple_oqpd xmm7,xmm6,[ecx] vcmpunord_spd xmm2,xmm6,xmm4 vcmpunord_spd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpunord_spd xmm7,xmm6,[ecx] vcmpneq_uspd xmm2,xmm6,xmm4 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_uspd xmm7,xmm6,[ecx] vcmpnlt_uqpd xmm2,xmm6,xmm4 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnlt_uqpd xmm7,xmm6,[ecx] vcmpnle_uqpd xmm2,xmm6,xmm4 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnle_uqpd xmm7,xmm6,[ecx] vcmpord_spd xmm2,xmm6,xmm4 vcmpord_spd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpord_spd xmm7,xmm6,[ecx] vcmpeq_uspd xmm2,xmm6,xmm4 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_uspd xmm7,xmm6,[ecx] vcmpnge_uqpd xmm2,xmm6,xmm4 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnge_uqpd xmm7,xmm6,[ecx] vcmpngt_uqpd xmm2,xmm6,xmm4 vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngt_uqpd xmm7,xmm6,[ecx] vcmpfalse_ospd xmm2,xmm6,xmm4 vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpfalse_ospd xmm7,xmm6,[ecx] vcmpneq_ospd xmm2,xmm6,xmm4 vcmpneq_ospd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_ospd xmm7,xmm6,[ecx] vcmpge_oqpd xmm2,xmm6,xmm4 vcmpge_oqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpge_oqpd xmm7,xmm6,[ecx] vcmpgt_oqpd xmm2,xmm6,xmm4 vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgt_oqpd xmm7,xmm6,[ecx] vcmptrue_uspd xmm2,xmm6,xmm4 vcmptrue_uspd xmm7,xmm6,XMMWORD PTR [ecx] + vcmptrue_uspd xmm7,xmm6,[ecx] vcmpeqps xmm2,xmm6,xmm4 vcmpeqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeqps xmm7,xmm6,[ecx] vcmpltps xmm2,xmm6,xmm4 vcmpltps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpltps xmm7,xmm6,[ecx] vcmpleps xmm2,xmm6,xmm4 vcmpleps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpleps xmm7,xmm6,[ecx] vcmpunordps xmm2,xmm6,xmm4 vcmpunordps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpunordps xmm7,xmm6,[ecx] vcmpneqps xmm2,xmm6,xmm4 vcmpneqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneqps xmm7,xmm6,[ecx] vcmpnltps xmm2,xmm6,xmm4 vcmpnltps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnltps xmm7,xmm6,[ecx] vcmpnleps xmm2,xmm6,xmm4 vcmpnleps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnleps xmm7,xmm6,[ecx] vcmpordps xmm2,xmm6,xmm4 vcmpordps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpordps xmm7,xmm6,[ecx] vcmpeq_uqps xmm2,xmm6,xmm4 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_uqps xmm7,xmm6,[ecx] vcmpngeps xmm2,xmm6,xmm4 vcmpngeps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngeps xmm7,xmm6,[ecx] vcmpngtps xmm2,xmm6,xmm4 vcmpngtps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngtps xmm7,xmm6,[ecx] vcmpfalseps xmm2,xmm6,xmm4 vcmpfalseps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpfalseps xmm7,xmm6,[ecx] vcmpneq_oqps xmm2,xmm6,xmm4 vcmpneq_oqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_oqps xmm7,xmm6,[ecx] vcmpgeps xmm2,xmm6,xmm4 vcmpgeps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgeps xmm7,xmm6,[ecx] vcmpgtps xmm2,xmm6,xmm4 vcmpgtps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgtps xmm7,xmm6,[ecx] vcmptrueps xmm2,xmm6,xmm4 vcmptrueps xmm7,xmm6,XMMWORD PTR [ecx] + vcmptrueps xmm7,xmm6,[ecx] vcmpeq_osps xmm2,xmm6,xmm4 vcmpeq_osps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_osps xmm7,xmm6,[ecx] vcmplt_oqps xmm2,xmm6,xmm4 vcmplt_oqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmplt_oqps xmm7,xmm6,[ecx] vcmple_oqps xmm2,xmm6,xmm4 vcmple_oqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmple_oqps xmm7,xmm6,[ecx] vcmpunord_sps xmm2,xmm6,xmm4 vcmpunord_sps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpunord_sps xmm7,xmm6,[ecx] vcmpneq_usps xmm2,xmm6,xmm4 vcmpneq_usps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_usps xmm7,xmm6,[ecx] vcmpnlt_uqps xmm2,xmm6,xmm4 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnlt_uqps xmm7,xmm6,[ecx] vcmpnle_uqps xmm2,xmm6,xmm4 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnle_uqps xmm7,xmm6,[ecx] vcmpord_sps xmm2,xmm6,xmm4 vcmpord_sps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpord_sps xmm7,xmm6,[ecx] vcmpeq_usps xmm2,xmm6,xmm4 vcmpeq_usps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpeq_usps xmm7,xmm6,[ecx] vcmpnge_uqps xmm2,xmm6,xmm4 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpnge_uqps xmm7,xmm6,[ecx] vcmpngt_uqps xmm2,xmm6,xmm4 vcmpngt_uqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpngt_uqps xmm7,xmm6,[ecx] vcmpfalse_osps xmm2,xmm6,xmm4 vcmpfalse_osps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpfalse_osps xmm7,xmm6,[ecx] vcmpneq_osps xmm2,xmm6,xmm4 vcmpneq_osps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpneq_osps xmm7,xmm6,[ecx] vcmpge_oqps xmm2,xmm6,xmm4 vcmpge_oqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpge_oqps xmm7,xmm6,[ecx] vcmpgt_oqps xmm2,xmm6,xmm4 vcmpgt_oqps xmm7,xmm6,XMMWORD PTR [ecx] + vcmpgt_oqps xmm7,xmm6,[ecx] vcmptrue_usps xmm2,xmm6,xmm4 vcmptrue_usps xmm7,xmm6,XMMWORD PTR [ecx] + vcmptrue_usps xmm7,xmm6,[ecx] # Tests for op mem128, xmm, xmm vmaskmovps xmm6,xmm4,XMMWORD PTR [ecx] + vmaskmovps xmm6,xmm4,[ecx] vmaskmovpd xmm6,xmm4,XMMWORD PTR [ecx] + vmaskmovpd xmm6,xmm4,[ecx] # Tests for op imm8, xmm/mem128, xmm vpcmpestri xmm6,xmm4,100 vpcmpestri xmm6,XMMWORD PTR [ecx],100 + vpcmpestri xmm6,[ecx],100 vpcmpestrm xmm6,xmm4,100 vpcmpestrm xmm6,XMMWORD PTR [ecx],100 + vpcmpestrm xmm6,[ecx],100 vpcmpistri xmm6,xmm4,100 vpcmpistri xmm6,XMMWORD PTR [ecx],100 + vpcmpistri xmm6,[ecx],100 vpcmpistrm xmm6,xmm4,100 vpcmpistrm xmm6,XMMWORD PTR [ecx],100 + vpcmpistrm xmm6,[ecx],100 vpermilpd xmm6,xmm4,100 vpermilpd xmm6,XMMWORD PTR [ecx],100 + vpermilpd xmm6,[ecx],100 vpermilps xmm6,xmm4,100 vpermilps xmm6,XMMWORD PTR [ecx],100 + vpermilps xmm6,[ecx],100 vpshufd xmm6,xmm4,100 vpshufd xmm6,XMMWORD PTR [ecx],100 + vpshufd xmm6,[ecx],100 vpshufhw xmm6,xmm4,100 vpshufhw xmm6,XMMWORD PTR [ecx],100 + vpshufhw xmm6,[ecx],100 vpshuflw xmm6,xmm4,100 vpshuflw xmm6,XMMWORD PTR [ecx],100 + vpshuflw xmm6,[ecx],100 vroundpd xmm6,xmm4,100 vroundpd xmm6,XMMWORD PTR [ecx],100 + vroundpd xmm6,[ecx],100 vroundps xmm6,xmm4,100 vroundps xmm6,XMMWORD PTR [ecx],100 + vroundps xmm6,[ecx],100 # Tests for op xmm, xmm, mem128 vmaskmovps XMMWORD PTR [ecx],xmm6,xmm4 + vmaskmovps [ecx],xmm6,xmm4 vmaskmovpd XMMWORD PTR [ecx],xmm6,xmm4 + vmaskmovpd [ecx],xmm6,xmm4 # Tests for op imm8, xmm/mem128, xmm, xmm vblendpd xmm2,xmm6,xmm4,100 vblendpd xmm2,xmm6,XMMWORD PTR [ecx],100 + vblendpd xmm2,xmm6,[ecx],100 vblendps xmm2,xmm6,xmm4,100 vblendps xmm2,xmm6,XMMWORD PTR [ecx],100 + vblendps xmm2,xmm6,[ecx],100 vcmppd xmm2,xmm6,xmm4,100 vcmppd xmm2,xmm6,XMMWORD PTR [ecx],100 + vcmppd xmm2,xmm6,[ecx],100 vcmpps xmm2,xmm6,xmm4,100 vcmpps xmm2,xmm6,XMMWORD PTR [ecx],100 + vcmpps xmm2,xmm6,[ecx],100 vdppd xmm2,xmm6,xmm4,100 vdppd xmm2,xmm6,XMMWORD PTR [ecx],100 + vdppd xmm2,xmm6,[ecx],100 vdpps xmm2,xmm6,xmm4,100 vdpps xmm2,xmm6,XMMWORD PTR [ecx],100 + vdpps xmm2,xmm6,[ecx],100 vmpsadbw xmm2,xmm6,xmm4,100 vmpsadbw xmm2,xmm6,XMMWORD PTR [ecx],100 + vmpsadbw xmm2,xmm6,[ecx],100 vpalignr xmm2,xmm6,xmm4,100 vpalignr xmm2,xmm6,XMMWORD PTR [ecx],100 + vpalignr xmm2,xmm6,[ecx],100 vpblendw xmm2,xmm6,xmm4,100 vpblendw xmm2,xmm6,XMMWORD PTR [ecx],100 + vpblendw xmm2,xmm6,[ecx],100 vshufpd xmm2,xmm6,xmm4,100 vshufpd xmm2,xmm6,XMMWORD PTR [ecx],100 + vshufpd xmm2,xmm6,[ecx],100 vshufps xmm2,xmm6,xmm4,100 vshufps xmm2,xmm6,XMMWORD PTR [ecx],100 + vshufps xmm2,xmm6,[ecx],100 # Tests for op xmm, xmm/mem128, xmm, xmm vblendvpd xmm7,xmm2,xmm6,xmm4 vblendvpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vblendvpd xmm7,xmm2,[ecx],xmm4 vblendvps xmm7,xmm2,xmm6,xmm4 vblendvps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vblendvps xmm7,xmm2,[ecx],xmm4 vpblendvb xmm7,xmm2,xmm6,xmm4 vpblendvb xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpblendvb xmm7,xmm2,[ecx],xmm4 # Tests for op xmm/mem128, xmm, xmm, xmm # Tests for op xmm, xmm/mem128, xmm, xmm vfmaddpd xmm7,xmm2,xmm6,xmm4 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmaddpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmaddpd xmm7,xmm2,xmm6,[ecx] + vfmaddpd xmm7,xmm2,[ecx],xmm4 vfmaddps xmm7,xmm2,xmm6,xmm4 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmaddps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmaddps xmm7,xmm2,xmm6,[ecx] + vfmaddps xmm7,xmm2,[ecx],xmm4 vfmaddsubpd xmm7,xmm2,xmm6,xmm4 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmaddsubpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmaddsubpd xmm7,xmm2,xmm6,[ecx] + vfmaddsubpd xmm7,xmm2,[ecx],xmm4 vfmaddsubps xmm7,xmm2,xmm6,xmm4 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmaddsubps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmaddsubps xmm7,xmm2,xmm6,[ecx] + vfmaddsubps xmm7,xmm2,[ecx],xmm4 vfmsubaddpd xmm7,xmm2,xmm6,xmm4 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmsubaddpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmsubaddpd xmm7,xmm2,xmm6,[ecx] + vfmsubaddpd xmm7,xmm2,[ecx],xmm4 vfmsubaddps xmm7,xmm2,xmm6,xmm4 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmsubaddps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmsubaddps xmm7,xmm2,xmm6,[ecx] + vfmsubaddps xmm7,xmm2,[ecx],xmm4 vfmsubpd xmm7,xmm2,xmm6,xmm4 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmsubpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmsubpd xmm7,xmm2,xmm6,[ecx] + vfmsubpd xmm7,xmm2,[ecx],xmm4 vfmsubps xmm7,xmm2,xmm6,xmm4 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfmsubps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfmsubps xmm7,xmm2,xmm6,[ecx] + vfmsubps xmm7,xmm2,[ecx],xmm4 vfnmaddpd xmm7,xmm2,xmm6,xmm4 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfnmaddpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfnmaddpd xmm7,xmm2,xmm6,[ecx] + vfnmaddpd xmm7,xmm2,[ecx],xmm4 vfnmaddps xmm7,xmm2,xmm6,xmm4 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfnmaddps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfnmaddps xmm7,xmm2,xmm6,[ecx] + vfnmaddps xmm7,xmm2,[ecx],xmm4 vfnmsubpd xmm7,xmm2,xmm6,xmm4 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfnmsubpd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfnmsubpd xmm7,xmm2,xmm6,[ecx] + vfnmsubpd xmm7,xmm2,[ecx],xmm4 vfnmsubps xmm7,xmm2,xmm6,xmm4 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vfnmsubps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vfnmsubps xmm7,xmm2,xmm6,[ecx] + vfnmsubps xmm7,xmm2,[ecx],xmm4 vpermilmo2pd xmm7,xmm2,xmm6,xmm4 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermilmo2pd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermilmo2pd xmm7,xmm2,xmm6,[ecx] + vpermilmo2pd xmm7,xmm2,[ecx],xmm4 vpermilmz2pd xmm7,xmm2,xmm6,xmm4 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermilmz2pd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermilmz2pd xmm7,xmm2,xmm6,[ecx] + vpermilmz2pd xmm7,xmm2,[ecx],xmm4 vpermiltd2pd xmm7,xmm2,xmm6,xmm4 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermiltd2pd xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermiltd2pd xmm7,xmm2,xmm6,[ecx] + vpermiltd2pd xmm7,xmm2,[ecx],xmm4 vpermilmo2ps xmm7,xmm2,xmm6,xmm4 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermilmo2ps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermilmo2ps xmm7,xmm2,xmm6,[ecx] + vpermilmo2ps xmm7,xmm2,[ecx],xmm4 vpermilmz2ps xmm7,xmm2,xmm6,xmm4 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermilmz2ps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermilmz2ps xmm7,xmm2,xmm6,[ecx] + vpermilmz2ps xmm7,xmm2,[ecx],xmm4 vpermiltd2ps xmm7,xmm2,xmm6,xmm4 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR [ecx] vpermiltd2ps xmm7,xmm2,XMMWORD PTR [ecx],xmm4 + vpermiltd2ps xmm7,xmm2,xmm6,[ecx] + vpermiltd2ps xmm7,xmm2,[ecx],xmm4 # Tests for op imm4, xmm/mem128, xmm, xmm, xmm # Tests for op imm4, xmm, xmm/mem128, xmm, xmm vpermil2pd xmm7,xmm2,xmm6,xmm4,10 vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR [ecx],10 vpermil2pd xmm7,xmm2,XMMWORD PTR [ecx],xmm4,10 + vpermil2pd xmm7,xmm2,xmm6,[ecx],10 + vpermil2pd xmm7,xmm2,[ecx],xmm4,10 vpermil2ps xmm7,xmm2,xmm6,xmm4,10 vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR [ecx],10 vpermil2ps xmm7,xmm2,XMMWORD PTR [ecx],xmm4,10 + vpermil2ps xmm7,xmm2,xmm6,[ecx],10 + vpermil2ps xmm7,xmm2,[ecx],xmm4,10 # Tests for op mem64, ymm vbroadcastsd ymm4,QWORD PTR [ecx] + vbroadcastsd ymm4,[ecx] # Tests for op xmm/mem64, xmm vcomisd xmm6,xmm4 vcomisd xmm4,QWORD PTR [ecx] + vcomisd xmm4,[ecx] vcvtdq2pd xmm6,xmm4 vcvtdq2pd xmm4,QWORD PTR [ecx] + vcvtdq2pd xmm4,[ecx] vcvtps2pd xmm6,xmm4 vcvtps2pd xmm4,QWORD PTR [ecx] + vcvtps2pd xmm4,[ecx] vmovddup xmm6,xmm4 vmovddup xmm4,QWORD PTR [ecx] + vmovddup xmm4,[ecx] vpmovsxbw xmm6,xmm4 vpmovsxbw xmm4,QWORD PTR [ecx] + vpmovsxbw xmm4,[ecx] vpmovsxwd xmm6,xmm4 vpmovsxwd xmm4,QWORD PTR [ecx] + vpmovsxwd xmm4,[ecx] vpmovsxdq xmm6,xmm4 vpmovsxdq xmm4,QWORD PTR [ecx] + vpmovsxdq xmm4,[ecx] vpmovzxbw xmm6,xmm4 vpmovzxbw xmm4,QWORD PTR [ecx] + vpmovzxbw xmm4,[ecx] vpmovzxwd xmm6,xmm4 vpmovzxwd xmm4,QWORD PTR [ecx] + vpmovzxwd xmm4,[ecx] vpmovzxdq xmm6,xmm4 vpmovzxdq xmm4,QWORD PTR [ecx] + vpmovzxdq xmm4,[ecx] vucomisd xmm6,xmm4 vucomisd xmm4,QWORD PTR [ecx] + vucomisd xmm4,[ecx] # Tests for op mem64, xmm vmovsd xmm4,QWORD PTR [ecx] + vmovsd xmm4,[ecx] # Tests for op xmm, mem64 vmovlpd QWORD PTR [ecx],xmm4 + vmovlpd [ecx],xmm4 vmovlps QWORD PTR [ecx],xmm4 + vmovlps [ecx],xmm4 vmovhpd QWORD PTR [ecx],xmm4 + vmovhpd [ecx],xmm4 vmovhps QWORD PTR [ecx],xmm4 + vmovhps [ecx],xmm4 vmovsd QWORD PTR [ecx],xmm4 + vmovsd [ecx],xmm4 # Tests for op xmm, regq/mem64 # Tests for op regq/mem64, xmm vmovq QWORD PTR [ecx],xmm4 vmovq xmm4,QWORD PTR [ecx] + vmovq [ecx],xmm4 + vmovq xmm4,[ecx] # Tests for op xmm/mem64, regl vcvtsd2si ecx,xmm4 vcvtsd2si ecx,QWORD PTR [ecx] + vcvtsd2si ecx,[ecx] vcvttsd2si ecx,xmm4 vcvttsd2si ecx,QWORD PTR [ecx] + vcvttsd2si ecx,[ecx] # Tests for op mem64, xmm, xmm vmovlpd xmm6,xmm4,QWORD PTR [ecx] + vmovlpd xmm6,xmm4,[ecx] vmovlps xmm6,xmm4,QWORD PTR [ecx] + vmovlps xmm6,xmm4,[ecx] vmovhpd xmm6,xmm4,QWORD PTR [ecx] + vmovhpd xmm6,xmm4,[ecx] vmovhps xmm6,xmm4,QWORD PTR [ecx] + vmovhps xmm6,xmm4,[ecx] # Tests for op imm8, xmm/mem64, xmm, xmm vcmpsd xmm2,xmm6,xmm4,100 vcmpsd xmm2,xmm6,QWORD PTR [ecx],100 + vcmpsd xmm2,xmm6,[ecx],100 vroundsd xmm2,xmm6,xmm4,100 vroundsd xmm2,xmm6,QWORD PTR [ecx],100 + vroundsd xmm2,xmm6,[ecx],100 # Tests for op xmm/mem64, xmm, xmm, xmm # Tests for op xmm, xmm/mem64, xmm, xmm vfmaddsd xmm7,xmm2,xmm6,xmm4 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR [ecx] vfmaddsd xmm7,xmm2,QWORD PTR [ecx],xmm4 + vfmaddsd xmm7,xmm2,xmm6,[ecx] + vfmaddsd xmm7,xmm2,[ecx],xmm4 vfmsubsd xmm7,xmm2,xmm6,xmm4 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR [ecx] vfmsubsd xmm7,xmm2,QWORD PTR [ecx],xmm4 + vfmsubsd xmm7,xmm2,xmm6,[ecx] + vfmsubsd xmm7,xmm2,[ecx],xmm4 vfnmaddsd xmm7,xmm2,xmm6,xmm4 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR [ecx] vfnmaddsd xmm7,xmm2,QWORD PTR [ecx],xmm4 + vfnmaddsd xmm7,xmm2,xmm6,[ecx] + vfnmaddsd xmm7,xmm2,[ecx],xmm4 vfnmsubsd xmm7,xmm2,xmm6,xmm4 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR [ecx] vfnmsubsd xmm7,xmm2,QWORD PTR [ecx],xmm4 + vfnmsubsd xmm7,xmm2,xmm6,[ecx] + vfnmsubsd xmm7,xmm2,[ecx],xmm4 # Tests for op xmm/mem64, xmm, xmm vaddsd xmm2,xmm6,xmm4 vaddsd xmm2,xmm6,QWORD PTR [ecx] + vaddsd xmm2,xmm6,[ecx] vcvtsd2ss xmm2,xmm6,xmm4 vcvtsd2ss xmm2,xmm6,QWORD PTR [ecx] + vcvtsd2ss xmm2,xmm6,[ecx] vdivsd xmm2,xmm6,xmm4 vdivsd xmm2,xmm6,QWORD PTR [ecx] + vdivsd xmm2,xmm6,[ecx] vmaxsd xmm2,xmm6,xmm4 vmaxsd xmm2,xmm6,QWORD PTR [ecx] + vmaxsd xmm2,xmm6,[ecx] vminsd xmm2,xmm6,xmm4 vminsd xmm2,xmm6,QWORD PTR [ecx] + vminsd xmm2,xmm6,[ecx] vmulsd xmm2,xmm6,xmm4 vmulsd xmm2,xmm6,QWORD PTR [ecx] + vmulsd xmm2,xmm6,[ecx] vsqrtsd xmm2,xmm6,xmm4 vsqrtsd xmm2,xmm6,QWORD PTR [ecx] + vsqrtsd xmm2,xmm6,[ecx] vsubsd xmm2,xmm6,xmm4 vsubsd xmm2,xmm6,QWORD PTR [ecx] + vsubsd xmm2,xmm6,[ecx] vcmpeqsd xmm2,xmm6,xmm4 vcmpeqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpeqsd xmm2,xmm6,[ecx] vcmpltsd xmm2,xmm6,xmm4 vcmpltsd xmm2,xmm6,QWORD PTR [ecx] + vcmpltsd xmm2,xmm6,[ecx] vcmplesd xmm2,xmm6,xmm4 vcmplesd xmm2,xmm6,QWORD PTR [ecx] + vcmplesd xmm2,xmm6,[ecx] vcmpunordsd xmm2,xmm6,xmm4 vcmpunordsd xmm2,xmm6,QWORD PTR [ecx] + vcmpunordsd xmm2,xmm6,[ecx] vcmpneqsd xmm2,xmm6,xmm4 vcmpneqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpneqsd xmm2,xmm6,[ecx] vcmpnltsd xmm2,xmm6,xmm4 vcmpnltsd xmm2,xmm6,QWORD PTR [ecx] + vcmpnltsd xmm2,xmm6,[ecx] vcmpnlesd xmm2,xmm6,xmm4 vcmpnlesd xmm2,xmm6,QWORD PTR [ecx] + vcmpnlesd xmm2,xmm6,[ecx] vcmpordsd xmm2,xmm6,xmm4 vcmpordsd xmm2,xmm6,QWORD PTR [ecx] + vcmpordsd xmm2,xmm6,[ecx] vcmpeq_uqsd xmm2,xmm6,xmm4 vcmpeq_uqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpeq_uqsd xmm2,xmm6,[ecx] vcmpngesd xmm2,xmm6,xmm4 vcmpngesd xmm2,xmm6,QWORD PTR [ecx] + vcmpngesd xmm2,xmm6,[ecx] vcmpngtsd xmm2,xmm6,xmm4 vcmpngtsd xmm2,xmm6,QWORD PTR [ecx] + vcmpngtsd xmm2,xmm6,[ecx] vcmpfalsesd xmm2,xmm6,xmm4 vcmpfalsesd xmm2,xmm6,QWORD PTR [ecx] + vcmpfalsesd xmm2,xmm6,[ecx] vcmpneq_oqsd xmm2,xmm6,xmm4 vcmpneq_oqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpneq_oqsd xmm2,xmm6,[ecx] vcmpgesd xmm2,xmm6,xmm4 vcmpgesd xmm2,xmm6,QWORD PTR [ecx] + vcmpgesd xmm2,xmm6,[ecx] vcmpgtsd xmm2,xmm6,xmm4 vcmpgtsd xmm2,xmm6,QWORD PTR [ecx] + vcmpgtsd xmm2,xmm6,[ecx] vcmptruesd xmm2,xmm6,xmm4 vcmptruesd xmm2,xmm6,QWORD PTR [ecx] + vcmptruesd xmm2,xmm6,[ecx] vcmpeq_ossd xmm2,xmm6,xmm4 vcmpeq_ossd xmm2,xmm6,QWORD PTR [ecx] + vcmpeq_ossd xmm2,xmm6,[ecx] vcmplt_oqsd xmm2,xmm6,xmm4 vcmplt_oqsd xmm2,xmm6,QWORD PTR [ecx] + vcmplt_oqsd xmm2,xmm6,[ecx] vcmple_oqsd xmm2,xmm6,xmm4 vcmple_oqsd xmm2,xmm6,QWORD PTR [ecx] + vcmple_oqsd xmm2,xmm6,[ecx] vcmpunord_ssd xmm2,xmm6,xmm4 vcmpunord_ssd xmm2,xmm6,QWORD PTR [ecx] + vcmpunord_ssd xmm2,xmm6,[ecx] vcmpneq_ussd xmm2,xmm6,xmm4 vcmpneq_ussd xmm2,xmm6,QWORD PTR [ecx] + vcmpneq_ussd xmm2,xmm6,[ecx] vcmpnlt_uqsd xmm2,xmm6,xmm4 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpnlt_uqsd xmm2,xmm6,[ecx] vcmpnle_uqsd xmm2,xmm6,xmm4 vcmpnle_uqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpnle_uqsd xmm2,xmm6,[ecx] vcmpord_ssd xmm2,xmm6,xmm4 vcmpord_ssd xmm2,xmm6,QWORD PTR [ecx] + vcmpord_ssd xmm2,xmm6,[ecx] vcmpeq_ussd xmm2,xmm6,xmm4 vcmpeq_ussd xmm2,xmm6,QWORD PTR [ecx] + vcmpeq_ussd xmm2,xmm6,[ecx] vcmpnge_uqsd xmm2,xmm6,xmm4 vcmpnge_uqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpnge_uqsd xmm2,xmm6,[ecx] vcmpngt_uqsd xmm2,xmm6,xmm4 vcmpngt_uqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpngt_uqsd xmm2,xmm6,[ecx] vcmpfalse_ossd xmm2,xmm6,xmm4 vcmpfalse_ossd xmm2,xmm6,QWORD PTR [ecx] + vcmpfalse_ossd xmm2,xmm6,[ecx] vcmpneq_ossd xmm2,xmm6,xmm4 vcmpneq_ossd xmm2,xmm6,QWORD PTR [ecx] + vcmpneq_ossd xmm2,xmm6,[ecx] vcmpge_oqsd xmm2,xmm6,xmm4 vcmpge_oqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpge_oqsd xmm2,xmm6,[ecx] vcmpgt_oqsd xmm2,xmm6,xmm4 vcmpgt_oqsd xmm2,xmm6,QWORD PTR [ecx] + vcmpgt_oqsd xmm2,xmm6,[ecx] vcmptrue_ussd xmm2,xmm6,xmm4 vcmptrue_ussd xmm2,xmm6,QWORD PTR [ecx] + vcmptrue_ussd xmm2,xmm6,[ecx] # Tests for op xmm/mem32, xmm, xmm vaddss xmm2,xmm6,xmm4 vaddss xmm2,xmm6,DWORD PTR [ecx] + vaddss xmm2,xmm6,[ecx] vcvtss2sd xmm2,xmm6,xmm4 vcvtss2sd xmm2,xmm6,DWORD PTR [ecx] + vcvtss2sd xmm2,xmm6,[ecx] vdivss xmm2,xmm6,xmm4 vdivss xmm2,xmm6,DWORD PTR [ecx] + vdivss xmm2,xmm6,[ecx] vmaxss xmm2,xmm6,xmm4 vmaxss xmm2,xmm6,DWORD PTR [ecx] + vmaxss xmm2,xmm6,[ecx] vminss xmm2,xmm6,xmm4 vminss xmm2,xmm6,DWORD PTR [ecx] + vminss xmm2,xmm6,[ecx] vmulss xmm2,xmm6,xmm4 vmulss xmm2,xmm6,DWORD PTR [ecx] + vmulss xmm2,xmm6,[ecx] vrcpss xmm2,xmm6,xmm4 vrcpss xmm2,xmm6,DWORD PTR [ecx] + vrcpss xmm2,xmm6,[ecx] vrsqrtss xmm2,xmm6,xmm4 vrsqrtss xmm2,xmm6,DWORD PTR [ecx] + vrsqrtss xmm2,xmm6,[ecx] vsqrtss xmm2,xmm6,xmm4 vsqrtss xmm2,xmm6,DWORD PTR [ecx] + vsqrtss xmm2,xmm6,[ecx] vsubss xmm2,xmm6,xmm4 vsubss xmm2,xmm6,DWORD PTR [ecx] + vsubss xmm2,xmm6,[ecx] vcmpeqss xmm2,xmm6,xmm4 vcmpeqss xmm2,xmm6,DWORD PTR [ecx] + vcmpeqss xmm2,xmm6,[ecx] vcmpltss xmm2,xmm6,xmm4 vcmpltss xmm2,xmm6,DWORD PTR [ecx] + vcmpltss xmm2,xmm6,[ecx] vcmpless xmm2,xmm6,xmm4 vcmpless xmm2,xmm6,DWORD PTR [ecx] + vcmpless xmm2,xmm6,[ecx] vcmpunordss xmm2,xmm6,xmm4 vcmpunordss xmm2,xmm6,DWORD PTR [ecx] + vcmpunordss xmm2,xmm6,[ecx] vcmpneqss xmm2,xmm6,xmm4 vcmpneqss xmm2,xmm6,DWORD PTR [ecx] + vcmpneqss xmm2,xmm6,[ecx] vcmpnltss xmm2,xmm6,xmm4 vcmpnltss xmm2,xmm6,DWORD PTR [ecx] + vcmpnltss xmm2,xmm6,[ecx] vcmpnless xmm2,xmm6,xmm4 vcmpnless xmm2,xmm6,DWORD PTR [ecx] + vcmpnless xmm2,xmm6,[ecx] vcmpordss xmm2,xmm6,xmm4 vcmpordss xmm2,xmm6,DWORD PTR [ecx] + vcmpordss xmm2,xmm6,[ecx] vcmpeq_uqss xmm2,xmm6,xmm4 vcmpeq_uqss xmm2,xmm6,DWORD PTR [ecx] + vcmpeq_uqss xmm2,xmm6,[ecx] vcmpngess xmm2,xmm6,xmm4 vcmpngess xmm2,xmm6,DWORD PTR [ecx] + vcmpngess xmm2,xmm6,[ecx] vcmpngtss xmm2,xmm6,xmm4 vcmpngtss xmm2,xmm6,DWORD PTR [ecx] + vcmpngtss xmm2,xmm6,[ecx] vcmpfalsess xmm2,xmm6,xmm4 vcmpfalsess xmm2,xmm6,DWORD PTR [ecx] + vcmpfalsess xmm2,xmm6,[ecx] vcmpneq_oqss xmm2,xmm6,xmm4 vcmpneq_oqss xmm2,xmm6,DWORD PTR [ecx] + vcmpneq_oqss xmm2,xmm6,[ecx] vcmpgess xmm2,xmm6,xmm4 vcmpgess xmm2,xmm6,DWORD PTR [ecx] + vcmpgess xmm2,xmm6,[ecx] vcmpgtss xmm2,xmm6,xmm4 vcmpgtss xmm2,xmm6,DWORD PTR [ecx] + vcmpgtss xmm2,xmm6,[ecx] vcmptruess xmm2,xmm6,xmm4 vcmptruess xmm2,xmm6,DWORD PTR [ecx] + vcmptruess xmm2,xmm6,[ecx] vcmpeq_osss xmm2,xmm6,xmm4 vcmpeq_osss xmm2,xmm6,DWORD PTR [ecx] + vcmpeq_osss xmm2,xmm6,[ecx] vcmplt_oqss xmm2,xmm6,xmm4 vcmplt_oqss xmm2,xmm6,DWORD PTR [ecx] + vcmplt_oqss xmm2,xmm6,[ecx] vcmple_oqss xmm2,xmm6,xmm4 vcmple_oqss xmm2,xmm6,DWORD PTR [ecx] + vcmple_oqss xmm2,xmm6,[ecx] vcmpunord_sss xmm2,xmm6,xmm4 vcmpunord_sss xmm2,xmm6,DWORD PTR [ecx] + vcmpunord_sss xmm2,xmm6,[ecx] vcmpneq_usss xmm2,xmm6,xmm4 vcmpneq_usss xmm2,xmm6,DWORD PTR [ecx] + vcmpneq_usss xmm2,xmm6,[ecx] vcmpnlt_uqss xmm2,xmm6,xmm4 vcmpnlt_uqss xmm2,xmm6,DWORD PTR [ecx] + vcmpnlt_uqss xmm2,xmm6,[ecx] vcmpnle_uqss xmm2,xmm6,xmm4 vcmpnle_uqss xmm2,xmm6,DWORD PTR [ecx] + vcmpnle_uqss xmm2,xmm6,[ecx] vcmpord_sss xmm2,xmm6,xmm4 vcmpord_sss xmm2,xmm6,DWORD PTR [ecx] + vcmpord_sss xmm2,xmm6,[ecx] vcmpeq_usss xmm2,xmm6,xmm4 vcmpeq_usss xmm2,xmm6,DWORD PTR [ecx] + vcmpeq_usss xmm2,xmm6,[ecx] vcmpnge_uqss xmm2,xmm6,xmm4 vcmpnge_uqss xmm2,xmm6,DWORD PTR [ecx] + vcmpnge_uqss xmm2,xmm6,[ecx] vcmpngt_uqss xmm2,xmm6,xmm4 vcmpngt_uqss xmm2,xmm6,DWORD PTR [ecx] + vcmpngt_uqss xmm2,xmm6,[ecx] vcmpfalse_osss xmm2,xmm6,xmm4 vcmpfalse_osss xmm2,xmm6,DWORD PTR [ecx] + vcmpfalse_osss xmm2,xmm6,[ecx] vcmpneq_osss xmm2,xmm6,xmm4 vcmpneq_osss xmm2,xmm6,DWORD PTR [ecx] + vcmpneq_osss xmm2,xmm6,[ecx] vcmpge_oqss xmm2,xmm6,xmm4 vcmpge_oqss xmm2,xmm6,DWORD PTR [ecx] + vcmpge_oqss xmm2,xmm6,[ecx] vcmpgt_oqss xmm2,xmm6,xmm4 vcmpgt_oqss xmm2,xmm6,DWORD PTR [ecx] + vcmpgt_oqss xmm2,xmm6,[ecx] vcmptrue_usss xmm2,xmm6,xmm4 vcmptrue_usss xmm2,xmm6,DWORD PTR [ecx] + vcmptrue_usss xmm2,xmm6,[ecx] # Tests for op mem32, ymm vbroadcastss ymm4,DWORD PTR [ecx] + vbroadcastss ymm4,[ecx] # Tests for op xmm/mem32, xmm vcomiss xmm6,xmm4 vcomiss xmm4,DWORD PTR [ecx] + vcomiss xmm4,[ecx] vpmovsxbd xmm6,xmm4 vpmovsxbd xmm4,DWORD PTR [ecx] + vpmovsxbd xmm4,[ecx] vpmovsxwq xmm6,xmm4 vpmovsxwq xmm4,DWORD PTR [ecx] + vpmovsxwq xmm4,[ecx] vpmovzxbd xmm6,xmm4 vpmovzxbd xmm4,DWORD PTR [ecx] + vpmovzxbd xmm4,[ecx] vpmovzxwq xmm6,xmm4 vpmovzxwq xmm4,DWORD PTR [ecx] + vpmovzxwq xmm4,[ecx] vucomiss xmm6,xmm4 vucomiss xmm4,DWORD PTR [ecx] + vucomiss xmm4,[ecx] # Tests for op mem32, xmm vbroadcastss xmm4,DWORD PTR [ecx] + vbroadcastss xmm4,[ecx] vmovss xmm4,DWORD PTR [ecx] + vmovss xmm4,[ecx] # Tests for op xmm, mem32 vmovss DWORD PTR [ecx],xmm4 + vmovss [ecx],xmm4 # Tests for op xmm, regl/mem32 # Tests for op regl/mem32, xmm @@ -2724,82 +3289,111 @@ _start: vmovd DWORD PTR [ecx],xmm4 vmovd xmm4,ecx vmovd xmm4,DWORD PTR [ecx] + vmovd [ecx],xmm4 + vmovd xmm4,[ecx] # Tests for op xmm/mem32, regl vcvtss2si ecx,xmm4 vcvtss2si ecx,DWORD PTR [ecx] + vcvtss2si ecx,[ecx] vcvttss2si ecx,xmm4 vcvttss2si ecx,DWORD PTR [ecx] + vcvttss2si ecx,[ecx] # Tests for op imm8, xmm, regq/mem32 vextractps DWORD PTR [ecx],xmm4,100 + vextractps [ecx],xmm4,100 # Tests for op imm8, xmm, regl/mem32 vpextrd ecx,xmm4,100 vpextrd DWORD PTR [ecx],xmm4,100 + vpextrd [ecx],xmm4,100 vextractps ecx,xmm4,100 vextractps DWORD PTR [ecx],xmm4,100 + vextractps [ecx],xmm4,100 # Tests for op regl/mem32, xmm, xmm vcvtsi2sd xmm6,xmm4,ecx vcvtsi2sd xmm6,xmm4,DWORD PTR [ecx] + vcvtsi2sd xmm6,xmm4,[ecx] vcvtsi2ss xmm6,xmm4,ecx vcvtsi2ss xmm6,xmm4,DWORD PTR [ecx] + vcvtsi2ss xmm6,xmm4,[ecx] # Tests for op imm8, xmm/mem32, xmm, xmm vcmpss xmm2,xmm6,xmm4,100 vcmpss xmm2,xmm6,DWORD PTR [ecx],100 + vcmpss xmm2,xmm6,[ecx],100 vinsertps xmm2,xmm6,xmm4,100 vinsertps xmm2,xmm6,DWORD PTR [ecx],100 + vinsertps xmm2,xmm6,[ecx],100 vroundss xmm2,xmm6,xmm4,100 vroundss xmm2,xmm6,DWORD PTR [ecx],100 + vroundss xmm2,xmm6,[ecx],100 # Tests for op xmm/mem32, xmm, xmm, xmm # Tests for op xmm, xmm/mem32, xmm, xmm vfmaddss xmm7,xmm2,xmm6,xmm4 vfmaddss xmm7,xmm2,xmm6,DWORD PTR [ecx] vfmaddss xmm7,xmm2,DWORD PTR [ecx],xmm4 + vfmaddss xmm7,xmm2,xmm6,[ecx] + vfmaddss xmm7,xmm2,[ecx],xmm4 vfmsubss xmm7,xmm2,xmm6,xmm4 vfmsubss xmm7,xmm2,xmm6,DWORD PTR [ecx] vfmsubss xmm7,xmm2,DWORD PTR [ecx],xmm4 + vfmsubss xmm7,xmm2,xmm6,[ecx] + vfmsubss xmm7,xmm2,[ecx],xmm4 vfnmaddss xmm7,xmm2,xmm6,xmm4 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR [ecx] vfnmaddss xmm7,xmm2,DWORD PTR [ecx],xmm4 + vfnmaddss xmm7,xmm2,xmm6,[ecx] + vfnmaddss xmm7,xmm2,[ecx],xmm4 vfnmsubss xmm7,xmm2,xmm6,xmm4 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR [ecx] vfnmsubss xmm7,xmm2,DWORD PTR [ecx],xmm4 + vfnmsubss xmm7,xmm2,xmm6,[ecx] + vfnmsubss xmm7,xmm2,[ecx],xmm4 # Tests for op xmm/m16, xmm vpmovsxbq xmm6,xmm4 vpmovsxbq xmm4,WORD PTR [ecx] + vpmovsxbq xmm4,[ecx] vpmovzxbq xmm6,xmm4 vpmovzxbq xmm4,WORD PTR [ecx] + vpmovzxbq xmm4,[ecx] # Tests for op imm8, xmm, regl/mem16 vpextrw ecx,xmm4,100 vpextrw WORD PTR [ecx],xmm4,100 + vpextrw [ecx],xmm4,100 # Tests for op imm8, xmm, regq/mem16 vpextrw WORD PTR [ecx],xmm4,100 + vpextrw [ecx],xmm4,100 # Tests for op imm8, regl/mem16, xmm, xmm vpinsrw xmm6,xmm4,ecx,100 vpinsrw xmm6,xmm4,WORD PTR [ecx],100 + vpinsrw xmm6,xmm4,[ecx],100 # Tests for op imm8, xmm, regl/mem8 vpextrb ecx,xmm4,100 vpextrb BYTE PTR [ecx],xmm4,100 + vpextrb [ecx],xmm4,100 # Tests for op imm8, regl/mem8, xmm, xmm vpinsrb xmm6,xmm4,ecx,100 vpinsrb xmm6,xmm4,BYTE PTR [ecx],100 + vpinsrb xmm6,xmm4,[ecx],100 # Tests for op imm8, xmm, regq/mem8 vpextrb BYTE PTR [ecx],xmm4,100 + vpextrb [ecx],xmm4,100 # Tests for op imm8, regl/mem8, xmm, xmm vpinsrb xmm6,xmm4,ecx,100 vpinsrb xmm6,xmm4,BYTE PTR [ecx],100 + vpinsrb xmm6,xmm4,[ecx],100 # Tests for op xmm, xmm vmaskmovdqu xmm6,xmm4 @@ -2834,8 +3428,6 @@ _start: vmovmskpd ecx,ymm4 vmovmskps ecx,ymm4 -# Tests for op ymm, regq - # Default instructions without suffixes. vcvtpd2dq xmm6,xmm4 diff --git a/gas/testsuite/gas/i386/simd-intel.d b/gas/testsuite/gas/i386/simd-intel.d index 559512e34c..c5ff4b4042 100644 --- a/gas/testsuite/gas/i386/simd-intel.d +++ b/gas/testsuite/gas/i386/simd-intel.d @@ -191,6 +191,8 @@ Disassembly of section .text: [ ]*[a-f0-9]+: f3 0f 2a c8 cvtsi2ss xmm1,eax [ ]*[a-f0-9]+: f2 0f 2a c8 cvtsi2sd xmm1,eax [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ss xmm1,DWORD PTR \[eax\] +[ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ss xmm1,DWORD PTR \[eax\] +[ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sd xmm1,DWORD PTR \[eax\] [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sd xmm1,DWORD PTR \[eax\] [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ss xmm1,DWORD PTR \[eax\] [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sd xmm1,DWORD PTR \[eax\] diff --git a/gas/testsuite/gas/i386/simd-suffix.d b/gas/testsuite/gas/i386/simd-suffix.d index 5102733de1..ad78f76cfa 100644 --- a/gas/testsuite/gas/i386/simd-suffix.d +++ b/gas/testsuite/gas/i386/simd-suffix.d @@ -191,6 +191,8 @@ Disassembly of section .text: [ ]*[a-f0-9]+: f3 0f 2a c8 cvtsi2ssl %eax,%xmm1 [ ]*[a-f0-9]+: f2 0f 2a c8 cvtsi2sdl %eax,%xmm1 [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 +[ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 +[ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 diff --git a/gas/testsuite/gas/i386/simd.d b/gas/testsuite/gas/i386/simd.d index e19fb84846..6410d79a56 100644 --- a/gas/testsuite/gas/i386/simd.d +++ b/gas/testsuite/gas/i386/simd.d @@ -190,6 +190,8 @@ Disassembly of section .text: [ ]*[a-f0-9]+: f3 0f 2a c8 cvtsi2ss %eax,%xmm1 [ ]*[a-f0-9]+: f2 0f 2a c8 cvtsi2sd %eax,%xmm1 [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 +[ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 +[ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f3 0f 2a 08 cvtsi2ssl \(%eax\),%xmm1 [ ]*[a-f0-9]+: f2 0f 2a 08 cvtsi2sdl \(%eax\),%xmm1 diff --git a/gas/testsuite/gas/i386/simd.s b/gas/testsuite/gas/i386/simd.s index edb4cccd6b..633cf2fef5 100644 --- a/gas/testsuite/gas/i386/simd.s +++ b/gas/testsuite/gas/i386/simd.s @@ -191,6 +191,8 @@ cvtsi2sd xmm1,eax cvtsi2ssd xmm1,eax cvtsi2sdd xmm1,eax cvtsi2ss xmm1,DWORD PTR [eax] +cvtsi2ss xmm1,[eax] cvtsi2sd xmm1,DWORD PTR [eax] +cvtsi2sd xmm1,[eax] cvtsi2ssd xmm1,DWORD PTR [eax] cvtsi2sdd xmm1,DWORD PTR [eax] diff --git a/gas/testsuite/gas/i386/x86-64-avx-intel.d b/gas/testsuite/gas/i386/x86-64-avx-intel.d index 4da93d3a53..bd9074ca7b 100644 --- a/gas/testsuite/gas/i386/x86-64-avx-intel.d +++ b/gas/testsuite/gas/i386/x86-64-avx-intel.d @@ -1537,211 +1537,317 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c4 61 fa 2d 01 vcvtss2si r8,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 41 01 c4 c0 64 vpinsrw xmm8,xmm15,r8d,0x64 [ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd ymm6,ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd YMMWORD PTR \[rcx\],ymm6,ymm4 +[ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd ymm6,ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd YMMWORD PTR \[rcx\],ymm6,ymm4 +[ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps ymm6,ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps YMMWORD PTR \[rcx\],ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps ymm6,ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps YMMWORD PTR \[rcx\],ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 7d 05 d6 64 vpermilpd ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 04 d6 64 vpermilps ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 09 d6 64 vroundpd ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 08 d6 64 vroundps ymm2,ymm6,0x64 [ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 cd 58 d4 vaddpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 58 11 vaddpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 58 11 vaddpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 58 d4 vaddps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 58 11 vaddps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 58 11 vaddps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd d0 d4 vaddsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cf d0 d4 vaddsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 55 d4 vandnpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 55 11 vandnpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 55 11 vandnpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 55 d4 vandnps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 55 11 vandnps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 55 11 vandnps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 54 d4 vandpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 54 11 vandpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 54 11 vandpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 54 d4 vandps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 54 11 vandps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 54 11 vandps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 5e d4 vdivpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 5e d4 vdivps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5e 11 vdivps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 5e 11 vdivps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 7c d4 vhaddpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cf 7c d4 vhaddps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 7d d4 vhsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cf 7d d4 vhsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 5f d4 vmaxpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 5f d4 vmaxps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 5d d4 vminpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5d 11 vminpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 5d 11 vminpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 5d d4 vminps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5d 11 vminps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 5d 11 vminps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 59 d4 vmulpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 59 11 vmulpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 59 11 vmulpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 59 d4 vmulps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 59 11 vmulps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 59 11 vmulps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 56 d4 vorpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 56 11 vorpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 56 11 vorpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 56 d4 vorps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 56 11 vorps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 56 11 vorps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 4d 0d d4 vpermilpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 4d 0c d4 vpermilps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 5c d4 vsubpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 5c d4 vsubps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 5c 11 vsubps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 5c 11 vsubps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 15 d4 vunpckhpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 15 d4 vunpckhps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 14 d4 vunpcklpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 14 d4 vunpcklps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd 57 d4 vxorpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd 57 11 vxorpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd 57 11 vxorpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc 57 d4 vxorps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc 57 11 vxorps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc 57 11 vxorps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 00 vcmpeqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 01 vcmpltpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 02 vcmplepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 03 vcmpunordpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 04 vcmpneqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 05 vcmpnltpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 06 vcmpnlepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 07 vcmpordpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 08 vcmpeq_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 09 vcmpngepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0a vcmpngtpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0b vcmpfalsepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0c vcmpneq_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0d vcmpgepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0e vcmpgtpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 0f vcmptruepd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 10 vcmpeq_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 11 vcmplt_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 12 vcmple_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 13 vcmpunord_spd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 14 vcmpneq_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 15 vcmpnlt_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 16 vcmpnle_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 17 vcmpord_spd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 18 vcmpeq_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 19 vcmpnge_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1a vcmpngt_uqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1b vcmpfalse_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1c vcmpneq_ospd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1d vcmpge_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1e vcmpgt_oqpd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cd c2 d4 1f vcmptrue_uspd ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 00 vcmpeqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 01 vcmpltps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 02 vcmpleps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 03 vcmpunordps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 04 vcmpneqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 05 vcmpnltps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 06 vcmpnleps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 07 vcmpordps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 08 vcmpeq_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 09 vcmpngeps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0a vcmpngtps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0b vcmpfalseps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0c vcmpneq_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0d vcmpgeps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0e vcmpgtps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 0f vcmptrueps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 10 vcmpeq_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 11 vcmplt_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 12 vcmple_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 13 vcmpunord_sps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 14 vcmpneq_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 15 vcmpnlt_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 16 vcmpnle_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 17 vcmpord_sps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 18 vcmpeq_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 19 vcmpnge_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1a vcmpngt_uqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1b vcmpfalse_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1c vcmpneq_osps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1d vcmpge_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1e vcmpgt_oqps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cc c2 d4 1f vcmptrue_usps ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ff e6 e4 vcvtpd2dq xmm4,ymm4 [ ]*[a-f0-9]+: c5 ff e6 21 vcvtpd2dq xmm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 5a e4 vcvtpd2ps xmm4,ymm4 @@ -1750,962 +1856,1461 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 fd e6 21 vcvttpd2dq xmm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 5b e4 vcvtdq2ps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 5b e4 vcvtps2dq ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fe 5b e4 vcvttps2dq ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 28 e4 vmovapd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 28 21 vmovapd ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fd 28 21 vmovapd ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 28 e4 vmovaps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 28 21 vmovaps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 28 21 vmovaps ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 6f e4 vmovdqa ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fe 6f e4 vmovdqu ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ff 12 e4 vmovddup ymm4,ymm4 [ ]*[a-f0-9]+: c5 ff 12 21 vmovddup ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ff 12 21 vmovddup ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fe 16 e4 vmovshdup ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fe 12 e4 vmovsldup ymm4,ymm4 [ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 10 e4 vmovupd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 10 21 vmovupd ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fd 10 21 vmovupd ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 10 e4 vmovups ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 10 21 vmovups ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 10 21 vmovups ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 17 e4 vptest ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 53 e4 vrcpps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 53 21 vrcpps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 53 21 vrcpps ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 52 e4 vrsqrtps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fd 51 e4 vsqrtpd ymm4,ymm4 [ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 51 e4 vsqrtps ymm4,ymm4 [ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 0f e4 vtestpd ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd ymm4,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 0e e4 vtestps ymm4,ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps ymm4,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ff f0 21 vlddqu ymm4,\[rcx\] [ ]*[a-f0-9]+: c5 ff f0 21 vlddqu ymm4,\[rcx\] [ ]*[a-f0-9]+: c4 e3 4d 0d d4 64 vblendpd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 0c d4 64 vblendps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 cd c2 d4 64 vcmppd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 cc c2 d4 64 vcmpps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 40 d4 64 vdpps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 4d 06 d4 64 vperm2f128 ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 cd c6 d4 64 vshufpd ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 cc c6 d4 64 vshufps ymm2,ymm6,ymm4,0x64 [ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps ymm2,ymm6,YMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 6d 4b fe 40 vblendvpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd ymm7,ymm2,YMMWORD PTR \[rcx\],ymm4 +[ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd ymm7,ymm2,YMMWORD PTR \[rcx\],ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4a fe 40 vblendvps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps ymm7,ymm2,YMMWORD PTR \[rcx\],ymm4 +[ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps ymm7,ymm2,YMMWORD PTR \[rcx\],ymm4 [ ]*[a-f0-9]+: c4 e3 cd 69 fc 20 vfmaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 68 fc 20 vfmaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 5d fc 20 vfmaddsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 5c fc 20 vfmaddsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 5f fc 20 vfmsubaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 5e fc 20 vfmsubaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 6d fc 20 vfmsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 6c fc 20 vfmsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 79 fc 20 vfnmaddpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 78 fc 20 vfnmaddps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 7d fc 20 vfnmsubpd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 cd 7c fc 20 vfnmsubps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 62 vpermilmo2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 63 vpermilmz2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 60 vpermiltd2pd ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 62 vpermilmo2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 63 vpermilmz2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 48 fc 60 vpermiltd2ps ymm7,ymm2,ymm6,ymm4 [ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 ed 49 fc 6a vpermil2pd ymm7,ymm2,ymm6,ymm4,0xa [ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\],0xa +[ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\],0xa [ ]*[a-f0-9]+: c4 e3 ed 48 fc 6a vpermil2ps ymm7,ymm2,ymm6,ymm4,0xa [ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\],0xa +[ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR \[rcx\],0xa [ ]*[a-f0-9]+: c4 e3 5d 18 f4 64 vinsertf128 ymm6,ymm4,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 ymm6,ymm4,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 ymm6,ymm4,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 7d 19 e4 64 vextractf128 xmm4,ymm4,0x64 [ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 XMMWORD PTR \[rcx\],ymm4,0x64 +[ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 XMMWORD PTR \[rcx\],ymm4,0x64 +[ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 ymm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 ymm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 5b f4 vcvtdq2ps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fb e6 f4 vcvtpd2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 fb e6 21 vcvtpd2dq xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 5a f4 vcvtpd2ps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 5a 21 vcvtpd2ps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 5b f4 vcvtps2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 e6 f4 vcvttpd2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 e6 21 vcvttpd2dq xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 5b f4 vcvttps2dq xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 28 21 vmovapd xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 28 21 vmovapd xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 28 21 vmovaps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 28 21 vmovaps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 16 f4 vmovshdup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 12 f4 vmovsldup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 10 21 vmovupd xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 10 21 vmovupd xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 10 21 vmovups xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 10 21 vmovups xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 1c f4 vpabsb xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 1d f4 vpabsw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 1e f4 vpabsd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 41 f4 vphminposuw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 17 f4 vptest xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 17 21 vptest xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 17 21 vptest xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 0e f4 vtestps xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 0f f4 vtestpd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 53 f4 vrcpps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 53 21 vrcpps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 53 21 vrcpps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 52 f4 vrsqrtps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 51 f4 vsqrtpd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 51 f4 vsqrtps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 29 21 vmovapd XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 29 21 vmovapd XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 29 21 vmovaps XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 29 21 vmovaps XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 11 21 vmovupd XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 11 21 vmovupd XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 11 21 vmovups XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 11 21 vmovups XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 fb f0 21 vlddqu xmm4,\[rcx\] [ ]*[a-f0-9]+: c5 fb f0 21 vlddqu xmm4,\[rcx\] [ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 fe e6 e4 vcvtdq2pd ymm4,xmm4 [ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd ymm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd ymm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fc 5a e4 vcvtps2pd ymm4,xmm4 [ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd ymm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd ymm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 58 d4 vaddpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 58 39 vaddpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 58 39 vaddpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 58 d4 vaddps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 58 39 vaddps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 58 39 vaddps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d0 d4 vaddsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb d0 d4 vaddsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 55 d4 vandnpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 55 39 vandnpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 55 39 vandnpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 55 d4 vandnps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 55 39 vandnps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 55 39 vandnps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 54 d4 vandpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 54 39 vandpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 54 39 vandpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 54 d4 vandps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 54 39 vandps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 54 39 vandps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 5e d4 vdivpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 5e d4 vdivps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5e 39 vdivps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 5e 39 vdivps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 7c d4 vhaddpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 7c d4 vhaddps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 7d d4 vhsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 7d d4 vhsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 5f d4 vmaxpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 5f d4 vmaxps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 5d d4 vminpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5d 39 vminpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 5d 39 vminpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 5d d4 vminps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5d 39 vminps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 5d 39 vminps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 59 d4 vmulpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 59 39 vmulpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 59 39 vmulpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 59 d4 vmulps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 59 39 vmulps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 59 39 vmulps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 56 d4 vorpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 56 39 vorpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 56 39 vorpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 56 d4 vorps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 56 39 vorps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 56 39 vorps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 63 d4 vpacksswb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 6b d4 vpackssdw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 67 d4 vpackuswb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 2b d4 vpackusdw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 fc d4 vpaddb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 fd d4 vpaddw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 fe d4 vpaddd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d4 d4 vpaddq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 ec d4 vpaddsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 ed d4 vpaddsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 dc d4 vpaddusb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 dd d4 vpaddusw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 db d4 vpand xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 db 39 vpand xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 db 39 vpand xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 df d4 vpandn xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 df 39 vpandn xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 df 39 vpandn xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e0 d4 vpavgb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e3 d4 vpavgw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 74 d4 vpcmpeqb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 75 d4 vpcmpeqw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 76 d4 vpcmpeqd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 29 d4 vpcmpeqq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 64 d4 vpcmpgtb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 65 d4 vpcmpgtw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 66 d4 vpcmpgtd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 37 d4 vpcmpgtq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 0d d4 vpermilpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 0c d4 vpermilps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 01 d4 vphaddw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 02 d4 vphaddd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 03 d4 vphaddsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 05 d4 vphsubw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 06 d4 vphsubd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 07 d4 vphsubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f5 d4 vpmaddwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 04 d4 vpmaddubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3c d4 vpmaxsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 ee d4 vpmaxsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3d d4 vpmaxsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 de d4 vpmaxub xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3e d4 vpmaxuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3f d4 vpmaxud xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 38 d4 vpminsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 ea d4 vpminsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 39 d4 vpminsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 da d4 vpminub xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 da 39 vpminub xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 da 39 vpminub xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3a d4 vpminuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 3b d4 vpminud xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e4 d4 vpmulhuw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 0b d4 vpmulhrsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e5 d4 vpmulhw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d5 d4 vpmullw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 40 d4 vpmulld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f4 d4 vpmuludq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 28 d4 vpmuldq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 eb d4 vpor xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 eb 39 vpor xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 eb 39 vpor xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f6 d4 vpsadbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 00 d4 vpshufb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 08 d4 vpsignb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 09 d4 vpsignw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 49 0a d4 vpsignd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f1 d4 vpsllw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f2 d4 vpslld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f2 39 vpslld xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f2 39 vpslld xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f3 d4 vpsllq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e1 d4 vpsraw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e2 d4 vpsrad xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d1 d4 vpsrlw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d2 d4 vpsrld xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d3 d4 vpsrlq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f8 d4 vpsubb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 f9 d4 vpsubw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 fa d4 vpsubd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 fb d4 vpsubq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e8 d4 vpsubsb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 e9 d4 vpsubsw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d8 d4 vpsubusb xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 d9 d4 vpsubusw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 68 d4 vpunpckhbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 69 d4 vpunpckhwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 6a d4 vpunpckhdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 6d d4 vpunpckhqdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 60 d4 vpunpcklbw xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 61 d4 vpunpcklwd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 62 d4 vpunpckldq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 6c d4 vpunpcklqdq xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 ef d4 vpxor xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 ef 39 vpxor xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 ef 39 vpxor xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 5c d4 vsubpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 5c d4 vsubps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 5c 39 vsubps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 5c 39 vsubps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 15 d4 vunpckhpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 15 d4 vunpckhps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 14 d4 vunpcklpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 14 d4 vunpcklps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 57 d4 vxorpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 57 39 vxorpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 57 39 vxorpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 57 d4 vxorps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 57 39 vxorps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 57 39 vxorps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 00 vcmpeqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 01 vcmpltpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 02 vcmplepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 03 vcmpunordpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 04 vcmpneqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 05 vcmpnltpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 06 vcmpnlepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 07 vcmpordpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 08 vcmpeq_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 09 vcmpngepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0a vcmpngtpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0b vcmpfalsepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0c vcmpneq_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0d vcmpgepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0e vcmpgtpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 0f vcmptruepd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 10 vcmpeq_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 11 vcmplt_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 12 vcmple_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 13 vcmpunord_spd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 14 vcmpneq_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 15 vcmpnlt_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 16 vcmpnle_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 17 vcmpord_spd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 18 vcmpeq_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 19 vcmpnge_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1a vcmpngt_uqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1b vcmpfalse_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1c vcmpneq_ospd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1d vcmpge_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1e vcmpgt_oqpd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c9 c2 d4 1f vcmptrue_uspd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 00 vcmpeqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 01 vcmpltps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 02 vcmpleps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 03 vcmpunordps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 04 vcmpneqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 05 vcmpnltps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 06 vcmpnleps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 07 vcmpordps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 08 vcmpeq_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 09 vcmpngeps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0a vcmpngtps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0b vcmpfalseps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0c vcmpneq_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0d vcmpgeps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0e vcmpgtps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 0f vcmptrueps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 10 vcmpeq_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 11 vcmplt_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 12 vcmple_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 13 vcmpunord_sps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 14 vcmpneq_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 15 vcmpnlt_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 16 vcmpnle_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 17 vcmpord_sps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 18 vcmpeq_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 19 vcmpnge_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1a vcmpngt_uqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1b vcmpfalse_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1c vcmpneq_osps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1d vcmpge_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1e vcmpgt_oqps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 c8 c2 d4 1f vcmptrue_usps xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps xmm7,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps xmm7,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps xmm6,xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps xmm6,xmm4,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd xmm6,xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd xmm6,xmm4,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 79 61 f4 64 vpcmpestri xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 60 f4 64 vpcmpestrm xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 63 f4 64 vpcmpistri xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 62 f4 64 vpcmpistrm xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 05 f4 64 vpermilpd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 04 f4 64 vpermilps xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 f9 70 f4 64 vpshufd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 fa 70 f4 64 vpshufhw xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 fb 70 f4 64 vpshuflw xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 09 f4 64 vroundpd xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 08 f4 64 vroundps xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps XMMWORD PTR \[rcx\],xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps XMMWORD PTR \[rcx\],xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd XMMWORD PTR \[rcx\],xmm6,xmm4 +[ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd XMMWORD PTR \[rcx\],xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 49 0d d4 64 vblendpd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0c d4 64 vblendps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 c9 c2 d4 64 vcmppd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 c8 c2 d4 64 vcmpps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 41 d4 64 vdppd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 40 d4 64 vdpps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 42 d4 64 vmpsadbw xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0f d4 64 vpalignr xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0e d4 64 vpblendw xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 c9 c6 d4 64 vshufpd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 c8 c6 d4 64 vshufps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps xmm2,xmm6,XMMWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 69 4b fe 40 vblendvpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 69 4a fe 40 vblendvps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 69 4c fe 40 vpblendvb xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 69 fc 20 vfmaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 68 fc 20 vfmaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5d fc 20 vfmaddsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5c fc 20 vfmaddsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5f fc 20 vfmsubaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5e fc 20 vfmsubaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6d fc 20 vfmsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6c fc 20 vfmsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 79 fc 20 vfnmaddpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 78 fc 20 vfnmaddps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7d fc 20 vfnmsubpd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7c fc 20 vfnmsubps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 62 vpermilmo2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 63 vpermilmz2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 60 vpermiltd2pd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 62 vpermilmo2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 63 vpermilmz2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 60 vpermiltd2ps xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 6a vpermil2pd xmm7,xmm2,xmm6,xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\],0xa [ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\],0xa +[ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 48 fc 6a vpermil2ps xmm7,xmm2,xmm6,xmm4,0xa [ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\],0xa [ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR \[rcx\],0xa +[ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps xmm7,xmm2,XMMWORD PTR \[rcx\],xmm4,0xa +[ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd ymm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd ymm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 2f f4 vcomisd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa e6 f4 vcvtdq2pd xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 5a f4 vcvtps2pd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fb 12 f4 vmovddup xmm6,xmm4 [ ]*[a-f0-9]+: c5 fb 12 21 vmovddup xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fb 12 21 vmovddup xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 20 f4 vpmovsxbw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 23 f4 vpmovsxwd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 25 f4 vpmovsxdq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 30 f4 vpmovzxbw xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 33 f4 vpmovzxwd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 35 f4 vpmovzxdq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 2e f4 vucomisd xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fb 10 21 vmovsd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fb 10 21 vmovsd xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f8 13 21 vmovlps QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 13 21 vmovlps QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f8 17 21 vmovhps QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f8 17 21 vmovhps QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 fb 11 21 vmovsd QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 fb 11 21 vmovsd QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e1 f9 7e e1 vmovq rcx,xmm4 [ ]*[a-f0-9]+: c4 e1 f9 6e e1 vmovq xmm4,rcx [ ]*[a-f0-9]+: c5 f9 d6 21 vmovq QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 fa 7e 21 vmovq xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 d6 21 vmovq QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 fa 7e 21 vmovq xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fb 2d cc vcvtsd2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si ecx,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si ecx,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fb 2c cc vcvttsd2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si ecx,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si ecx,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 fb 2d cc vcvtsd2si rcx,xmm4 [ ]*[a-f0-9]+: c4 e1 fb 2d 09 vcvtsd2si rcx,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 fb 2d 09 vcvtsd2si rcx,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 fb 2c cc vcvttsd2si rcx,xmm4 [ ]*[a-f0-9]+: c4 e1 fb 2c 09 vcvttsd2si rcx,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 fb 2c 09 vcvttsd2si rcx,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 db 2a f1 vcvtsi2sd xmm6,xmm4,rcx [ ]*[a-f0-9]+: c4 e1 db 2a 31 vcvtsi2sd xmm6,xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 db 2a 31 vcvtsi2sd xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 da 2a f1 vcvtsi2ss xmm6,xmm4,rcx [ ]*[a-f0-9]+: c4 e1 da 2a 31 vcvtsi2ss xmm6,xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 da 2a 31 vcvtsi2ss xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 d9 22 f1 64 vpinsrq xmm6,xmm4,rcx,0x64 [ ]*[a-f0-9]+: c4 e3 d9 22 31 64 vpinsrq xmm6,xmm4,QWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 d9 22 31 64 vpinsrq xmm6,xmm4,QWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 f9 16 e1 64 vpextrq rcx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 f9 16 21 64 vpextrq QWORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 f9 16 21 64 vpextrq QWORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 d8 12 31 vmovlps xmm6,xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 d8 12 31 vmovlps xmm6,xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 d8 16 31 vmovhps xmm6,xmm4,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 d8 16 31 vmovhps xmm6,xmm4,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 64 vcmpsd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd xmm2,xmm6,QWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd xmm2,xmm6,QWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0b d4 64 vroundsd xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd xmm2,xmm6,QWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd xmm2,xmm6,QWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 c9 6b fc 20 vfmaddsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6f fc 20 vfmsubsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7b fc 20 vfnmaddsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7f fc 20 vfnmsubsd xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd xmm7,xmm2,QWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 cb 58 d4 vaddsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 58 11 vaddsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 58 11 vaddsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 5a d4 vcvtsd2ss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 5e d4 vdivsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 5f d4 vmaxsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 5d d4 vminsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5d 11 vminsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 5d 11 vminsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 59 d4 vmulsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 59 11 vmulsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 59 11 vmulsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 51 d4 vsqrtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb 5c d4 vsubsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 00 vcmpeqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 01 vcmpltsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 02 vcmplesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 03 vcmpunordsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 04 vcmpneqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 05 vcmpnltsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 06 vcmpnlesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 07 vcmpordsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 08 vcmpeq_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 09 vcmpngesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0a vcmpngtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0b vcmpfalsesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0c vcmpneq_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0d vcmpgesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0e vcmpgtsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 0f vcmptruesd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 10 vcmpeq_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 11 vcmplt_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 12 vcmple_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 13 vcmpunord_ssd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 14 vcmpneq_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 15 vcmpnlt_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 16 vcmpnle_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 17 vcmpord_ssd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 18 vcmpeq_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 19 vcmpnge_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1a vcmpngt_uqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1b vcmpfalse_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1c vcmpneq_ossd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1d vcmpge_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1e vcmpgt_oqsd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 cb c2 d4 1f vcmptrue_ussd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd xmm2,xmm6,QWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd xmm2,xmm6,QWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 58 d4 vaddss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 58 11 vaddss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 58 11 vaddss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 5a d4 vcvtss2sd xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 5e d4 vdivss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5e 11 vdivss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 5e 11 vdivss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 5f d4 vmaxss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 5d d4 vminss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5d 11 vminss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 5d 11 vminss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 59 d4 vmulss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 59 11 vmulss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 59 11 vmulss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 53 d4 vrcpss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 53 11 vrcpss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 53 11 vrcpss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 52 d4 vrsqrtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 51 d4 vsqrtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca 5c d4 vsubss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca 5c 11 vsubss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca 5c 11 vsubss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 00 vcmpeqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 01 vcmpltss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 02 vcmpless xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 03 vcmpunordss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 04 vcmpneqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 05 vcmpnltss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 06 vcmpnless xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 07 vcmpordss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 08 vcmpeq_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 09 vcmpngess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0a vcmpngtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0b vcmpfalsess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0c vcmpneq_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0d vcmpgess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0e vcmpgtss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 0f vcmptruess xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 10 vcmpeq_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 11 vcmplt_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 12 vcmple_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 13 vcmpunord_sss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 14 vcmpneq_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 15 vcmpnlt_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 16 vcmpnle_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 17 vcmpord_sss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 18 vcmpeq_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 19 vcmpnge_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1a vcmpngt_uqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1b vcmpfalse_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1c vcmpneq_osss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1d vcmpge_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1e vcmpgt_oqss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 1f vcmptrue_usss xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss ymm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss ymm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 2f f4 vcomiss xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 21 f4 vpmovsxbd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 24 f4 vpmovsxwq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 31 f4 vpmovzxbd xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 34 f4 vpmovzxwq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f8 2e f4 vucomiss xmm6,xmm4 [ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 10 21 vmovss xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 10 21 vmovss xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 11 21 vmovss DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 fa 11 21 vmovss DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 7e e1 vmovd ecx,xmm4 [ ]*[a-f0-9]+: c5 f9 7e 21 vmovd DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c5 f9 6e e1 vmovd xmm4,ecx [ ]*[a-f0-9]+: c5 f9 6e 21 vmovd xmm4,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 f9 7e 21 vmovd DWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c5 f9 6e 21 vmovd xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 2d cc vcvtss2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si ecx,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si ecx,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 fa 2c cc vcvttss2si ecx,xmm4 [ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si ecx,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si ecx,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 fa 2d cc vcvtss2si rcx,xmm4 [ ]*[a-f0-9]+: c4 e1 fa 2d 09 vcvtss2si rcx,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 fa 2d 09 vcvtss2si rcx,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e1 fa 2c cc vcvttss2si rcx,xmm4 [ ]*[a-f0-9]+: c4 e1 fa 2c 09 vcvttss2si rcx,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e1 fa 2c 09 vcvttss2si rcx,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd ecx,xmm4 [ ]*[a-f0-9]+: c5 f8 50 cc vmovmskps ecx,xmm4 [ ]*[a-f0-9]+: c5 f9 d7 cc vpmovmskb ecx,xmm4 [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 16 e1 64 vpextrd ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd DWORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd DWORD PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps DWORD PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c5 db 2a f1 vcvtsi2sd xmm6,xmm4,ecx [ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sd xmm6,xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 da 2a f1 vcvtsi2ss xmm6,xmm4,ecx [ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ss xmm6,xmm4,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 ca c2 d4 64 vcmpss xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss xmm2,xmm6,DWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss xmm2,xmm6,DWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 21 d4 64 vinsertps xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps xmm2,xmm6,DWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps xmm2,xmm6,DWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 49 0a d4 64 vroundss xmm2,xmm6,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss xmm2,xmm6,DWORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss xmm2,xmm6,DWORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 c9 6a fc 20 vfmaddss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6e fc 20 vfmsubss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7a fc 20 vfnmaddss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7e fc 20 vfnmsubss xmm7,xmm2,xmm6,xmm4 [ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 +[ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss xmm7,xmm2,DWORD PTR \[rcx\],xmm4 [ ]*[a-f0-9]+: c4 e2 79 22 f4 vpmovsxbq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq xmm4,WORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq xmm4,WORD PTR \[rcx\] [ ]*[a-f0-9]+: c4 e2 79 32 f4 vpmovzxbq xmm6,xmm4 [ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq xmm4,WORD PTR \[rcx\] +[ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq xmm4,WORD PTR \[rcx\] [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw WORD PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw xmm6,xmm4,WORD PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb ecx,xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[rcx\],xmm4,0x64 +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb BYTE PTR \[rcx\],xmm4,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb xmm6,xmm4,ecx,0x64 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[rcx\],0x64 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb xmm6,xmm4,BYTE PTR \[rcx\],0x64 [ ]*[a-f0-9]+: c5 f9 f7 f4 vmaskmovdqu xmm6,xmm4 [ ]*[a-f0-9]+: c5 fa 7e f4 vmovq xmm6,xmm4 [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd ecx,xmm4 @@ -2812,25 +3417,25 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c4 43 7d 19 87 99 00 00 00 64 vextractf128 XMMWORD PTR \[r15\+0x99\],ymm8,0x64 [ ]*[a-f0-9]+: c4 43 3d 06 bf 99 00 00 00 64 vperm2f128 ymm15,ymm8,YMMWORD PTR \[r15\+0x99\],0x64 [ ]*[a-f0-9]+: c4 43 1d 4b b7 99 00 00 00 80 vblendvpd ymm14,ymm12,YMMWORD PTR \[r15\+0x99\],ymm8 -[ ]*[a-f0-9]+: c5 f8 ae 15 99 00 00 00 vldmxcsr DWORD PTR \[rip\+0x99\] # 3bd9 <_start\+0x3bd9> -[ ]*[a-f0-9]+: c5 79 6f 05 99 00 00 00 vmovdqa xmm8,XMMWORD PTR \[rip\+0x99\] # 3be1 <_start\+0x3be1> -[ ]*[a-f0-9]+: c5 79 7f 05 99 00 00 00 vmovdqa XMMWORD PTR \[rip\+0x99\],xmm8 # 3be9 <_start\+0x3be9> -[ ]*[a-f0-9]+: c5 79 7e 05 99 00 00 00 vmovd DWORD PTR \[rip\+0x99\],xmm8 # 3bf1 <_start\+0x3bf1> -[ ]*[a-f0-9]+: c5 7b 2d 05 99 00 00 00 vcvtsd2si r8d,QWORD PTR \[rip\+0x99\] # 3bf9 <_start\+0x3bf9> -[ ]*[a-f0-9]+: c5 7e e6 05 99 00 00 00 vcvtdq2pd ymm8,XMMWORD PTR \[rip\+0x99\] # 3c01 <_start\+0x3c01> -[ ]*[a-f0-9]+: c5 7d 5a 05 99 00 00 00 vcvtpd2ps xmm8,YMMWORD PTR \[rip\+0x99\] # 3c09 <_start\+0x3c09> -[ ]*[a-f0-9]+: c5 39 e0 3d 99 00 00 00 vpavgb xmm15,xmm8,XMMWORD PTR \[rip\+0x99\] # 3c11 <_start\+0x3c11> -[ ]*[a-f0-9]+: c4 63 79 14 05 99 00 00 00 64 vpextrb BYTE PTR \[rip\+0x99\],xmm8,0x64 # 3c1b <_start\+0x3c1b> -[ ]*[a-f0-9]+: c5 3b 2a 3d 99 00 00 00 vcvtsi2sd xmm15,xmm8,DWORD PTR \[rip\+0x99\] # 3c23 <_start\+0x3c23> -[ ]*[a-f0-9]+: c4 63 19 4a 35 99 00 00 00 80 vblendvps xmm14,xmm12,XMMWORD PTR \[rip\+0x99\],xmm8 # 3c2d <_start\+0x3c2d> -[ ]*[a-f0-9]+: c4 63 39 20 3d 99 00 00 00 64 vpinsrb xmm15,xmm8,BYTE PTR \[rip\+0x99\],0x64 # 3c37 <_start\+0x3c37> -[ ]*[a-f0-9]+: c5 7d 6f 05 99 00 00 00 vmovdqa ymm8,YMMWORD PTR \[rip\+0x99\] # 3c3f <_start\+0x3c3f> -[ ]*[a-f0-9]+: c5 7d 7f 05 99 00 00 00 vmovdqa YMMWORD PTR \[rip\+0x99\],ymm8 # 3c47 <_start\+0x3c47> -[ ]*[a-f0-9]+: c4 62 3d 0d 3d 99 00 00 00 vpermilpd ymm15,ymm8,YMMWORD PTR \[rip\+0x99\] # 3c50 <_start\+0x3c50> -[ ]*[a-f0-9]+: c4 63 7d 09 05 99 00 00 00 64 vroundpd ymm8,YMMWORD PTR \[rip\+0x99\],0x64 # 3c5a <_start\+0x3c5a> -[ ]*[a-f0-9]+: c4 63 7d 19 05 99 00 00 00 64 vextractf128 XMMWORD PTR \[rip\+0x99\],ymm8,0x64 # 3c64 <_start\+0x3c64> -[ ]*[a-f0-9]+: c4 63 3d 06 3d 99 00 00 00 64 vperm2f128 ymm15,ymm8,YMMWORD PTR \[rip\+0x99\],0x64 # 3c6e <_start\+0x3c6e> -[ ]*[a-f0-9]+: c4 63 1d 4b 35 99 00 00 00 80 vblendvpd ymm14,ymm12,YMMWORD PTR \[rip\+0x99\],ymm8 # 3c78 <_start\+0x3c78> +[ ]*[a-f0-9]+: c5 f8 ae 15 99 00 00 00 vldmxcsr DWORD PTR \[rip\+0x99\] # 4752 <_start\+0x4752> +[ ]*[a-f0-9]+: c5 79 6f 05 99 00 00 00 vmovdqa xmm8,XMMWORD PTR \[rip\+0x99\] # 475a <_start\+0x475a> +[ ]*[a-f0-9]+: c5 79 7f 05 99 00 00 00 vmovdqa XMMWORD PTR \[rip\+0x99\],xmm8 # 4762 <_start\+0x4762> +[ ]*[a-f0-9]+: c5 79 7e 05 99 00 00 00 vmovd DWORD PTR \[rip\+0x99\],xmm8 # 476a <_start\+0x476a> +[ ]*[a-f0-9]+: c5 7b 2d 05 99 00 00 00 vcvtsd2si r8d,QWORD PTR \[rip\+0x99\] # 4772 <_start\+0x4772> +[ ]*[a-f0-9]+: c5 7e e6 05 99 00 00 00 vcvtdq2pd ymm8,XMMWORD PTR \[rip\+0x99\] # 477a <_start\+0x477a> +[ ]*[a-f0-9]+: c5 7d 5a 05 99 00 00 00 vcvtpd2ps xmm8,YMMWORD PTR \[rip\+0x99\] # 4782 <_start\+0x4782> +[ ]*[a-f0-9]+: c5 39 e0 3d 99 00 00 00 vpavgb xmm15,xmm8,XMMWORD PTR \[rip\+0x99\] # 478a <_start\+0x478a> +[ ]*[a-f0-9]+: c4 63 79 14 05 99 00 00 00 64 vpextrb BYTE PTR \[rip\+0x99\],xmm8,0x64 # 4794 <_start\+0x4794> +[ ]*[a-f0-9]+: c5 3b 2a 3d 99 00 00 00 vcvtsi2sd xmm15,xmm8,DWORD PTR \[rip\+0x99\] # 479c <_start\+0x479c> +[ ]*[a-f0-9]+: c4 63 19 4a 35 99 00 00 00 80 vblendvps xmm14,xmm12,XMMWORD PTR \[rip\+0x99\],xmm8 # 47a6 <_start\+0x47a6> +[ ]*[a-f0-9]+: c4 63 39 20 3d 99 00 00 00 64 vpinsrb xmm15,xmm8,BYTE PTR \[rip\+0x99\],0x64 # 47b0 <_start\+0x47b0> +[ ]*[a-f0-9]+: c5 7d 6f 05 99 00 00 00 vmovdqa ymm8,YMMWORD PTR \[rip\+0x99\] # 47b8 <_start\+0x47b8> +[ ]*[a-f0-9]+: c5 7d 7f 05 99 00 00 00 vmovdqa YMMWORD PTR \[rip\+0x99\],ymm8 # 47c0 <_start\+0x47c0> +[ ]*[a-f0-9]+: c4 62 3d 0d 3d 99 00 00 00 vpermilpd ymm15,ymm8,YMMWORD PTR \[rip\+0x99\] # 47c9 <_start\+0x47c9> +[ ]*[a-f0-9]+: c4 63 7d 09 05 99 00 00 00 64 vroundpd ymm8,YMMWORD PTR \[rip\+0x99\],0x64 # 47d3 <_start\+0x47d3> +[ ]*[a-f0-9]+: c4 63 7d 19 05 99 00 00 00 64 vextractf128 XMMWORD PTR \[rip\+0x99\],ymm8,0x64 # 47dd <_start\+0x47dd> +[ ]*[a-f0-9]+: c4 63 3d 06 3d 99 00 00 00 64 vperm2f128 ymm15,ymm8,YMMWORD PTR \[rip\+0x99\],0x64 # 47e7 <_start\+0x47e7> +[ ]*[a-f0-9]+: c4 63 1d 4b 35 99 00 00 00 80 vblendvpd ymm14,ymm12,YMMWORD PTR \[rip\+0x99\],ymm8 # 47f1 <_start\+0x47f1> [ ]*[a-f0-9]+: c5 f8 ae 94 24 99 00 00 00 vldmxcsr DWORD PTR \[rsp\+0x99\] [ ]*[a-f0-9]+: c5 79 6f 84 24 99 00 00 00 vmovdqa xmm8,XMMWORD PTR \[rsp\+0x99\] [ ]*[a-f0-9]+: c5 79 7f 84 24 99 00 00 00 vmovdqa XMMWORD PTR \[rsp\+0x99\],xmm8 diff --git a/gas/testsuite/gas/i386/x86-64-avx.d b/gas/testsuite/gas/i386/x86-64-avx.d index 0be7ec8c21..79cd937e8d 100644 --- a/gas/testsuite/gas/i386/x86-64-avx.d +++ b/gas/testsuite/gas/i386/x86-64-avx.d @@ -1536,211 +1536,317 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c4 61 fa 2d 01 vcvtss2si \(%rcx\),%r8 [ ]*[a-f0-9]+: c4 41 01 c4 c0 64 vpinsrw \$0x64,%r8d,%xmm15,%xmm8 [ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr \(%rcx\) +[ ]*[a-f0-9]+: c5 f8 ae 11 vldmxcsr \(%rcx\) +[ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr \(%rcx\) [ ]*[a-f0-9]+: c5 f8 ae 19 vstmxcsr \(%rcx\) [ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd \(%rcx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd %ymm4,%ymm6,\(%rcx\) +[ ]*[a-f0-9]+: c4 e2 5d 2d 31 vmaskmovpd \(%rcx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e2 4d 2f 21 vmaskmovpd %ymm4,%ymm6,\(%rcx\) +[ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps \(%rcx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps %ymm4,%ymm6,\(%rcx\) [ ]*[a-f0-9]+: c4 e2 5d 2c 31 vmaskmovps \(%rcx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e2 4d 2e 21 vmaskmovps %ymm4,%ymm6,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 7d 05 d6 64 vpermilpd \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd \$0x64,\(%rcx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 05 31 64 vpermilpd \$0x64,\(%rcx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 04 d6 64 vpermilps \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps \$0x64,\(%rcx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 04 31 64 vpermilps \$0x64,\(%rcx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 09 d6 64 vroundpd \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd \$0x64,\(%rcx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 09 31 64 vroundpd \$0x64,\(%rcx\),%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 08 d6 64 vroundps \$0x64,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps \$0x64,\(%rcx\),%ymm6 +[ ]*[a-f0-9]+: c4 e3 7d 08 31 64 vroundps \$0x64,\(%rcx\),%ymm6 [ ]*[a-f0-9]+: c5 cd 58 d4 vaddpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 58 11 vaddpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 58 11 vaddpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 58 d4 vaddps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 58 11 vaddps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 58 11 vaddps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd d0 d4 vaddsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd d0 11 vaddsubpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf d0 d4 vaddsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf d0 11 vaddsubps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 55 d4 vandnpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 55 11 vandnpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 55 11 vandnpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 55 d4 vandnps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 55 11 vandnps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 55 11 vandnps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 54 d4 vandpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 54 11 vandpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 54 11 vandpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 54 d4 vandps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 54 11 vandps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 54 11 vandps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5e d4 vdivpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5e 11 vdivpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5e d4 vdivps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5e 11 vdivps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5e 11 vdivps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7c d4 vhaddpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 7c 11 vhaddpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7c d4 vhaddps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf 7c 11 vhaddps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7d d4 vhsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 7d 11 vhsubpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7d d4 vhsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cf 7d 11 vhsubps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5f d4 vmaxpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5f 11 vmaxpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5f d4 vmaxps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5f 11 vmaxps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5d d4 vminpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5d 11 vminpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5d 11 vminpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5d d4 vminps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5d 11 vminps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5d 11 vminps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 59 d4 vmulpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 59 11 vmulpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 59 11 vmulpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 59 d4 vmulps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 59 11 vmulps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 59 11 vmulps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 56 d4 vorpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 56 11 vorpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 56 11 vorpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 56 d4 vorps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 56 11 vorps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 56 11 vorps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0d d4 vpermilpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e2 4d 0d 11 vpermilpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0c d4 vpermilps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e2 4d 0c 11 vpermilps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5c d4 vsubpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 5c 11 vsubpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5c d4 vsubps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 5c 11 vsubps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 5c 11 vsubps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 15 d4 vunpckhpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 15 11 vunpckhpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 15 d4 vunpckhps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 15 11 vunpckhps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 14 d4 vunpcklpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 14 11 vunpcklpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 14 d4 vunpcklps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 14 11 vunpcklps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 57 d4 vxorpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd 57 11 vxorpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd 57 11 vxorpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 57 d4 vxorps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc 57 11 vxorps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc 57 11 vxorps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 00 vcmpeqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 00 vcmpeqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 01 vcmpltpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 01 vcmpltpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 02 vcmplepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 02 vcmplepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 03 vcmpunordpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 03 vcmpunordpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 04 vcmpneqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 04 vcmpneqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 05 vcmpnltpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 05 vcmpnltpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 06 vcmpnlepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 06 vcmpnlepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 07 vcmpordpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 07 vcmpordpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 08 vcmpeq_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 08 vcmpeq_uqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 09 vcmpngepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 09 vcmpngepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0a vcmpngtpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0a vcmpngtpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0b vcmpfalsepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0b vcmpfalsepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0c vcmpneq_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0c vcmpneq_oqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0d vcmpgepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0d vcmpgepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0e vcmpgtpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0e vcmpgtpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 0f vcmptruepd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 0f vcmptruepd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 10 vcmpeq_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 10 vcmpeq_ospd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 11 vcmplt_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 11 vcmplt_oqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 12 vcmple_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 12 vcmple_oqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 13 vcmpunord_spd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 13 vcmpunord_spd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 14 vcmpneq_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 14 vcmpneq_uspd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 15 vcmpnlt_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 15 vcmpnlt_uqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 16 vcmpnle_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 16 vcmpnle_uqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 17 vcmpord_spd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 17 vcmpord_spd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 18 vcmpeq_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 18 vcmpeq_uspd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 19 vcmpnge_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 19 vcmpnge_uqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1a vcmpngt_uqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1a vcmpngt_uqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1b vcmpfalse_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1b vcmpfalse_ospd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1c vcmpneq_ospd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1c vcmpneq_ospd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1d vcmpge_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1d vcmpge_oqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1e vcmpgt_oqpd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1e vcmpgt_oqpd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 1f vcmptrue_uspd %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 1f vcmptrue_uspd \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 00 vcmpeqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 00 vcmpeqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 01 vcmpltps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 01 vcmpltps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 02 vcmpleps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 02 vcmpleps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 03 vcmpunordps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 03 vcmpunordps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 04 vcmpneqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 04 vcmpneqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 05 vcmpnltps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 05 vcmpnltps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 06 vcmpnleps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 06 vcmpnleps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 07 vcmpordps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 07 vcmpordps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 08 vcmpeq_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 08 vcmpeq_uqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 09 vcmpngeps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 09 vcmpngeps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0a vcmpngtps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0a vcmpngtps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0b vcmpfalseps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0b vcmpfalseps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0c vcmpneq_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0c vcmpneq_oqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0d vcmpgeps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0d vcmpgeps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0e vcmpgtps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0e vcmpgtps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 0f vcmptrueps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 0f vcmptrueps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 10 vcmpeq_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 10 vcmpeq_osps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 11 vcmplt_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 11 vcmplt_oqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 12 vcmple_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 12 vcmple_oqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 13 vcmpunord_sps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 13 vcmpunord_sps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 14 vcmpneq_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 14 vcmpneq_usps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 15 vcmpnlt_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 15 vcmpnlt_uqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 16 vcmpnle_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 16 vcmpnle_uqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 17 vcmpord_sps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 17 vcmpord_sps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 18 vcmpeq_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 18 vcmpeq_usps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 19 vcmpnge_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 19 vcmpnge_uqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1a vcmpngt_uqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1a vcmpngt_uqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1b vcmpfalse_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1b vcmpfalse_osps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1c vcmpneq_osps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1c vcmpneq_osps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1d vcmpge_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1d vcmpge_oqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1e vcmpgt_oqps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1e vcmpgt_oqps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 1f vcmptrue_usps %ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps \(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 1f vcmptrue_usps \(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 ff e6 e4 vcvtpd2dq %ymm4,%xmm4 [ ]*[a-f0-9]+: c5 ff e6 21 vcvtpd2dqy \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fd 5a e4 vcvtpd2ps %ymm4,%xmm4 @@ -1749,962 +1855,1461 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c5 fd e6 21 vcvttpd2dqy \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fc 5b e4 vcvtdq2ps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 5b 21 vcvtdq2ps \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 5b e4 vcvtps2dq %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 5b 21 vcvtps2dq \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 5b e4 vcvttps2dq %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 5b 21 vcvttps2dq \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 28 e4 vmovapd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 28 21 vmovapd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 28 21 vmovapd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 28 e4 vmovaps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 28 21 vmovaps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 28 21 vmovaps \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 6f e4 vmovdqa %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 6f 21 vmovdqa \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 6f e4 vmovdqu %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 6f 21 vmovdqu \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 ff 12 e4 vmovddup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 ff 12 21 vmovddup \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 ff 12 21 vmovddup \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 16 e4 vmovshdup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 16 21 vmovshdup \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fe 12 e4 vmovsldup %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe 12 21 vmovsldup \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 10 e4 vmovupd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 10 21 vmovupd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 10 21 vmovupd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 10 e4 vmovups %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 10 21 vmovups \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 10 21 vmovups \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 e4 vptest %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 17 21 vptest \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 53 e4 vrcpps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 53 21 vrcpps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 53 21 vrcpps \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 52 e4 vrsqrtps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 52 21 vrsqrtps \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fd 51 e4 vsqrtpd %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fd 51 21 vsqrtpd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 51 e4 vsqrtps %ymm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 51 21 vsqrtps \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f e4 vtestpd %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 0f 21 vtestpd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e e4 vtestps %ymm4,%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c4 e2 7d 0e 21 vtestps \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 ff f0 21 vlddqu \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 ff f0 21 vlddqu \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e3 4d 0d d4 64 vblendpd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 0d 11 64 vblendpd \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0c d4 64 vblendps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 0c 11 64 vblendps \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 d4 64 vcmppd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c2 11 64 vcmppd \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 d4 64 vcmpps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c2 11 64 vcmpps \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 40 d4 64 vdpps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 40 11 64 vdpps \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 06 d4 64 vperm2f128 \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c4 e3 4d 06 11 64 vperm2f128 \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c6 d4 64 vshufpd \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cd c6 11 64 vshufpd \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c6 d4 64 vshufps \$0x64,%ymm4,%ymm6,%ymm2 [ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps \$0x64,\(%rcx\),%ymm6,%ymm2 +[ ]*[a-f0-9]+: c5 cc c6 11 64 vshufps \$0x64,\(%rcx\),%ymm6,%ymm2 [ ]*[a-f0-9]+: c4 e3 6d 4b fe 40 vblendvpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd %ymm4,\(%rcx\),%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 6d 4b 39 40 vblendvpd %ymm4,\(%rcx\),%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4a fe 40 vblendvps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps %ymm4,\(%rcx\),%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 6d 4a 39 40 vblendvps %ymm4,\(%rcx\),%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 69 fc 20 vfmaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 69 39 20 vfmaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 68 fc 20 vfmaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 68 39 20 vfmaddps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5d fc 20 vfmaddsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5d 39 20 vfmaddsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5c fc 20 vfmaddsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5c 39 20 vfmaddsubps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5f fc 20 vfmsubaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5f 39 20 vfmsubaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5e fc 20 vfmsubaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 5e 39 20 vfmsubaddps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6d fc 20 vfmsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 6d 39 20 vfmsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6c fc 20 vfmsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 6c 39 20 vfmsubps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 79 fc 20 vfnmaddpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 79 39 20 vfnmaddpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 78 fc 20 vfnmaddps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 78 39 20 vfnmaddps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7d fc 20 vfnmsubpd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 7d 39 20 vfnmsubpd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7c fc 20 vfnmsubps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 cd 7c 39 20 vfnmsubps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 62 vpermilmo2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 62 vpermilmo2pd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 63 vpermilmz2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 63 vpermilmz2pd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 60 vpermiltd2pd %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 60 vpermiltd2pd \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 62 vpermilmo2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 62 vpermilmo2ps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 63 vpermilmz2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 63 vpermilmz2ps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 60 vpermiltd2ps %ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps \(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 60 vpermiltd2ps \(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 fc 6a vpermil2pd \$0xa,%ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd \$0xa,\(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 49 39 6a vpermil2pd \$0xa,\(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 fc 6a vpermil2ps \$0xa,%ymm4,%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps \$0xa,\(%rcx\),%ymm6,%ymm2,%ymm7 +[ ]*[a-f0-9]+: c4 e3 ed 48 39 6a vpermil2ps \$0xa,\(%rcx\),%ymm6,%ymm2,%ymm7 [ ]*[a-f0-9]+: c4 e3 5d 18 f4 64 vinsertf128 \$0x64,%xmm4,%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 \$0x64,\(%rcx\),%ymm4,%ymm6 +[ ]*[a-f0-9]+: c4 e3 5d 18 31 64 vinsertf128 \$0x64,\(%rcx\),%ymm4,%ymm6 [ ]*[a-f0-9]+: c4 e3 7d 19 e4 64 vextractf128 \$0x64,%ymm4,%xmm4 [ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 \$0x64,%ymm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 7d 19 21 64 vextractf128 \$0x64,%ymm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 1a 21 vbroadcastf128 \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 f8 5b f4 vcvtdq2ps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 5b 21 vcvtdq2ps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fb e6 f4 vcvtpd2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb e6 21 vcvtpd2dqx \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 5a f4 vcvtpd2ps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 5a 21 vcvtpd2psx \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 5b f4 vcvtps2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 5b 21 vcvtps2dq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 e6 f4 vcvttpd2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 e6 21 vcvttpd2dqx \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 5b f4 vcvttps2dq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 5b 21 vcvttps2dq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 28 21 vmovapd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 28 21 vmovapd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 28 21 vmovaps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 28 21 vmovaps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 6f 21 vmovdqa \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 6f 21 vmovdqu \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 16 f4 vmovshdup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 16 21 vmovshdup \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 12 f4 vmovsldup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 12 21 vmovsldup \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 10 21 vmovupd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 10 21 vmovupd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 10 21 vmovups \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 10 21 vmovups \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1c f4 vpabsb %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1c 21 vpabsb \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1d f4 vpabsw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1d 21 vpabsw \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 1e f4 vpabsd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 1e 21 vpabsd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 41 f4 vphminposuw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 41 21 vphminposuw \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 17 f4 vptest %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 17 21 vptest \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 17 21 vptest \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 0e f4 vtestps %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 0e 21 vtestps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 0f f4 vtestpd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 0f 21 vtestpd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 53 f4 vrcpps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 53 21 vrcpps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 53 21 vrcpps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 52 f4 vrsqrtps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 52 21 vrsqrtps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 51 f4 vsqrtpd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 51 21 vsqrtpd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 51 f4 vsqrtps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 51 21 vsqrtps \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 28 f4 vmovapd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 29 21 vmovapd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 29 21 vmovapd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f8 28 f4 vmovaps %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 29 21 vmovaps %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f8 29 21 vmovaps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 6f f4 vmovdqa %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 7f 21 vmovdqa %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 fa 6f f4 vmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 fa 7f 21 vmovdqu %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 10 f4 vmovupd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 11 21 vmovupd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 11 21 vmovupd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f8 10 f4 vmovups %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 11 21 vmovups %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f8 11 21 vmovups %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 fb f0 21 vlddqu \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fb f0 21 vlddqu \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 2a 21 vmovntdqa \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 e7 21 vmovntdq %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 2b 21 vmovntpd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f8 2b 21 vmovntps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 fe e6 e4 vcvtdq2pd %xmm4,%ymm4 [ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fe e6 21 vcvtdq2pd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 fc 5a e4 vcvtps2pd %xmm4,%ymm4 [ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd \(%rcx\),%ymm4 +[ ]*[a-f0-9]+: c5 fc 5a 21 vcvtps2pd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 c9 58 d4 vaddpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 58 39 vaddpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 58 39 vaddpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 58 d4 vaddps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 58 39 vaddps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 58 39 vaddps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d0 d4 vaddsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d0 39 vaddsubpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb d0 d4 vaddsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb d0 39 vaddsubps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 55 d4 vandnpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 55 39 vandnpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 55 39 vandnpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 55 d4 vandnps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 55 39 vandnps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 55 39 vandnps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 54 d4 vandpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 54 39 vandpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 54 39 vandpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 54 d4 vandps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 54 39 vandps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 54 39 vandps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5e d4 vdivpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5e 39 vdivpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5e d4 vdivps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5e 39 vdivps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5e 39 vdivps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 7c d4 vhaddpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 7c 39 vhaddpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb 7c d4 vhaddps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb 7c 39 vhaddps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 7d d4 vhsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 7d 39 vhsubpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 cb 7d d4 vhsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 cb 7d 39 vhsubps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5f d4 vmaxpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5f 39 vmaxpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5f d4 vmaxps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5f 39 vmaxps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5d d4 vminpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5d 39 vminpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5d 39 vminpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5d d4 vminps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5d 39 vminps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5d 39 vminps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 59 d4 vmulpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 59 39 vmulpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 59 39 vmulpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 59 d4 vmulps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 59 39 vmulps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 59 39 vmulps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 56 d4 vorpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 56 39 vorpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 56 39 vorpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 56 d4 vorps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 56 39 vorps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 56 39 vorps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 63 d4 vpacksswb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 63 39 vpacksswb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6b d4 vpackssdw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6b 39 vpackssdw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 67 d4 vpackuswb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 67 39 vpackuswb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 2b d4 vpackusdw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 2b 39 vpackusdw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fc d4 vpaddb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fc 39 vpaddb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fd d4 vpaddw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fd 39 vpaddw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fe d4 vpaddd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fe 39 vpaddd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d4 d4 vpaddq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d4 39 vpaddq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ec d4 vpaddsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ec 39 vpaddsb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ed d4 vpaddsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ed 39 vpaddsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 dc d4 vpaddusb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 dc 39 vpaddusb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 dd d4 vpaddusw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 dd 39 vpaddusw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 db d4 vpand %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 db 39 vpand \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 db 39 vpand \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 df d4 vpandn %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 df 39 vpandn \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 df 39 vpandn \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e0 d4 vpavgb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e0 39 vpavgb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e3 d4 vpavgw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e3 39 vpavgw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 74 d4 vpcmpeqb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 74 39 vpcmpeqb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 75 d4 vpcmpeqw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 75 39 vpcmpeqw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 76 d4 vpcmpeqd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 76 39 vpcmpeqd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 29 d4 vpcmpeqq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 29 39 vpcmpeqq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 64 d4 vpcmpgtb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 64 39 vpcmpgtb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 65 d4 vpcmpgtw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 65 39 vpcmpgtw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 66 d4 vpcmpgtd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 66 39 vpcmpgtd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 37 d4 vpcmpgtq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 37 39 vpcmpgtq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0d d4 vpermilpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0d 39 vpermilpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0c d4 vpermilps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0c 39 vpermilps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 01 d4 vphaddw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 01 39 vphaddw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 02 d4 vphaddd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 02 39 vphaddd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 03 d4 vphaddsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 03 39 vphaddsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 05 d4 vphsubw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 05 39 vphsubw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 06 d4 vphsubd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 06 39 vphsubd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 07 d4 vphsubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 07 39 vphsubsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f5 d4 vpmaddwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f5 39 vpmaddwd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 04 d4 vpmaddubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 04 39 vpmaddubsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3c d4 vpmaxsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3c 39 vpmaxsb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ee d4 vpmaxsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ee 39 vpmaxsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3d d4 vpmaxsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3d 39 vpmaxsd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 de d4 vpmaxub %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 de 39 vpmaxub \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3e d4 vpmaxuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3e 39 vpmaxuw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3f d4 vpmaxud %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3f 39 vpmaxud \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 38 d4 vpminsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 38 39 vpminsb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ea d4 vpminsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ea 39 vpminsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 39 d4 vpminsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 39 39 vpminsd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 da d4 vpminub %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 da 39 vpminub \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 da 39 vpminub \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3a d4 vpminuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3a 39 vpminuw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 3b d4 vpminud %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 3b 39 vpminud \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e4 d4 vpmulhuw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e4 39 vpmulhuw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0b d4 vpmulhrsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0b 39 vpmulhrsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e5 d4 vpmulhw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e5 39 vpmulhw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d5 d4 vpmullw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d5 39 vpmullw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 40 d4 vpmulld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 40 39 vpmulld \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f4 d4 vpmuludq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f4 39 vpmuludq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 28 d4 vpmuldq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 28 39 vpmuldq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 eb d4 vpor %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 eb 39 vpor \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 eb 39 vpor \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f6 d4 vpsadbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f6 39 vpsadbw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 00 d4 vpshufb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 00 39 vpshufb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 08 d4 vpsignb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 08 39 vpsignb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 09 d4 vpsignw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 09 39 vpsignw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 49 0a d4 vpsignd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c4 e2 49 0a 39 vpsignd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f1 d4 vpsllw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f1 39 vpsllw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f2 d4 vpslld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f2 39 vpslld \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f2 39 vpslld \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f3 d4 vpsllq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f3 39 vpsllq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e1 d4 vpsraw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e1 39 vpsraw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e2 d4 vpsrad %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e2 39 vpsrad \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d1 d4 vpsrlw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d1 39 vpsrlw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d2 d4 vpsrld %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d2 39 vpsrld \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d3 d4 vpsrlq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d3 39 vpsrlq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f8 d4 vpsubb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f8 39 vpsubb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 f9 d4 vpsubw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 f9 39 vpsubw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fa d4 vpsubd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fa 39 vpsubd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 fb d4 vpsubq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 fb 39 vpsubq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e8 d4 vpsubsb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e8 39 vpsubsb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 e9 d4 vpsubsw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 e9 39 vpsubsw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d8 d4 vpsubusb %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d8 39 vpsubusb \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 d9 d4 vpsubusw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 d9 39 vpsubusw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 68 d4 vpunpckhbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 68 39 vpunpckhbw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 69 d4 vpunpckhwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 69 39 vpunpckhwd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6a d4 vpunpckhdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6a 39 vpunpckhdq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6d d4 vpunpckhqdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6d 39 vpunpckhqdq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 60 d4 vpunpcklbw %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 60 39 vpunpcklbw \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 61 d4 vpunpcklwd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 61 39 vpunpcklwd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 62 d4 vpunpckldq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 62 39 vpunpckldq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 6c d4 vpunpcklqdq %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 6c 39 vpunpcklqdq \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 ef d4 vpxor %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 ef 39 vpxor \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 ef 39 vpxor \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 5c d4 vsubpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 5c 39 vsubpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 5c d4 vsubps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 5c 39 vsubps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 5c 39 vsubps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 15 d4 vunpckhpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 15 39 vunpckhpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 15 d4 vunpckhps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 15 39 vunpckhps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 14 d4 vunpcklpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 14 39 vunpcklpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 14 d4 vunpcklps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 14 39 vunpcklps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 57 d4 vxorpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 57 39 vxorpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 57 39 vxorpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 57 d4 vxorps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 57 39 vxorps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 57 39 vxorps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 00 vcmpeqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 00 vcmpeqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 01 vcmpltpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 01 vcmpltpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 02 vcmplepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 02 vcmplepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 03 vcmpunordpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 03 vcmpunordpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 04 vcmpneqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 04 vcmpneqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 05 vcmpnltpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 05 vcmpnltpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 06 vcmpnlepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 06 vcmpnlepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 07 vcmpordpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 07 vcmpordpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 08 vcmpeq_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 08 vcmpeq_uqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 09 vcmpngepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 09 vcmpngepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0a vcmpngtpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0a vcmpngtpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0b vcmpfalsepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0b vcmpfalsepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0c vcmpneq_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0c vcmpneq_oqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0d vcmpgepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0d vcmpgepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0e vcmpgtpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0e vcmpgtpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 0f vcmptruepd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 0f vcmptruepd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 10 vcmpeq_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 10 vcmpeq_ospd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 11 vcmplt_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 11 vcmplt_oqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 12 vcmple_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 12 vcmple_oqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 13 vcmpunord_spd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 13 vcmpunord_spd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 14 vcmpneq_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 14 vcmpneq_uspd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 15 vcmpnlt_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 15 vcmpnlt_uqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 16 vcmpnle_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 16 vcmpnle_uqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 17 vcmpord_spd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 17 vcmpord_spd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 18 vcmpeq_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 18 vcmpeq_uspd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 19 vcmpnge_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 19 vcmpnge_uqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1a vcmpngt_uqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1a vcmpngt_uqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1b vcmpfalse_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1b vcmpfalse_ospd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1c vcmpneq_ospd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1c vcmpneq_ospd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1d vcmpge_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1d vcmpge_oqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1e vcmpgt_oqpd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1e vcmpgt_oqpd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c9 c2 d4 1f vcmptrue_uspd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c9 c2 39 1f vcmptrue_uspd \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 00 vcmpeqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 00 vcmpeqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 01 vcmpltps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 01 vcmpltps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 02 vcmpleps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 02 vcmpleps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 03 vcmpunordps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 03 vcmpunordps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 04 vcmpneqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 04 vcmpneqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 05 vcmpnltps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 05 vcmpnltps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 06 vcmpnleps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 06 vcmpnleps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 07 vcmpordps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 07 vcmpordps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 08 vcmpeq_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 08 vcmpeq_uqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 09 vcmpngeps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 09 vcmpngeps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0a vcmpngtps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0a vcmpngtps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0b vcmpfalseps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0b vcmpfalseps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0c vcmpneq_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0c vcmpneq_oqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0d vcmpgeps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0d vcmpgeps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0e vcmpgtps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0e vcmpgtps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 0f vcmptrueps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 0f vcmptrueps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 10 vcmpeq_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 10 vcmpeq_osps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 11 vcmplt_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 11 vcmplt_oqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 12 vcmple_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 12 vcmple_oqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 13 vcmpunord_sps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 13 vcmpunord_sps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 14 vcmpneq_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 14 vcmpneq_usps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 15 vcmpnlt_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 15 vcmpnlt_uqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 16 vcmpnle_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 16 vcmpnle_uqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 17 vcmpord_sps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 17 vcmpord_sps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 18 vcmpeq_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 18 vcmpeq_usps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 19 vcmpnge_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 19 vcmpnge_uqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1a vcmpngt_uqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1a vcmpngt_uqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1b vcmpfalse_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1b vcmpfalse_osps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1c vcmpneq_osps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1c vcmpneq_osps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1d vcmpge_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1d vcmpge_oqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1e vcmpgt_oqps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1e vcmpgt_oqps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c5 c8 c2 d4 1f vcmptrue_usps %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps \(%rcx\),%xmm6,%xmm7 +[ ]*[a-f0-9]+: c5 c8 c2 39 1f vcmptrue_usps \(%rcx\),%xmm6,%xmm7 [ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e2 59 2c 31 vmaskmovps \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 59 2d 31 vmaskmovpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 61 f4 64 vpcmpestri \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 61 31 64 vpcmpestri \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 60 f4 64 vpcmpestrm \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 60 31 64 vpcmpestrm \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 63 f4 64 vpcmpistri \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 63 31 64 vpcmpistri \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 62 f4 64 vpcmpistrm \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 62 31 64 vpcmpistrm \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 05 f4 64 vpermilpd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 05 31 64 vpermilpd \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 04 f4 64 vpermilps \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 04 31 64 vpermilps \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c5 f9 70 f4 64 vpshufd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c5 f9 70 31 64 vpshufd \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c5 fa 70 f4 64 vpshufhw \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c5 fa 70 31 64 vpshufhw \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c5 fb 70 f4 64 vpshuflw \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c5 fb 70 31 64 vpshuflw \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 09 f4 64 vroundpd \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 09 31 64 vroundpd \$0x64,\(%rcx\),%xmm6 [ ]*[a-f0-9]+: c4 e3 79 08 f4 64 vroundps \$0x64,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e3 79 08 31 64 vroundps \$0x64,\(%rcx\),%xmm6 +[ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps %xmm4,%xmm6,\(%rcx\) [ ]*[a-f0-9]+: c4 e2 49 2e 21 vmaskmovps %xmm4,%xmm6,\(%rcx\) [ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd %xmm4,%xmm6,\(%rcx\) +[ ]*[a-f0-9]+: c4 e2 49 2f 21 vmaskmovpd %xmm4,%xmm6,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 49 0d d4 64 vblendpd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0d 11 64 vblendpd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0c d4 64 vblendps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0c 11 64 vblendps \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 d4 64 vcmppd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c9 c2 11 64 vcmppd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 d4 64 vcmpps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c8 c2 11 64 vcmpps \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 41 d4 64 vdppd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 41 11 64 vdppd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 40 d4 64 vdpps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 40 11 64 vdpps \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 42 d4 64 vmpsadbw \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 42 11 64 vmpsadbw \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0f d4 64 vpalignr \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0f 11 64 vpalignr \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0e d4 64 vpblendw \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0e 11 64 vpblendw \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c6 d4 64 vshufpd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c9 c6 11 64 vshufpd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c6 d4 64 vshufps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 c8 c6 11 64 vshufps \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 69 4b fe 40 vblendvpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4b 39 40 vblendvpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4a fe 40 vblendvps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4a 39 40 vblendvps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4c fe 40 vpblendvb %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 4c 39 40 vpblendvb %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 69 fc 20 vfmaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 69 39 20 vfmaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 69 39 20 vfmaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 68 fc 20 vfmaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 68 39 20 vfmaddps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 68 39 20 vfmaddps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5d fc 20 vfmaddsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5d 39 20 vfmaddsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5d 39 20 vfmaddsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5c fc 20 vfmaddsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5c 39 20 vfmaddsubps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5c 39 20 vfmaddsubps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5f fc 20 vfmsubaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5f 39 20 vfmsubaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5f 39 20 vfmsubaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5e fc 20 vfmsubaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 5e 39 20 vfmsubaddps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 5e 39 20 vfmsubaddps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6d fc 20 vfmsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6d 39 20 vfmsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6d 39 20 vfmsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6c fc 20 vfmsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6c 39 20 vfmsubps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6c 39 20 vfmsubps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 79 fc 20 vfnmaddpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 79 39 20 vfnmaddpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 79 39 20 vfnmaddpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 78 fc 20 vfnmaddps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 78 39 20 vfnmaddps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 78 39 20 vfnmaddps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7d fc 20 vfnmsubpd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7d 39 20 vfnmsubpd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7d 39 20 vfnmsubpd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7c fc 20 vfnmsubps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7c 39 20 vfnmsubps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7c 39 20 vfnmsubps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 62 vpermilmo2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 62 vpermilmo2pd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 42 vpermilmo2pd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 63 vpermilmz2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 63 vpermilmz2pd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 43 vpermilmz2pd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 60 vpermiltd2pd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 60 vpermiltd2pd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 40 vpermiltd2pd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 62 vpermilmo2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 62 vpermilmo2ps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 42 vpermilmo2ps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 63 vpermilmz2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 63 vpermilmz2ps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 43 vpermilmz2ps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 60 vpermiltd2ps %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 60 vpermiltd2ps \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 40 vpermiltd2ps %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 fc 6a vpermil2pd \$0xa,%xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd \$0xa,\(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd \$0xa,%xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 49 39 6a vpermil2pd \$0xa,\(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 49 39 4a vpermil2pd \$0xa,%xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 fc 6a vpermil2ps \$0xa,%xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps \$0xa,\(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps \$0xa,%xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 e9 48 39 6a vpermil2ps \$0xa,\(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 69 48 39 4a vpermil2ps \$0xa,%xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 19 21 vbroadcastsd \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 f9 2f f4 vcomisd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 2f 21 vcomisd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa e6 f4 vcvtdq2pd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa e6 21 vcvtdq2pd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 5a f4 vcvtps2pd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 5a 21 vcvtps2pd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 12 f4 vmovddup %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fb 12 21 vmovddup \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fb 12 21 vmovddup \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 20 f4 vpmovsxbw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 20 21 vpmovsxbw \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 23 f4 vpmovsxwd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 23 21 vpmovsxwd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 25 f4 vpmovsxdq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 25 21 vpmovsxdq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 30 f4 vpmovzxbw %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 30 21 vpmovzxbw \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 33 f4 vpmovzxwd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 33 21 vpmovzxwd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 35 f4 vpmovzxdq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 35 21 vpmovzxdq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 2e f4 vucomisd %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 2e 21 vucomisd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 10 21 vmovsd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fb 10 21 vmovsd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 13 21 vmovlpd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f8 13 21 vmovlps %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f8 13 21 vmovlps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 17 21 vmovhpd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f8 17 21 vmovhps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f8 17 21 vmovhps %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 fb 11 21 vmovsd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 fb 11 21 vmovsd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c4 e1 f9 7e e1 vmovq %xmm4,%rcx [ ]*[a-f0-9]+: c4 e1 f9 6e e1 vmovq %rcx,%xmm4 [ ]*[a-f0-9]+: c5 f9 d6 21 vmovq %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 fa 7e 21 vmovq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 d6 21 vmovq %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 fa 7e 21 vmovq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fb 2d cc vcvtsd2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si \(%rcx\),%ecx +[ ]*[a-f0-9]+: c5 fb 2d 09 vcvtsd2si \(%rcx\),%ecx [ ]*[a-f0-9]+: c5 fb 2c cc vcvttsd2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si \(%rcx\),%ecx +[ ]*[a-f0-9]+: c5 fb 2c 09 vcvttsd2si \(%rcx\),%ecx [ ]*[a-f0-9]+: c4 e1 fb 2d cc vcvtsd2si %xmm4,%rcx [ ]*[a-f0-9]+: c4 e1 fb 2d 09 vcvtsd2si \(%rcx\),%rcx +[ ]*[a-f0-9]+: c4 e1 fb 2d 09 vcvtsd2si \(%rcx\),%rcx [ ]*[a-f0-9]+: c4 e1 fb 2c cc vcvttsd2si %xmm4,%rcx [ ]*[a-f0-9]+: c4 e1 fb 2c 09 vcvttsd2si \(%rcx\),%rcx +[ ]*[a-f0-9]+: c4 e1 fb 2c 09 vcvttsd2si \(%rcx\),%rcx [ ]*[a-f0-9]+: c4 e1 db 2a f1 vcvtsi2sd %rcx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e1 db 2a 31 vcvtsi2sdq \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e1 db 2a 31 vcvtsi2sdq \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e1 da 2a f1 vcvtsi2ss %rcx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e1 da 2a 31 vcvtsi2ssq \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e1 da 2a 31 vcvtsi2ssq \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 d9 22 f1 64 vpinsrq \$0x64,%rcx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 d9 22 31 64 vpinsrq \$0x64,\(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 d9 22 31 64 vpinsrq \$0x64,\(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 f9 16 e1 64 vpextrq \$0x64,%xmm4,%rcx [ ]*[a-f0-9]+: c4 e3 f9 16 21 64 vpextrq \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 f9 16 21 64 vpextrq \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 12 31 vmovlpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d8 12 31 vmovlps \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d8 12 31 vmovlps \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 16 31 vmovhpd \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d8 16 31 vmovhps \(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d8 16 31 vmovhps \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 cb c2 d4 64 vcmpsd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 64 vcmpsd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0b d4 64 vroundsd \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0b 11 64 vroundsd \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 c9 6b fc 20 vfmaddsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6b 39 20 vfmaddsd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6b 39 20 vfmaddsd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6f fc 20 vfmsubsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6f 39 20 vfmsubsd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6f 39 20 vfmsubsd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7b fc 20 vfnmaddsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7b 39 20 vfnmaddsd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7b 39 20 vfnmaddsd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7f fc 20 vfnmsubsd %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7f 39 20 vfnmsubsd \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7f 39 20 vfnmsubsd %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c5 cb 58 d4 vaddsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 58 11 vaddsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 58 11 vaddsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5a d4 vcvtsd2ss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5a 11 vcvtsd2ss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5e d4 vdivsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5e 11 vdivsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5f d4 vmaxsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5f 11 vmaxsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5d d4 vminsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5d 11 vminsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5d 11 vminsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 59 d4 vmulsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 59 11 vmulsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 59 11 vmulsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 51 d4 vsqrtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 51 11 vsqrtsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5c d4 vsubsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb 5c 11 vsubsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 00 vcmpeqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 00 vcmpeqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 01 vcmpltsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 01 vcmpltsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 02 vcmplesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 02 vcmplesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 03 vcmpunordsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 03 vcmpunordsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 04 vcmpneqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 04 vcmpneqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 05 vcmpnltsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 05 vcmpnltsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 06 vcmpnlesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 06 vcmpnlesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 07 vcmpordsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 07 vcmpordsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 08 vcmpeq_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 08 vcmpeq_uqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 09 vcmpngesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 09 vcmpngesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0a vcmpngtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0a vcmpngtsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0b vcmpfalsesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0b vcmpfalsesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0c vcmpneq_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0c vcmpneq_oqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0d vcmpgesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0d vcmpgesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0e vcmpgtsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0e vcmpgtsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 0f vcmptruesd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 0f vcmptruesd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 10 vcmpeq_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 10 vcmpeq_ossd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 11 vcmplt_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 11 vcmplt_oqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 12 vcmple_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 12 vcmple_oqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 13 vcmpunord_ssd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 13 vcmpunord_ssd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 14 vcmpneq_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 14 vcmpneq_ussd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 15 vcmpnlt_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 15 vcmpnlt_uqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 16 vcmpnle_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 16 vcmpnle_uqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 17 vcmpord_ssd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 17 vcmpord_ssd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 18 vcmpeq_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 18 vcmpeq_ussd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 19 vcmpnge_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 19 vcmpnge_uqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1a vcmpngt_uqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1a vcmpngt_uqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1b vcmpfalse_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1b vcmpfalse_ossd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1c vcmpneq_ossd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1c vcmpneq_ossd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1d vcmpge_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1d vcmpge_oqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1e vcmpgt_oqsd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1e vcmpgt_oqsd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 d4 1f vcmptrue_ussd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 cb c2 11 1f vcmptrue_ussd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 58 d4 vaddss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 58 11 vaddss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 58 11 vaddss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5a d4 vcvtss2sd %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5a 11 vcvtss2sd \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5e d4 vdivss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5e 11 vdivss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5e 11 vdivss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5f d4 vmaxss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5f 11 vmaxss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5d d4 vminss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5d 11 vminss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5d 11 vminss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 59 d4 vmulss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 59 11 vmulss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 59 11 vmulss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 53 d4 vrcpss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 53 11 vrcpss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 53 11 vrcpss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 52 d4 vrsqrtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 52 11 vrsqrtss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 51 d4 vsqrtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 51 11 vsqrtss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5c d4 vsubss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca 5c 11 vsubss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca 5c 11 vsubss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 00 vcmpeqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 00 vcmpeqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 01 vcmpltss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 01 vcmpltss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 02 vcmpless %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 02 vcmpless \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 03 vcmpunordss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 03 vcmpunordss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 04 vcmpneqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 04 vcmpneqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 05 vcmpnltss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 05 vcmpnltss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 06 vcmpnless %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 06 vcmpnless \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 07 vcmpordss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 07 vcmpordss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 08 vcmpeq_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 08 vcmpeq_uqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 09 vcmpngess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 09 vcmpngess \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0a vcmpngtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0a vcmpngtss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0b vcmpfalsess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0b vcmpfalsess \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0c vcmpneq_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0c vcmpneq_oqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0d vcmpgess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0d vcmpgess \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0e vcmpgtss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0e vcmpgtss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 0f vcmptruess %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 0f vcmptruess \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 10 vcmpeq_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 10 vcmpeq_osss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 11 vcmplt_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 11 vcmplt_oqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 12 vcmple_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 12 vcmple_oqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 13 vcmpunord_sss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 13 vcmpunord_sss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 14 vcmpneq_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 14 vcmpneq_usss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 15 vcmpnlt_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 15 vcmpnlt_uqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 16 vcmpnle_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 16 vcmpnle_uqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 17 vcmpord_sss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 17 vcmpord_sss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 18 vcmpeq_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 18 vcmpeq_usss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 19 vcmpnge_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 19 vcmpnge_uqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1a vcmpngt_uqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1a vcmpngt_uqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1b vcmpfalse_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1b vcmpfalse_osss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1c vcmpneq_osss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1c vcmpneq_osss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1d vcmpge_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1d vcmpge_oqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1e vcmpgt_oqss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1e vcmpgt_oqss \(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 d4 1f vcmptrue_usss %xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 1f vcmptrue_usss \(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c4 e2 7d 18 21 vbroadcastss \(%rcx\),%ymm4 [ ]*[a-f0-9]+: c5 f8 2f f4 vcomiss %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 2f 21 vcomiss \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 21 f4 vpmovsxbd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 21 21 vpmovsxbd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 24 f4 vpmovsxwq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 24 21 vpmovsxwq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 31 f4 vpmovzxbd %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 31 21 vpmovzxbd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 34 f4 vpmovzxwq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 34 21 vpmovzxwq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f8 2e f4 vucomiss %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f8 2e 21 vucomiss \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 18 21 vbroadcastss \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 10 21 vmovss \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 10 21 vmovss \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 fa 11 21 vmovss %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 fa 11 21 vmovss %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 7e e1 vmovd %xmm4,%ecx [ ]*[a-f0-9]+: c5 f9 7e 21 vmovd %xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 6e e1 vmovd %ecx,%xmm4 [ ]*[a-f0-9]+: c5 f9 6e 21 vmovd \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c5 f9 7e 21 vmovd %xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c5 f9 6e 21 vmovd \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 fa 2d cc vcvtss2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si \(%rcx\),%ecx +[ ]*[a-f0-9]+: c5 fa 2d 09 vcvtss2si \(%rcx\),%ecx [ ]*[a-f0-9]+: c5 fa 2c cc vcvttss2si %xmm4,%ecx [ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si \(%rcx\),%ecx +[ ]*[a-f0-9]+: c5 fa 2c 09 vcvttss2si \(%rcx\),%ecx [ ]*[a-f0-9]+: c4 e1 fa 2d cc vcvtss2si %xmm4,%rcx [ ]*[a-f0-9]+: c4 e1 fa 2d 09 vcvtss2si \(%rcx\),%rcx +[ ]*[a-f0-9]+: c4 e1 fa 2d 09 vcvtss2si \(%rcx\),%rcx [ ]*[a-f0-9]+: c4 e1 fa 2c cc vcvttss2si %xmm4,%rcx [ ]*[a-f0-9]+: c4 e1 fa 2c 09 vcvttss2si \(%rcx\),%rcx +[ ]*[a-f0-9]+: c4 e1 fa 2c 09 vcvttss2si \(%rcx\),%rcx [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd %xmm4,%ecx [ ]*[a-f0-9]+: c5 f8 50 cc vmovmskps %xmm4,%ecx [ ]*[a-f0-9]+: c5 f9 d7 cc vpmovmskb %xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 79 16 e1 64 vpextrd \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 16 21 64 vpextrd \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 79 17 e1 64 vextractps \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 17 21 64 vextractps \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 db 2a f1 vcvtsi2sd %ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 db 2a 31 vcvtsi2sdl \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 da 2a f1 vcvtsi2ss %ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 da 2a 31 vcvtsi2ssl \(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 ca c2 d4 64 vcmpss \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c5 ca c2 11 64 vcmpss \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 21 d4 64 vinsertps \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 21 11 64 vinsertps \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0a d4 64 vroundss \$0x64,%xmm4,%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss \$0x64,\(%rcx\),%xmm6,%xmm2 +[ ]*[a-f0-9]+: c4 e3 49 0a 11 64 vroundss \$0x64,\(%rcx\),%xmm6,%xmm2 [ ]*[a-f0-9]+: c4 e3 c9 6a fc 20 vfmaddss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6a 39 20 vfmaddss \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6a 39 20 vfmaddss %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6e fc 20 vfmsubss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 6e 39 20 vfmsubss \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 6e 39 20 vfmsubss %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7a fc 20 vfnmaddss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7a 39 20 vfnmaddss \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7a 39 20 vfnmaddss %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7e fc 20 vfnmsubss %xmm4,%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss \(%rcx\),%xmm6,%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss %xmm4,\(%rcx\),%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 c9 7e 39 20 vfnmsubss \(%rcx\),%xmm6,%xmm2,%xmm7 +[ ]*[a-f0-9]+: c4 e3 59 7e 39 20 vfnmsubss %xmm4,\(%rcx\),%xmm2,%xmm7 [ ]*[a-f0-9]+: c4 e2 79 22 f4 vpmovsxbq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 22 21 vpmovsxbq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c4 e2 79 32 f4 vpmovzxbq %xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq \(%rcx\),%xmm4 +[ ]*[a-f0-9]+: c4 e2 79 32 21 vpmovzxbq \(%rcx\),%xmm4 [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 15 21 64 vpextrw \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 c4 f1 64 vpinsrw \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c5 d9 c4 31 64 vpinsrw \$0x64,\(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 c5 cc 64 vpextrw \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 14 e1 64 vpextrb \$0x64,%xmm4,%ecx [ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%rcx\) +[ ]*[a-f0-9]+: c4 e3 79 14 21 64 vpextrb \$0x64,%xmm4,\(%rcx\) [ ]*[a-f0-9]+: c4 e3 59 20 f1 64 vpinsrb \$0x64,%ecx,%xmm4,%xmm6 [ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%rcx\),%xmm4,%xmm6 +[ ]*[a-f0-9]+: c4 e3 59 20 31 64 vpinsrb \$0x64,\(%rcx\),%xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 f7 f4 vmaskmovdqu %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 fa 7e f4 vmovq %xmm4,%xmm6 [ ]*[a-f0-9]+: c5 f9 50 cc vmovmskpd %xmm4,%ecx @@ -2811,25 +3416,25 @@ Disassembly of section .text: [ ]*[a-f0-9]+: c4 43 7d 19 87 99 00 00 00 64 vextractf128 \$0x64,%ymm8,0x99\(%r15\) [ ]*[a-f0-9]+: c4 43 3d 06 bf 99 00 00 00 64 vperm2f128 \$0x64,0x99\(%r15\),%ymm8,%ymm15 [ ]*[a-f0-9]+: c4 43 1d 4b b7 99 00 00 00 80 vblendvpd %ymm8,0x99\(%r15\),%ymm12,%ymm14 -[ ]*[a-f0-9]+: c5 f8 ae 15 99 00 00 00 vldmxcsr 0x99\(%rip\) # 3bd9 <_start\+0x3bd9> -[ ]*[a-f0-9]+: c5 79 6f 05 99 00 00 00 vmovdqa 0x99\(%rip\),%xmm8 # 3be1 <_start\+0x3be1> -[ ]*[a-f0-9]+: c5 79 7f 05 99 00 00 00 vmovdqa %xmm8,0x99\(%rip\) # 3be9 <_start\+0x3be9> -[ ]*[a-f0-9]+: c5 79 7e 05 99 00 00 00 vmovd %xmm8,0x99\(%rip\) # 3bf1 <_start\+0x3bf1> -[ ]*[a-f0-9]+: c5 7b 2d 05 99 00 00 00 vcvtsd2si 0x99\(%rip\),%r8d # 3bf9 <_start\+0x3bf9> -[ ]*[a-f0-9]+: c5 7e e6 05 99 00 00 00 vcvtdq2pd 0x99\(%rip\),%ymm8 # 3c01 <_start\+0x3c01> -[ ]*[a-f0-9]+: c5 7d 5a 05 99 00 00 00 vcvtpd2psy 0x99\(%rip\),%xmm8 # 3c09 <_start\+0x3c09> -[ ]*[a-f0-9]+: c5 39 e0 3d 99 00 00 00 vpavgb 0x99\(%rip\),%xmm8,%xmm15 # 3c11 <_start\+0x3c11> -[ ]*[a-f0-9]+: c4 63 79 14 05 99 00 00 00 64 vpextrb \$0x64,%xmm8,0x99\(%rip\) # 3c1b <_start\+0x3c1b> -[ ]*[a-f0-9]+: c5 3b 2a 3d 99 00 00 00 vcvtsi2sdl 0x99\(%rip\),%xmm8,%xmm15 # 3c23 <_start\+0x3c23> -[ ]*[a-f0-9]+: c4 63 19 4a 35 99 00 00 00 80 vblendvps %xmm8,0x99\(%rip\),%xmm12,%xmm14 # 3c2d <_start\+0x3c2d> -[ ]*[a-f0-9]+: c4 63 39 20 3d 99 00 00 00 64 vpinsrb \$0x64,0x99\(%rip\),%xmm8,%xmm15 # 3c37 <_start\+0x3c37> -[ ]*[a-f0-9]+: c5 7d 6f 05 99 00 00 00 vmovdqa 0x99\(%rip\),%ymm8 # 3c3f <_start\+0x3c3f> -[ ]*[a-f0-9]+: c5 7d 7f 05 99 00 00 00 vmovdqa %ymm8,0x99\(%rip\) # 3c47 <_start\+0x3c47> -[ ]*[a-f0-9]+: c4 62 3d 0d 3d 99 00 00 00 vpermilpd 0x99\(%rip\),%ymm8,%ymm15 # 3c50 <_start\+0x3c50> -[ ]*[a-f0-9]+: c4 63 7d 09 05 99 00 00 00 64 vroundpd \$0x64,0x99\(%rip\),%ymm8 # 3c5a <_start\+0x3c5a> -[ ]*[a-f0-9]+: c4 63 7d 19 05 99 00 00 00 64 vextractf128 \$0x64,%ymm8,0x99\(%rip\) # 3c64 <_start\+0x3c64> -[ ]*[a-f0-9]+: c4 63 3d 06 3d 99 00 00 00 64 vperm2f128 \$0x64,0x99\(%rip\),%ymm8,%ymm15 # 3c6e <_start\+0x3c6e> -[ ]*[a-f0-9]+: c4 63 1d 4b 35 99 00 00 00 80 vblendvpd %ymm8,0x99\(%rip\),%ymm12,%ymm14 # 3c78 <_start\+0x3c78> +[ ]*[a-f0-9]+: c5 f8 ae 15 99 00 00 00 vldmxcsr 0x99\(%rip\) # 4752 <_start\+0x4752> +[ ]*[a-f0-9]+: c5 79 6f 05 99 00 00 00 vmovdqa 0x99\(%rip\),%xmm8 # 475a <_start\+0x475a> +[ ]*[a-f0-9]+: c5 79 7f 05 99 00 00 00 vmovdqa %xmm8,0x99\(%rip\) # 4762 <_start\+0x4762> +[ ]*[a-f0-9]+: c5 79 7e 05 99 00 00 00 vmovd %xmm8,0x99\(%rip\) # 476a <_start\+0x476a> +[ ]*[a-f0-9]+: c5 7b 2d 05 99 00 00 00 vcvtsd2si 0x99\(%rip\),%r8d # 4772 <_start\+0x4772> +[ ]*[a-f0-9]+: c5 7e e6 05 99 00 00 00 vcvtdq2pd 0x99\(%rip\),%ymm8 # 477a <_start\+0x477a> +[ ]*[a-f0-9]+: c5 7d 5a 05 99 00 00 00 vcvtpd2psy 0x99\(%rip\),%xmm8 # 4782 <_start\+0x4782> +[ ]*[a-f0-9]+: c5 39 e0 3d 99 00 00 00 vpavgb 0x99\(%rip\),%xmm8,%xmm15 # 478a <_start\+0x478a> +[ ]*[a-f0-9]+: c4 63 79 14 05 99 00 00 00 64 vpextrb \$0x64,%xmm8,0x99\(%rip\) # 4794 <_start\+0x4794> +[ ]*[a-f0-9]+: c5 3b 2a 3d 99 00 00 00 vcvtsi2sdl 0x99\(%rip\),%xmm8,%xmm15 # 479c <_start\+0x479c> +[ ]*[a-f0-9]+: c4 63 19 4a 35 99 00 00 00 80 vblendvps %xmm8,0x99\(%rip\),%xmm12,%xmm14 # 47a6 <_start\+0x47a6> +[ ]*[a-f0-9]+: c4 63 39 20 3d 99 00 00 00 64 vpinsrb \$0x64,0x99\(%rip\),%xmm8,%xmm15 # 47b0 <_start\+0x47b0> +[ ]*[a-f0-9]+: c5 7d 6f 05 99 00 00 00 vmovdqa 0x99\(%rip\),%ymm8 # 47b8 <_start\+0x47b8> +[ ]*[a-f0-9]+: c5 7d 7f 05 99 00 00 00 vmovdqa %ymm8,0x99\(%rip\) # 47c0 <_start\+0x47c0> +[ ]*[a-f0-9]+: c4 62 3d 0d 3d 99 00 00 00 vpermilpd 0x99\(%rip\),%ymm8,%ymm15 # 47c9 <_start\+0x47c9> +[ ]*[a-f0-9]+: c4 63 7d 09 05 99 00 00 00 64 vroundpd \$0x64,0x99\(%rip\),%ymm8 # 47d3 <_start\+0x47d3> +[ ]*[a-f0-9]+: c4 63 7d 19 05 99 00 00 00 64 vextractf128 \$0x64,%ymm8,0x99\(%rip\) # 47dd <_start\+0x47dd> +[ ]*[a-f0-9]+: c4 63 3d 06 3d 99 00 00 00 64 vperm2f128 \$0x64,0x99\(%rip\),%ymm8,%ymm15 # 47e7 <_start\+0x47e7> +[ ]*[a-f0-9]+: c4 63 1d 4b 35 99 00 00 00 80 vblendvpd %ymm8,0x99\(%rip\),%ymm12,%ymm14 # 47f1 <_start\+0x47f1> [ ]*[a-f0-9]+: c5 f8 ae 94 24 99 00 00 00 vldmxcsr 0x99\(%rsp\) [ ]*[a-f0-9]+: c5 79 6f 84 24 99 00 00 00 vmovdqa 0x99\(%rsp\),%xmm8 [ ]*[a-f0-9]+: c5 79 7f 84 24 99 00 00 00 vmovdqa %xmm8,0x99\(%rsp\) diff --git a/gas/testsuite/gas/i386/x86-64-avx.s b/gas/testsuite/gas/i386/x86-64-avx.s index 2008ef373a..044702a537 100644 --- a/gas/testsuite/gas/i386/x86-64-avx.s +++ b/gas/testsuite/gas/i386/x86-64-avx.s @@ -351,7 +351,6 @@ _start: vextractf128 $100,%ymm4,%xmm4 vextractf128 $100,%ymm4,(%rcx) -# Tests for op xmm/mem128, xmm # Tests for op mem128, ymm vbroadcastf128 (%rcx),%ymm4 @@ -1695,218 +1694,324 @@ _start: .intel_syntax noprefix # Tests for op mem64 vldmxcsr DWORD PTR [rcx] + vldmxcsr [rcx] vstmxcsr DWORD PTR [rcx] + vstmxcsr [rcx] # Tests for op mem256, mask, ymm # Tests for op ymm, mask, mem256 vmaskmovpd ymm6,ymm4,YMMWORD PTR [rcx] vmaskmovpd YMMWORD PTR [rcx],ymm6,ymm4 + vmaskmovpd ymm6,ymm4,[rcx] + vmaskmovpd [rcx],ymm6,ymm4 vmaskmovps ymm6,ymm4,YMMWORD PTR [rcx] vmaskmovps YMMWORD PTR [rcx],ymm6,ymm4 + vmaskmovps ymm6,ymm4,[rcx] + vmaskmovps [rcx],ymm6,ymm4 # Tests for op imm8, ymm/mem256, ymm vpermilpd ymm2,ymm6,100 vpermilpd ymm6,YMMWORD PTR [rcx],100 + vpermilpd ymm6,[rcx],100 vpermilps ymm2,ymm6,100 vpermilps ymm6,YMMWORD PTR [rcx],100 + vpermilps ymm6,[rcx],100 vroundpd ymm2,ymm6,100 vroundpd ymm6,YMMWORD PTR [rcx],100 + vroundpd ymm6,[rcx],100 vroundps ymm2,ymm6,100 vroundps ymm6,YMMWORD PTR [rcx],100 + vroundps ymm6,[rcx],100 # Tests for op ymm/mem256, ymm, ymm vaddpd ymm2,ymm6,ymm4 vaddpd ymm2,ymm6,YMMWORD PTR [rcx] + vaddpd ymm2,ymm6,[rcx] vaddps ymm2,ymm6,ymm4 vaddps ymm2,ymm6,YMMWORD PTR [rcx] + vaddps ymm2,ymm6,[rcx] vaddsubpd ymm2,ymm6,ymm4 vaddsubpd ymm2,ymm6,YMMWORD PTR [rcx] + vaddsubpd ymm2,ymm6,[rcx] vaddsubps ymm2,ymm6,ymm4 vaddsubps ymm2,ymm6,YMMWORD PTR [rcx] + vaddsubps ymm2,ymm6,[rcx] vandnpd ymm2,ymm6,ymm4 vandnpd ymm2,ymm6,YMMWORD PTR [rcx] + vandnpd ymm2,ymm6,[rcx] vandnps ymm2,ymm6,ymm4 vandnps ymm2,ymm6,YMMWORD PTR [rcx] + vandnps ymm2,ymm6,[rcx] vandpd ymm2,ymm6,ymm4 vandpd ymm2,ymm6,YMMWORD PTR [rcx] + vandpd ymm2,ymm6,[rcx] vandps ymm2,ymm6,ymm4 vandps ymm2,ymm6,YMMWORD PTR [rcx] + vandps ymm2,ymm6,[rcx] vdivpd ymm2,ymm6,ymm4 vdivpd ymm2,ymm6,YMMWORD PTR [rcx] + vdivpd ymm2,ymm6,[rcx] vdivps ymm2,ymm6,ymm4 vdivps ymm2,ymm6,YMMWORD PTR [rcx] + vdivps ymm2,ymm6,[rcx] vhaddpd ymm2,ymm6,ymm4 vhaddpd ymm2,ymm6,YMMWORD PTR [rcx] + vhaddpd ymm2,ymm6,[rcx] vhaddps ymm2,ymm6,ymm4 vhaddps ymm2,ymm6,YMMWORD PTR [rcx] + vhaddps ymm2,ymm6,[rcx] vhsubpd ymm2,ymm6,ymm4 vhsubpd ymm2,ymm6,YMMWORD PTR [rcx] + vhsubpd ymm2,ymm6,[rcx] vhsubps ymm2,ymm6,ymm4 vhsubps ymm2,ymm6,YMMWORD PTR [rcx] + vhsubps ymm2,ymm6,[rcx] vmaxpd ymm2,ymm6,ymm4 vmaxpd ymm2,ymm6,YMMWORD PTR [rcx] + vmaxpd ymm2,ymm6,[rcx] vmaxps ymm2,ymm6,ymm4 vmaxps ymm2,ymm6,YMMWORD PTR [rcx] + vmaxps ymm2,ymm6,[rcx] vminpd ymm2,ymm6,ymm4 vminpd ymm2,ymm6,YMMWORD PTR [rcx] + vminpd ymm2,ymm6,[rcx] vminps ymm2,ymm6,ymm4 vminps ymm2,ymm6,YMMWORD PTR [rcx] + vminps ymm2,ymm6,[rcx] vmulpd ymm2,ymm6,ymm4 vmulpd ymm2,ymm6,YMMWORD PTR [rcx] + vmulpd ymm2,ymm6,[rcx] vmulps ymm2,ymm6,ymm4 vmulps ymm2,ymm6,YMMWORD PTR [rcx] + vmulps ymm2,ymm6,[rcx] vorpd ymm2,ymm6,ymm4 vorpd ymm2,ymm6,YMMWORD PTR [rcx] + vorpd ymm2,ymm6,[rcx] vorps ymm2,ymm6,ymm4 vorps ymm2,ymm6,YMMWORD PTR [rcx] + vorps ymm2,ymm6,[rcx] vpermilpd ymm2,ymm6,ymm4 vpermilpd ymm2,ymm6,YMMWORD PTR [rcx] + vpermilpd ymm2,ymm6,[rcx] vpermilps ymm2,ymm6,ymm4 vpermilps ymm2,ymm6,YMMWORD PTR [rcx] + vpermilps ymm2,ymm6,[rcx] vsubpd ymm2,ymm6,ymm4 vsubpd ymm2,ymm6,YMMWORD PTR [rcx] + vsubpd ymm2,ymm6,[rcx] vsubps ymm2,ymm6,ymm4 vsubps ymm2,ymm6,YMMWORD PTR [rcx] + vsubps ymm2,ymm6,[rcx] vunpckhpd ymm2,ymm6,ymm4 vunpckhpd ymm2,ymm6,YMMWORD PTR [rcx] + vunpckhpd ymm2,ymm6,[rcx] vunpckhps ymm2,ymm6,ymm4 vunpckhps ymm2,ymm6,YMMWORD PTR [rcx] + vunpckhps ymm2,ymm6,[rcx] vunpcklpd ymm2,ymm6,ymm4 vunpcklpd ymm2,ymm6,YMMWORD PTR [rcx] + vunpcklpd ymm2,ymm6,[rcx] vunpcklps ymm2,ymm6,ymm4 vunpcklps ymm2,ymm6,YMMWORD PTR [rcx] + vunpcklps ymm2,ymm6,[rcx] vxorpd ymm2,ymm6,ymm4 vxorpd ymm2,ymm6,YMMWORD PTR [rcx] + vxorpd ymm2,ymm6,[rcx] vxorps ymm2,ymm6,ymm4 vxorps ymm2,ymm6,YMMWORD PTR [rcx] + vxorps ymm2,ymm6,[rcx] vcmpeqpd ymm2,ymm6,ymm4 vcmpeqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeqpd ymm2,ymm6,[rcx] vcmpltpd ymm2,ymm6,ymm4 vcmpltpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpltpd ymm2,ymm6,[rcx] vcmplepd ymm2,ymm6,ymm4 vcmplepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmplepd ymm2,ymm6,[rcx] vcmpunordpd ymm2,ymm6,ymm4 vcmpunordpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpunordpd ymm2,ymm6,[rcx] vcmpneqpd ymm2,ymm6,ymm4 vcmpneqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneqpd ymm2,ymm6,[rcx] vcmpnltpd ymm2,ymm6,ymm4 vcmpnltpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnltpd ymm2,ymm6,[rcx] vcmpnlepd ymm2,ymm6,ymm4 vcmpnlepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnlepd ymm2,ymm6,[rcx] vcmpordpd ymm2,ymm6,ymm4 vcmpordpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpordpd ymm2,ymm6,[rcx] vcmpeq_uqpd ymm2,ymm6,ymm4 vcmpeq_uqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_uqpd ymm2,ymm6,[rcx] vcmpngepd ymm2,ymm6,ymm4 vcmpngepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngepd ymm2,ymm6,[rcx] vcmpngtpd ymm2,ymm6,ymm4 vcmpngtpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngtpd ymm2,ymm6,[rcx] vcmpfalsepd ymm2,ymm6,ymm4 vcmpfalsepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpfalsepd ymm2,ymm6,[rcx] vcmpneq_oqpd ymm2,ymm6,ymm4 vcmpneq_oqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_oqpd ymm2,ymm6,[rcx] vcmpgepd ymm2,ymm6,ymm4 vcmpgepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgepd ymm2,ymm6,[rcx] vcmpgtpd ymm2,ymm6,ymm4 vcmpgtpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgtpd ymm2,ymm6,[rcx] vcmptruepd ymm2,ymm6,ymm4 vcmptruepd ymm2,ymm6,YMMWORD PTR [rcx] + vcmptruepd ymm2,ymm6,[rcx] vcmpeq_ospd ymm2,ymm6,ymm4 vcmpeq_ospd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_ospd ymm2,ymm6,[rcx] vcmplt_oqpd ymm2,ymm6,ymm4 vcmplt_oqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmplt_oqpd ymm2,ymm6,[rcx] vcmple_oqpd ymm2,ymm6,ymm4 vcmple_oqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmple_oqpd ymm2,ymm6,[rcx] vcmpunord_spd ymm2,ymm6,ymm4 vcmpunord_spd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpunord_spd ymm2,ymm6,[rcx] vcmpneq_uspd ymm2,ymm6,ymm4 vcmpneq_uspd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_uspd ymm2,ymm6,[rcx] vcmpnlt_uqpd ymm2,ymm6,ymm4 vcmpnlt_uqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnlt_uqpd ymm2,ymm6,[rcx] vcmpnle_uqpd ymm2,ymm6,ymm4 vcmpnle_uqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnle_uqpd ymm2,ymm6,[rcx] vcmpord_spd ymm2,ymm6,ymm4 vcmpord_spd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpord_spd ymm2,ymm6,[rcx] vcmpeq_uspd ymm2,ymm6,ymm4 vcmpeq_uspd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_uspd ymm2,ymm6,[rcx] vcmpnge_uqpd ymm2,ymm6,ymm4 vcmpnge_uqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnge_uqpd ymm2,ymm6,[rcx] vcmpngt_uqpd ymm2,ymm6,ymm4 vcmpngt_uqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngt_uqpd ymm2,ymm6,[rcx] vcmpfalse_ospd ymm2,ymm6,ymm4 vcmpfalse_ospd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpfalse_ospd ymm2,ymm6,[rcx] vcmpneq_ospd ymm2,ymm6,ymm4 vcmpneq_ospd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_ospd ymm2,ymm6,[rcx] vcmpge_oqpd ymm2,ymm6,ymm4 vcmpge_oqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpge_oqpd ymm2,ymm6,[rcx] vcmpgt_oqpd ymm2,ymm6,ymm4 vcmpgt_oqpd ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgt_oqpd ymm2,ymm6,[rcx] vcmptrue_uspd ymm2,ymm6,ymm4 vcmptrue_uspd ymm2,ymm6,YMMWORD PTR [rcx] + vcmptrue_uspd ymm2,ymm6,[rcx] vcmpeqps ymm2,ymm6,ymm4 vcmpeqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeqps ymm2,ymm6,[rcx] vcmpltps ymm2,ymm6,ymm4 vcmpltps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpltps ymm2,ymm6,[rcx] vcmpleps ymm2,ymm6,ymm4 vcmpleps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpleps ymm2,ymm6,[rcx] vcmpunordps ymm2,ymm6,ymm4 vcmpunordps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpunordps ymm2,ymm6,[rcx] vcmpneqps ymm2,ymm6,ymm4 vcmpneqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneqps ymm2,ymm6,[rcx] vcmpnltps ymm2,ymm6,ymm4 vcmpnltps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnltps ymm2,ymm6,[rcx] vcmpnleps ymm2,ymm6,ymm4 vcmpnleps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnleps ymm2,ymm6,[rcx] vcmpordps ymm2,ymm6,ymm4 vcmpordps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpordps ymm2,ymm6,[rcx] vcmpeq_uqps ymm2,ymm6,ymm4 vcmpeq_uqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_uqps ymm2,ymm6,[rcx] vcmpngeps ymm2,ymm6,ymm4 vcmpngeps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngeps ymm2,ymm6,[rcx] vcmpngtps ymm2,ymm6,ymm4 vcmpngtps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngtps ymm2,ymm6,[rcx] vcmpfalseps ymm2,ymm6,ymm4 vcmpfalseps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpfalseps ymm2,ymm6,[rcx] vcmpneq_oqps ymm2,ymm6,ymm4 vcmpneq_oqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_oqps ymm2,ymm6,[rcx] vcmpgeps ymm2,ymm6,ymm4 vcmpgeps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgeps ymm2,ymm6,[rcx] vcmpgtps ymm2,ymm6,ymm4 vcmpgtps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgtps ymm2,ymm6,[rcx] vcmptrueps ymm2,ymm6,ymm4 vcmptrueps ymm2,ymm6,YMMWORD PTR [rcx] + vcmptrueps ymm2,ymm6,[rcx] vcmpeq_osps ymm2,ymm6,ymm4 vcmpeq_osps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_osps ymm2,ymm6,[rcx] vcmplt_oqps ymm2,ymm6,ymm4 vcmplt_oqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmplt_oqps ymm2,ymm6,[rcx] vcmple_oqps ymm2,ymm6,ymm4 vcmple_oqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmple_oqps ymm2,ymm6,[rcx] vcmpunord_sps ymm2,ymm6,ymm4 vcmpunord_sps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpunord_sps ymm2,ymm6,[rcx] vcmpneq_usps ymm2,ymm6,ymm4 vcmpneq_usps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_usps ymm2,ymm6,[rcx] vcmpnlt_uqps ymm2,ymm6,ymm4 vcmpnlt_uqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnlt_uqps ymm2,ymm6,[rcx] vcmpnle_uqps ymm2,ymm6,ymm4 vcmpnle_uqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnle_uqps ymm2,ymm6,[rcx] vcmpord_sps ymm2,ymm6,ymm4 vcmpord_sps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpord_sps ymm2,ymm6,[rcx] vcmpeq_usps ymm2,ymm6,ymm4 vcmpeq_usps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpeq_usps ymm2,ymm6,[rcx] vcmpnge_uqps ymm2,ymm6,ymm4 vcmpnge_uqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpnge_uqps ymm2,ymm6,[rcx] vcmpngt_uqps ymm2,ymm6,ymm4 vcmpngt_uqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpngt_uqps ymm2,ymm6,[rcx] vcmpfalse_osps ymm2,ymm6,ymm4 vcmpfalse_osps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpfalse_osps ymm2,ymm6,[rcx] vcmpneq_osps ymm2,ymm6,ymm4 vcmpneq_osps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpneq_osps ymm2,ymm6,[rcx] vcmpge_oqps ymm2,ymm6,ymm4 vcmpge_oqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpge_oqps ymm2,ymm6,[rcx] vcmpgt_oqps ymm2,ymm6,ymm4 vcmpgt_oqps ymm2,ymm6,YMMWORD PTR [rcx] + vcmpgt_oqps ymm2,ymm6,[rcx] vcmptrue_usps ymm2,ymm6,ymm4 vcmptrue_usps ymm2,ymm6,YMMWORD PTR [rcx] + vcmptrue_usps ymm2,ymm6,[rcx] # Tests for op ymm/mem256, xmm vcvtpd2dq xmm4,ymm4 @@ -1919,733 +2024,1085 @@ _start: # Tests for op ymm/mem256, ymm vcvtdq2ps ymm4,ymm4 vcvtdq2ps ymm4,YMMWORD PTR [rcx] + vcvtdq2ps ymm4,[rcx] vcvtps2dq ymm4,ymm4 vcvtps2dq ymm4,YMMWORD PTR [rcx] + vcvtps2dq ymm4,[rcx] vcvttps2dq ymm4,ymm4 vcvttps2dq ymm4,YMMWORD PTR [rcx] + vcvttps2dq ymm4,[rcx] vmovapd ymm4,ymm4 vmovapd ymm4,YMMWORD PTR [rcx] + vmovapd ymm4,[rcx] vmovaps ymm4,ymm4 vmovaps ymm4,YMMWORD PTR [rcx] + vmovaps ymm4,[rcx] vmovdqa ymm4,ymm4 vmovdqa ymm4,YMMWORD PTR [rcx] + vmovdqa ymm4,[rcx] vmovdqu ymm4,ymm4 vmovdqu ymm4,YMMWORD PTR [rcx] + vmovdqu ymm4,[rcx] vmovddup ymm4,ymm4 vmovddup ymm4,YMMWORD PTR [rcx] + vmovddup ymm4,[rcx] vmovshdup ymm4,ymm4 vmovshdup ymm4,YMMWORD PTR [rcx] + vmovshdup ymm4,[rcx] vmovsldup ymm4,ymm4 vmovsldup ymm4,YMMWORD PTR [rcx] + vmovsldup ymm4,[rcx] vmovupd ymm4,ymm4 vmovupd ymm4,YMMWORD PTR [rcx] + vmovupd ymm4,[rcx] vmovups ymm4,ymm4 vmovups ymm4,YMMWORD PTR [rcx] + vmovups ymm4,[rcx] vptest ymm4,ymm4 vptest ymm4,YMMWORD PTR [rcx] + vptest ymm4,[rcx] vrcpps ymm4,ymm4 vrcpps ymm4,YMMWORD PTR [rcx] + vrcpps ymm4,[rcx] vrsqrtps ymm4,ymm4 vrsqrtps ymm4,YMMWORD PTR [rcx] + vrsqrtps ymm4,[rcx] vsqrtpd ymm4,ymm4 vsqrtpd ymm4,YMMWORD PTR [rcx] + vsqrtpd ymm4,[rcx] vsqrtps ymm4,ymm4 vsqrtps ymm4,YMMWORD PTR [rcx] + vsqrtps ymm4,[rcx] vtestpd ymm4,ymm4 vtestpd ymm4,YMMWORD PTR [rcx] + vtestpd ymm4,[rcx] vtestps ymm4,ymm4 vtestps ymm4,YMMWORD PTR [rcx] + vtestps ymm4,[rcx] # Tests for op mem256, ymm vlddqu ymm4,YMMWORD PTR [rcx] + vlddqu ymm4,[rcx] # Tests for op imm8, ymm/mem256, ymm, ymm vblendpd ymm2,ymm6,ymm4,100 vblendpd ymm2,ymm6,YMMWORD PTR [rcx],100 + vblendpd ymm2,ymm6,[rcx],100 vblendps ymm2,ymm6,ymm4,100 vblendps ymm2,ymm6,YMMWORD PTR [rcx],100 + vblendps ymm2,ymm6,[rcx],100 vcmppd ymm2,ymm6,ymm4,100 vcmppd ymm2,ymm6,YMMWORD PTR [rcx],100 + vcmppd ymm2,ymm6,[rcx],100 vcmpps ymm2,ymm6,ymm4,100 vcmpps ymm2,ymm6,YMMWORD PTR [rcx],100 + vcmpps ymm2,ymm6,[rcx],100 vdpps ymm2,ymm6,ymm4,100 vdpps ymm2,ymm6,YMMWORD PTR [rcx],100 + vdpps ymm2,ymm6,[rcx],100 vperm2f128 ymm2,ymm6,ymm4,100 vperm2f128 ymm2,ymm6,YMMWORD PTR [rcx],100 + vperm2f128 ymm2,ymm6,[rcx],100 vshufpd ymm2,ymm6,ymm4,100 vshufpd ymm2,ymm6,YMMWORD PTR [rcx],100 + vshufpd ymm2,ymm6,[rcx],100 vshufps ymm2,ymm6,ymm4,100 vshufps ymm2,ymm6,YMMWORD PTR [rcx],100 + vshufps ymm2,ymm6,[rcx],100 # Tests for op ymm, ymm/mem256, ymm, ymm vblendvpd ymm7,ymm2,ymm6,ymm4 vblendvpd ymm7,ymm2,YMMWORD PTR [rcx],ymm4 + vblendvpd ymm7,ymm2,[rcx],ymm4 vblendvps ymm7,ymm2,ymm6,ymm4 vblendvps ymm7,ymm2,YMMWORD PTR [rcx],ymm4 + vblendvps ymm7,ymm2,[rcx],ymm4 # Tests for op ymm/mem256, ymm, ymm, ymm # Tests for op ymm, ymm/mem256, ymm, ymm vfmaddpd ymm7,ymm2,ymm6,ymm4 vfmaddpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmaddpd ymm7,ymm2,ymm6,[rcx] vfmaddps ymm7,ymm2,ymm6,ymm4 vfmaddps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmaddps ymm7,ymm2,ymm6,[rcx] vfmaddsubpd ymm7,ymm2,ymm6,ymm4 vfmaddsubpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmaddsubpd ymm7,ymm2,ymm6,[rcx] vfmaddsubps ymm7,ymm2,ymm6,ymm4 vfmaddsubps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmaddsubps ymm7,ymm2,ymm6,[rcx] vfmsubaddpd ymm7,ymm2,ymm6,ymm4 vfmsubaddpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmsubaddpd ymm7,ymm2,ymm6,[rcx] vfmsubaddps ymm7,ymm2,ymm6,ymm4 vfmsubaddps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmsubaddps ymm7,ymm2,ymm6,[rcx] vfmsubpd ymm7,ymm2,ymm6,ymm4 vfmsubpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmsubpd ymm7,ymm2,ymm6,[rcx] vfmsubps ymm7,ymm2,ymm6,ymm4 vfmsubps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfmsubps ymm7,ymm2,ymm6,[rcx] vfnmaddpd ymm7,ymm2,ymm6,ymm4 vfnmaddpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfnmaddpd ymm7,ymm2,ymm6,[rcx] vfnmaddps ymm7,ymm2,ymm6,ymm4 vfnmaddps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfnmaddps ymm7,ymm2,ymm6,[rcx] vfnmsubpd ymm7,ymm2,ymm6,ymm4 vfnmsubpd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfnmsubpd ymm7,ymm2,ymm6,[rcx] vfnmsubps ymm7,ymm2,ymm6,ymm4 vfnmsubps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vfnmsubps ymm7,ymm2,ymm6,[rcx] vpermilmo2pd ymm7,ymm2,ymm6,ymm4 vpermilmo2pd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermilmo2pd ymm7,ymm2,ymm6,[rcx] vpermilmz2pd ymm7,ymm2,ymm6,ymm4 vpermilmz2pd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermilmz2pd ymm7,ymm2,ymm6,[rcx] vpermiltd2pd ymm7,ymm2,ymm6,ymm4 vpermiltd2pd ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermiltd2pd ymm7,ymm2,ymm6,[rcx] vpermilmo2ps ymm7,ymm2,ymm6,ymm4 vpermilmo2ps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermilmo2ps ymm7,ymm2,ymm6,[rcx] vpermilmz2ps ymm7,ymm2,ymm6,ymm4 vpermilmz2ps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermilmz2ps ymm7,ymm2,ymm6,[rcx] vpermiltd2ps ymm7,ymm2,ymm6,ymm4 vpermiltd2ps ymm7,ymm2,ymm6,YMMWORD PTR [rcx] + vpermiltd2ps ymm7,ymm2,ymm6,[rcx] # Tests for op imm4, ymm/mem256, ymm, ymm, ymm # Tests for op imm4, ymm, ymm/mem256, ymm, ymm vpermil2pd ymm7,ymm2,ymm6,ymm4,10 vpermil2pd ymm7,ymm2,ymm6,YMMWORD PTR [rcx],10 + vpermil2pd ymm7,ymm2,ymm6,[rcx],10 vpermil2ps ymm7,ymm2,ymm6,ymm4,10 vpermil2ps ymm7,ymm2,ymm6,YMMWORD PTR [rcx],10 + vpermil2ps ymm7,ymm2,ymm6,[rcx],10 # Tests for op imm8, xmm/mem128, ymm, ymm vinsertf128 ymm6,ymm4,xmm4,100 vinsertf128 ymm6,ymm4,XMMWORD PTR [rcx],100 + vinsertf128 ymm6,ymm4,[rcx],100 # Tests for op imm8, ymm, xmm/mem128 vextractf128 xmm4,ymm4,100 vextractf128 XMMWORD PTR [rcx],ymm4,100 + vextractf128 [rcx],ymm4,100 -# Tests for op xmm/mem128, xmm # Tests for op mem128, ymm vbroadcastf128 ymm4,XMMWORD PTR [rcx] + vbroadcastf128 ymm4,[rcx] # Tests for op xmm/mem128, xmm vcvtdq2ps xmm6,xmm4 vcvtdq2ps xmm4,XMMWORD PTR [rcx] + vcvtdq2ps xmm4,[rcx] vcvtpd2dq xmm6,xmm4 vcvtpd2dq xmm4,XMMWORD PTR [rcx] vcvtpd2ps xmm6,xmm4 vcvtpd2ps xmm4,XMMWORD PTR [rcx] vcvtps2dq xmm6,xmm4 vcvtps2dq xmm4,XMMWORD PTR [rcx] + vcvtps2dq xmm4,[rcx] vcvttpd2dq xmm6,xmm4 vcvttpd2dq xmm4,XMMWORD PTR [rcx] vcvttps2dq xmm6,xmm4 vcvttps2dq xmm4,XMMWORD PTR [rcx] + vcvttps2dq xmm4,[rcx] vmovapd xmm6,xmm4 vmovapd xmm4,XMMWORD PTR [rcx] + vmovapd xmm4,[rcx] vmovaps xmm6,xmm4 vmovaps xmm4,XMMWORD PTR [rcx] + vmovaps xmm4,[rcx] vmovdqa xmm6,xmm4 vmovdqa xmm4,XMMWORD PTR [rcx] + vmovdqa xmm4,[rcx] vmovdqu xmm6,xmm4 vmovdqu xmm4,XMMWORD PTR [rcx] + vmovdqu xmm4,[rcx] vmovshdup xmm6,xmm4 vmovshdup xmm4,XMMWORD PTR [rcx] + vmovshdup xmm4,[rcx] vmovsldup xmm6,xmm4 vmovsldup xmm4,XMMWORD PTR [rcx] + vmovsldup xmm4,[rcx] vmovupd xmm6,xmm4 vmovupd xmm4,XMMWORD PTR [rcx] + vmovupd xmm4,[rcx] vmovups xmm6,xmm4 vmovups xmm4,XMMWORD PTR [rcx] + vmovups xmm4,[rcx] vpabsb xmm6,xmm4 vpabsb xmm4,XMMWORD PTR [rcx] + vpabsb xmm4,[rcx] vpabsw xmm6,xmm4 vpabsw xmm4,XMMWORD PTR [rcx] + vpabsw xmm4,[rcx] vpabsd xmm6,xmm4 vpabsd xmm4,XMMWORD PTR [rcx] + vpabsd xmm4,[rcx] vphminposuw xmm6,xmm4 vphminposuw xmm4,XMMWORD PTR [rcx] + vphminposuw xmm4,[rcx] vptest xmm6,xmm4 vptest xmm4,XMMWORD PTR [rcx] + vptest xmm4,[rcx] vtestps xmm6,xmm4 vtestps xmm4,XMMWORD PTR [rcx] + vtestps xmm4,[rcx] vtestpd xmm6,xmm4 vtestpd xmm4,XMMWORD PTR [rcx] + vtestpd xmm4,[rcx] vrcpps xmm6,xmm4 vrcpps xmm4,XMMWORD PTR [rcx] + vrcpps xmm4,[rcx] vrsqrtps xmm6,xmm4 vrsqrtps xmm4,XMMWORD PTR [rcx] + vrsqrtps xmm4,[rcx] vsqrtpd xmm6,xmm4 vsqrtpd xmm4,XMMWORD PTR [rcx] + vsqrtpd xmm4,[rcx] vsqrtps xmm6,xmm4 vsqrtps xmm4,XMMWORD PTR [rcx] + vsqrtps xmm4,[rcx] # Tests for op xmm, xmm/mem128 vmovapd xmm6,xmm4 vmovapd XMMWORD PTR [rcx],xmm4 + vmovapd [rcx],xmm4 vmovaps xmm6,xmm4 vmovaps XMMWORD PTR [rcx],xmm4 + vmovaps [rcx],xmm4 vmovdqa xmm6,xmm4 vmovdqa XMMWORD PTR [rcx],xmm4 + vmovdqa [rcx],xmm4 vmovdqu xmm6,xmm4 vmovdqu XMMWORD PTR [rcx],xmm4 + vmovdqu [rcx],xmm4 vmovupd xmm6,xmm4 vmovupd XMMWORD PTR [rcx],xmm4 + vmovupd [rcx],xmm4 vmovups xmm6,xmm4 vmovups XMMWORD PTR [rcx],xmm4 + vmovups [rcx],xmm4 # Tests for op mem128, xmm vlddqu xmm4,XMMWORD PTR [rcx] + vlddqu xmm4,[rcx] vmovntdqa xmm4,XMMWORD PTR [rcx] + vmovntdqa xmm4,[rcx] # Tests for op xmm, mem128 vmovntdq XMMWORD PTR [rcx],xmm4 + vmovntdq [rcx],xmm4 vmovntpd XMMWORD PTR [rcx],xmm4 + vmovntpd [rcx],xmm4 vmovntps XMMWORD PTR [rcx],xmm4 + vmovntps [rcx],xmm4 # Tests for op xmm/mem128, ymm vcvtdq2pd ymm4,xmm4 vcvtdq2pd ymm4,XMMWORD PTR [rcx] + vcvtdq2pd ymm4,[rcx] vcvtps2pd ymm4,xmm4 vcvtps2pd ymm4,XMMWORD PTR [rcx] + vcvtps2pd ymm4,[rcx] # Tests for op xmm/mem128, xmm, xmm vaddpd xmm2,xmm6,xmm4 vaddpd xmm7,xmm6,XMMWORD PTR [rcx] + vaddpd xmm7,xmm6,[rcx] vaddps xmm2,xmm6,xmm4 vaddps xmm7,xmm6,XMMWORD PTR [rcx] + vaddps xmm7,xmm6,[rcx] vaddsubpd xmm2,xmm6,xmm4 vaddsubpd xmm7,xmm6,XMMWORD PTR [rcx] + vaddsubpd xmm7,xmm6,[rcx] vaddsubps xmm2,xmm6,xmm4 vaddsubps xmm7,xmm6,XMMWORD PTR [rcx] + vaddsubps xmm7,xmm6,[rcx] vandnpd xmm2,xmm6,xmm4 vandnpd xmm7,xmm6,XMMWORD PTR [rcx] + vandnpd xmm7,xmm6,[rcx] vandnps xmm2,xmm6,xmm4 vandnps xmm7,xmm6,XMMWORD PTR [rcx] + vandnps xmm7,xmm6,[rcx] vandpd xmm2,xmm6,xmm4 vandpd xmm7,xmm6,XMMWORD PTR [rcx] + vandpd xmm7,xmm6,[rcx] vandps xmm2,xmm6,xmm4 vandps xmm7,xmm6,XMMWORD PTR [rcx] + vandps xmm7,xmm6,[rcx] vdivpd xmm2,xmm6,xmm4 vdivpd xmm7,xmm6,XMMWORD PTR [rcx] + vdivpd xmm7,xmm6,[rcx] vdivps xmm2,xmm6,xmm4 vdivps xmm7,xmm6,XMMWORD PTR [rcx] + vdivps xmm7,xmm6,[rcx] vhaddpd xmm2,xmm6,xmm4 vhaddpd xmm7,xmm6,XMMWORD PTR [rcx] + vhaddpd xmm7,xmm6,[rcx] vhaddps xmm2,xmm6,xmm4 vhaddps xmm7,xmm6,XMMWORD PTR [rcx] + vhaddps xmm7,xmm6,[rcx] vhsubpd xmm2,xmm6,xmm4 vhsubpd xmm7,xmm6,XMMWORD PTR [rcx] + vhsubpd xmm7,xmm6,[rcx] vhsubps xmm2,xmm6,xmm4 vhsubps xmm7,xmm6,XMMWORD PTR [rcx] + vhsubps xmm7,xmm6,[rcx] vmaxpd xmm2,xmm6,xmm4 vmaxpd xmm7,xmm6,XMMWORD PTR [rcx] + vmaxpd xmm7,xmm6,[rcx] vmaxps xmm2,xmm6,xmm4 vmaxps xmm7,xmm6,XMMWORD PTR [rcx] + vmaxps xmm7,xmm6,[rcx] vminpd xmm2,xmm6,xmm4 vminpd xmm7,xmm6,XMMWORD PTR [rcx] + vminpd xmm7,xmm6,[rcx] vminps xmm2,xmm6,xmm4 vminps xmm7,xmm6,XMMWORD PTR [rcx] + vminps xmm7,xmm6,[rcx] vmulpd xmm2,xmm6,xmm4 vmulpd xmm7,xmm6,XMMWORD PTR [rcx] + vmulpd xmm7,xmm6,[rcx] vmulps xmm2,xmm6,xmm4 vmulps xmm7,xmm6,XMMWORD PTR [rcx] + vmulps xmm7,xmm6,[rcx] vorpd xmm2,xmm6,xmm4 vorpd xmm7,xmm6,XMMWORD PTR [rcx] + vorpd xmm7,xmm6,[rcx] vorps xmm2,xmm6,xmm4 vorps xmm7,xmm6,XMMWORD PTR [rcx] + vorps xmm7,xmm6,[rcx] vpacksswb xmm2,xmm6,xmm4 vpacksswb xmm7,xmm6,XMMWORD PTR [rcx] + vpacksswb xmm7,xmm6,[rcx] vpackssdw xmm2,xmm6,xmm4 vpackssdw xmm7,xmm6,XMMWORD PTR [rcx] + vpackssdw xmm7,xmm6,[rcx] vpackuswb xmm2,xmm6,xmm4 vpackuswb xmm7,xmm6,XMMWORD PTR [rcx] + vpackuswb xmm7,xmm6,[rcx] vpackusdw xmm2,xmm6,xmm4 vpackusdw xmm7,xmm6,XMMWORD PTR [rcx] + vpackusdw xmm7,xmm6,[rcx] vpaddb xmm2,xmm6,xmm4 vpaddb xmm7,xmm6,XMMWORD PTR [rcx] + vpaddb xmm7,xmm6,[rcx] vpaddw xmm2,xmm6,xmm4 vpaddw xmm7,xmm6,XMMWORD PTR [rcx] + vpaddw xmm7,xmm6,[rcx] vpaddd xmm2,xmm6,xmm4 vpaddd xmm7,xmm6,XMMWORD PTR [rcx] + vpaddd xmm7,xmm6,[rcx] vpaddq xmm2,xmm6,xmm4 vpaddq xmm7,xmm6,XMMWORD PTR [rcx] + vpaddq xmm7,xmm6,[rcx] vpaddsb xmm2,xmm6,xmm4 vpaddsb xmm7,xmm6,XMMWORD PTR [rcx] + vpaddsb xmm7,xmm6,[rcx] vpaddsw xmm2,xmm6,xmm4 vpaddsw xmm7,xmm6,XMMWORD PTR [rcx] + vpaddsw xmm7,xmm6,[rcx] vpaddusb xmm2,xmm6,xmm4 vpaddusb xmm7,xmm6,XMMWORD PTR [rcx] + vpaddusb xmm7,xmm6,[rcx] vpaddusw xmm2,xmm6,xmm4 vpaddusw xmm7,xmm6,XMMWORD PTR [rcx] + vpaddusw xmm7,xmm6,[rcx] vpand xmm2,xmm6,xmm4 vpand xmm7,xmm6,XMMWORD PTR [rcx] + vpand xmm7,xmm6,[rcx] vpandn xmm2,xmm6,xmm4 vpandn xmm7,xmm6,XMMWORD PTR [rcx] + vpandn xmm7,xmm6,[rcx] vpavgb xmm2,xmm6,xmm4 vpavgb xmm7,xmm6,XMMWORD PTR [rcx] + vpavgb xmm7,xmm6,[rcx] vpavgw xmm2,xmm6,xmm4 vpavgw xmm7,xmm6,XMMWORD PTR [rcx] + vpavgw xmm7,xmm6,[rcx] vpcmpeqb xmm2,xmm6,xmm4 vpcmpeqb xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpeqb xmm7,xmm6,[rcx] vpcmpeqw xmm2,xmm6,xmm4 vpcmpeqw xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpeqw xmm7,xmm6,[rcx] vpcmpeqd xmm2,xmm6,xmm4 vpcmpeqd xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpeqd xmm7,xmm6,[rcx] vpcmpeqq xmm2,xmm6,xmm4 vpcmpeqq xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpeqq xmm7,xmm6,[rcx] vpcmpgtb xmm2,xmm6,xmm4 vpcmpgtb xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpgtb xmm7,xmm6,[rcx] vpcmpgtw xmm2,xmm6,xmm4 vpcmpgtw xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpgtw xmm7,xmm6,[rcx] vpcmpgtd xmm2,xmm6,xmm4 vpcmpgtd xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpgtd xmm7,xmm6,[rcx] vpcmpgtq xmm2,xmm6,xmm4 vpcmpgtq xmm7,xmm6,XMMWORD PTR [rcx] + vpcmpgtq xmm7,xmm6,[rcx] vpermilpd xmm2,xmm6,xmm4 vpermilpd xmm7,xmm6,XMMWORD PTR [rcx] + vpermilpd xmm7,xmm6,[rcx] vpermilps xmm2,xmm6,xmm4 vpermilps xmm7,xmm6,XMMWORD PTR [rcx] + vpermilps xmm7,xmm6,[rcx] vphaddw xmm2,xmm6,xmm4 vphaddw xmm7,xmm6,XMMWORD PTR [rcx] + vphaddw xmm7,xmm6,[rcx] vphaddd xmm2,xmm6,xmm4 vphaddd xmm7,xmm6,XMMWORD PTR [rcx] + vphaddd xmm7,xmm6,[rcx] vphaddsw xmm2,xmm6,xmm4 vphaddsw xmm7,xmm6,XMMWORD PTR [rcx] + vphaddsw xmm7,xmm6,[rcx] vphsubw xmm2,xmm6,xmm4 vphsubw xmm7,xmm6,XMMWORD PTR [rcx] + vphsubw xmm7,xmm6,[rcx] vphsubd xmm2,xmm6,xmm4 vphsubd xmm7,xmm6,XMMWORD PTR [rcx] + vphsubd xmm7,xmm6,[rcx] vphsubsw xmm2,xmm6,xmm4 vphsubsw xmm7,xmm6,XMMWORD PTR [rcx] + vphsubsw xmm7,xmm6,[rcx] vpmaddwd xmm2,xmm6,xmm4 vpmaddwd xmm7,xmm6,XMMWORD PTR [rcx] + vpmaddwd xmm7,xmm6,[rcx] vpmaddubsw xmm2,xmm6,xmm4 vpmaddubsw xmm7,xmm6,XMMWORD PTR [rcx] + vpmaddubsw xmm7,xmm6,[rcx] vpmaxsb xmm2,xmm6,xmm4 vpmaxsb xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxsb xmm7,xmm6,[rcx] vpmaxsw xmm2,xmm6,xmm4 vpmaxsw xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxsw xmm7,xmm6,[rcx] vpmaxsd xmm2,xmm6,xmm4 vpmaxsd xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxsd xmm7,xmm6,[rcx] vpmaxub xmm2,xmm6,xmm4 vpmaxub xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxub xmm7,xmm6,[rcx] vpmaxuw xmm2,xmm6,xmm4 vpmaxuw xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxuw xmm7,xmm6,[rcx] vpmaxud xmm2,xmm6,xmm4 vpmaxud xmm7,xmm6,XMMWORD PTR [rcx] + vpmaxud xmm7,xmm6,[rcx] vpminsb xmm2,xmm6,xmm4 vpminsb xmm7,xmm6,XMMWORD PTR [rcx] + vpminsb xmm7,xmm6,[rcx] vpminsw xmm2,xmm6,xmm4 vpminsw xmm7,xmm6,XMMWORD PTR [rcx] + vpminsw xmm7,xmm6,[rcx] vpminsd xmm2,xmm6,xmm4 vpminsd xmm7,xmm6,XMMWORD PTR [rcx] + vpminsd xmm7,xmm6,[rcx] vpminub xmm2,xmm6,xmm4 vpminub xmm7,xmm6,XMMWORD PTR [rcx] + vpminub xmm7,xmm6,[rcx] vpminuw xmm2,xmm6,xmm4 vpminuw xmm7,xmm6,XMMWORD PTR [rcx] + vpminuw xmm7,xmm6,[rcx] vpminud xmm2,xmm6,xmm4 vpminud xmm7,xmm6,XMMWORD PTR [rcx] + vpminud xmm7,xmm6,[rcx] vpmulhuw xmm2,xmm6,xmm4 vpmulhuw xmm7,xmm6,XMMWORD PTR [rcx] + vpmulhuw xmm7,xmm6,[rcx] vpmulhrsw xmm2,xmm6,xmm4 vpmulhrsw xmm7,xmm6,XMMWORD PTR [rcx] + vpmulhrsw xmm7,xmm6,[rcx] vpmulhw xmm2,xmm6,xmm4 vpmulhw xmm7,xmm6,XMMWORD PTR [rcx] + vpmulhw xmm7,xmm6,[rcx] vpmullw xmm2,xmm6,xmm4 vpmullw xmm7,xmm6,XMMWORD PTR [rcx] + vpmullw xmm7,xmm6,[rcx] vpmulld xmm2,xmm6,xmm4 vpmulld xmm7,xmm6,XMMWORD PTR [rcx] + vpmulld xmm7,xmm6,[rcx] vpmuludq xmm2,xmm6,xmm4 vpmuludq xmm7,xmm6,XMMWORD PTR [rcx] + vpmuludq xmm7,xmm6,[rcx] vpmuldq xmm2,xmm6,xmm4 vpmuldq xmm7,xmm6,XMMWORD PTR [rcx] + vpmuldq xmm7,xmm6,[rcx] vpor xmm2,xmm6,xmm4 vpor xmm7,xmm6,XMMWORD PTR [rcx] + vpor xmm7,xmm6,[rcx] vpsadbw xmm2,xmm6,xmm4 vpsadbw xmm7,xmm6,XMMWORD PTR [rcx] + vpsadbw xmm7,xmm6,[rcx] vpshufb xmm2,xmm6,xmm4 vpshufb xmm7,xmm6,XMMWORD PTR [rcx] + vpshufb xmm7,xmm6,[rcx] vpsignb xmm2,xmm6,xmm4 vpsignb xmm7,xmm6,XMMWORD PTR [rcx] + vpsignb xmm7,xmm6,[rcx] vpsignw xmm2,xmm6,xmm4 vpsignw xmm7,xmm6,XMMWORD PTR [rcx] + vpsignw xmm7,xmm6,[rcx] vpsignd xmm2,xmm6,xmm4 vpsignd xmm7,xmm6,XMMWORD PTR [rcx] + vpsignd xmm7,xmm6,[rcx] vpsllw xmm2,xmm6,xmm4 vpsllw xmm7,xmm6,XMMWORD PTR [rcx] + vpsllw xmm7,xmm6,[rcx] vpslld xmm2,xmm6,xmm4 vpslld xmm7,xmm6,XMMWORD PTR [rcx] + vpslld xmm7,xmm6,[rcx] vpsllq xmm2,xmm6,xmm4 vpsllq xmm7,xmm6,XMMWORD PTR [rcx] + vpsllq xmm7,xmm6,[rcx] vpsraw xmm2,xmm6,xmm4 vpsraw xmm7,xmm6,XMMWORD PTR [rcx] + vpsraw xmm7,xmm6,[rcx] vpsrad xmm2,xmm6,xmm4 vpsrad xmm7,xmm6,XMMWORD PTR [rcx] + vpsrad xmm7,xmm6,[rcx] vpsrlw xmm2,xmm6,xmm4 vpsrlw xmm7,xmm6,XMMWORD PTR [rcx] + vpsrlw xmm7,xmm6,[rcx] vpsrld xmm2,xmm6,xmm4 vpsrld xmm7,xmm6,XMMWORD PTR [rcx] + vpsrld xmm7,xmm6,[rcx] vpsrlq xmm2,xmm6,xmm4 vpsrlq xmm7,xmm6,XMMWORD PTR [rcx] + vpsrlq xmm7,xmm6,[rcx] vpsubb xmm2,xmm6,xmm4 vpsubb xmm7,xmm6,XMMWORD PTR [rcx] + vpsubb xmm7,xmm6,[rcx] vpsubw xmm2,xmm6,xmm4 vpsubw xmm7,xmm6,XMMWORD PTR [rcx] + vpsubw xmm7,xmm6,[rcx] vpsubd xmm2,xmm6,xmm4 vpsubd xmm7,xmm6,XMMWORD PTR [rcx] + vpsubd xmm7,xmm6,[rcx] vpsubq xmm2,xmm6,xmm4 vpsubq xmm7,xmm6,XMMWORD PTR [rcx] + vpsubq xmm7,xmm6,[rcx] vpsubsb xmm2,xmm6,xmm4 vpsubsb xmm7,xmm6,XMMWORD PTR [rcx] + vpsubsb xmm7,xmm6,[rcx] vpsubsw xmm2,xmm6,xmm4 vpsubsw xmm7,xmm6,XMMWORD PTR [rcx] + vpsubsw xmm7,xmm6,[rcx] vpsubusb xmm2,xmm6,xmm4 vpsubusb xmm7,xmm6,XMMWORD PTR [rcx] + vpsubusb xmm7,xmm6,[rcx] vpsubusw xmm2,xmm6,xmm4 vpsubusw xmm7,xmm6,XMMWORD PTR [rcx] + vpsubusw xmm7,xmm6,[rcx] vpunpckhbw xmm2,xmm6,xmm4 vpunpckhbw xmm7,xmm6,XMMWORD PTR [rcx] + vpunpckhbw xmm7,xmm6,[rcx] vpunpckhwd xmm2,xmm6,xmm4 vpunpckhwd xmm7,xmm6,XMMWORD PTR [rcx] + vpunpckhwd xmm7,xmm6,[rcx] vpunpckhdq xmm2,xmm6,xmm4 vpunpckhdq xmm7,xmm6,XMMWORD PTR [rcx] + vpunpckhdq xmm7,xmm6,[rcx] vpunpckhqdq xmm2,xmm6,xmm4 vpunpckhqdq xmm7,xmm6,XMMWORD PTR [rcx] + vpunpckhqdq xmm7,xmm6,[rcx] vpunpcklbw xmm2,xmm6,xmm4 vpunpcklbw xmm7,xmm6,XMMWORD PTR [rcx] + vpunpcklbw xmm7,xmm6,[rcx] vpunpcklwd xmm2,xmm6,xmm4 vpunpcklwd xmm7,xmm6,XMMWORD PTR [rcx] + vpunpcklwd xmm7,xmm6,[rcx] vpunpckldq xmm2,xmm6,xmm4 vpunpckldq xmm7,xmm6,XMMWORD PTR [rcx] + vpunpckldq xmm7,xmm6,[rcx] vpunpcklqdq xmm2,xmm6,xmm4 vpunpcklqdq xmm7,xmm6,XMMWORD PTR [rcx] + vpunpcklqdq xmm7,xmm6,[rcx] vpxor xmm2,xmm6,xmm4 vpxor xmm7,xmm6,XMMWORD PTR [rcx] + vpxor xmm7,xmm6,[rcx] vsubpd xmm2,xmm6,xmm4 vsubpd xmm7,xmm6,XMMWORD PTR [rcx] + vsubpd xmm7,xmm6,[rcx] vsubps xmm2,xmm6,xmm4 vsubps xmm7,xmm6,XMMWORD PTR [rcx] + vsubps xmm7,xmm6,[rcx] vunpckhpd xmm2,xmm6,xmm4 vunpckhpd xmm7,xmm6,XMMWORD PTR [rcx] + vunpckhpd xmm7,xmm6,[rcx] vunpckhps xmm2,xmm6,xmm4 vunpckhps xmm7,xmm6,XMMWORD PTR [rcx] + vunpckhps xmm7,xmm6,[rcx] vunpcklpd xmm2,xmm6,xmm4 vunpcklpd xmm7,xmm6,XMMWORD PTR [rcx] + vunpcklpd xmm7,xmm6,[rcx] vunpcklps xmm2,xmm6,xmm4 vunpcklps xmm7,xmm6,XMMWORD PTR [rcx] + vunpcklps xmm7,xmm6,[rcx] vxorpd xmm2,xmm6,xmm4 vxorpd xmm7,xmm6,XMMWORD PTR [rcx] + vxorpd xmm7,xmm6,[rcx] vxorps xmm2,xmm6,xmm4 vxorps xmm7,xmm6,XMMWORD PTR [rcx] + vxorps xmm7,xmm6,[rcx] vcmpeqpd xmm2,xmm6,xmm4 vcmpeqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeqpd xmm7,xmm6,[rcx] vcmpltpd xmm2,xmm6,xmm4 vcmpltpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpltpd xmm7,xmm6,[rcx] vcmplepd xmm2,xmm6,xmm4 vcmplepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmplepd xmm7,xmm6,[rcx] vcmpunordpd xmm2,xmm6,xmm4 vcmpunordpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpunordpd xmm7,xmm6,[rcx] vcmpneqpd xmm2,xmm6,xmm4 vcmpneqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneqpd xmm7,xmm6,[rcx] vcmpnltpd xmm2,xmm6,xmm4 vcmpnltpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnltpd xmm7,xmm6,[rcx] vcmpnlepd xmm2,xmm6,xmm4 vcmpnlepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnlepd xmm7,xmm6,[rcx] vcmpordpd xmm2,xmm6,xmm4 vcmpordpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpordpd xmm7,xmm6,[rcx] vcmpeq_uqpd xmm2,xmm6,xmm4 vcmpeq_uqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_uqpd xmm7,xmm6,[rcx] vcmpngepd xmm2,xmm6,xmm4 vcmpngepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngepd xmm7,xmm6,[rcx] vcmpngtpd xmm2,xmm6,xmm4 vcmpngtpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngtpd xmm7,xmm6,[rcx] vcmpfalsepd xmm2,xmm6,xmm4 vcmpfalsepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpfalsepd xmm7,xmm6,[rcx] vcmpneq_oqpd xmm2,xmm6,xmm4 vcmpneq_oqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_oqpd xmm7,xmm6,[rcx] vcmpgepd xmm2,xmm6,xmm4 vcmpgepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgepd xmm7,xmm6,[rcx] vcmpgtpd xmm2,xmm6,xmm4 vcmpgtpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgtpd xmm7,xmm6,[rcx] vcmptruepd xmm2,xmm6,xmm4 vcmptruepd xmm7,xmm6,XMMWORD PTR [rcx] + vcmptruepd xmm7,xmm6,[rcx] vcmpeq_ospd xmm2,xmm6,xmm4 vcmpeq_ospd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_ospd xmm7,xmm6,[rcx] vcmplt_oqpd xmm2,xmm6,xmm4 vcmplt_oqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmplt_oqpd xmm7,xmm6,[rcx] vcmple_oqpd xmm2,xmm6,xmm4 vcmple_oqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmple_oqpd xmm7,xmm6,[rcx] vcmpunord_spd xmm2,xmm6,xmm4 vcmpunord_spd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpunord_spd xmm7,xmm6,[rcx] vcmpneq_uspd xmm2,xmm6,xmm4 vcmpneq_uspd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_uspd xmm7,xmm6,[rcx] vcmpnlt_uqpd xmm2,xmm6,xmm4 vcmpnlt_uqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnlt_uqpd xmm7,xmm6,[rcx] vcmpnle_uqpd xmm2,xmm6,xmm4 vcmpnle_uqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnle_uqpd xmm7,xmm6,[rcx] vcmpord_spd xmm2,xmm6,xmm4 vcmpord_spd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpord_spd xmm7,xmm6,[rcx] vcmpeq_uspd xmm2,xmm6,xmm4 vcmpeq_uspd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_uspd xmm7,xmm6,[rcx] vcmpnge_uqpd xmm2,xmm6,xmm4 vcmpnge_uqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnge_uqpd xmm7,xmm6,[rcx] vcmpngt_uqpd xmm2,xmm6,xmm4 vcmpngt_uqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngt_uqpd xmm7,xmm6,[rcx] vcmpfalse_ospd xmm2,xmm6,xmm4 vcmpfalse_ospd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpfalse_ospd xmm7,xmm6,[rcx] vcmpneq_ospd xmm2,xmm6,xmm4 vcmpneq_ospd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_ospd xmm7,xmm6,[rcx] vcmpge_oqpd xmm2,xmm6,xmm4 vcmpge_oqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpge_oqpd xmm7,xmm6,[rcx] vcmpgt_oqpd xmm2,xmm6,xmm4 vcmpgt_oqpd xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgt_oqpd xmm7,xmm6,[rcx] vcmptrue_uspd xmm2,xmm6,xmm4 vcmptrue_uspd xmm7,xmm6,XMMWORD PTR [rcx] + vcmptrue_uspd xmm7,xmm6,[rcx] vcmpeqps xmm2,xmm6,xmm4 vcmpeqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeqps xmm7,xmm6,[rcx] vcmpltps xmm2,xmm6,xmm4 vcmpltps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpltps xmm7,xmm6,[rcx] vcmpleps xmm2,xmm6,xmm4 vcmpleps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpleps xmm7,xmm6,[rcx] vcmpunordps xmm2,xmm6,xmm4 vcmpunordps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpunordps xmm7,xmm6,[rcx] vcmpneqps xmm2,xmm6,xmm4 vcmpneqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneqps xmm7,xmm6,[rcx] vcmpnltps xmm2,xmm6,xmm4 vcmpnltps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnltps xmm7,xmm6,[rcx] vcmpnleps xmm2,xmm6,xmm4 vcmpnleps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnleps xmm7,xmm6,[rcx] vcmpordps xmm2,xmm6,xmm4 vcmpordps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpordps xmm7,xmm6,[rcx] vcmpeq_uqps xmm2,xmm6,xmm4 vcmpeq_uqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_uqps xmm7,xmm6,[rcx] vcmpngeps xmm2,xmm6,xmm4 vcmpngeps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngeps xmm7,xmm6,[rcx] vcmpngtps xmm2,xmm6,xmm4 vcmpngtps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngtps xmm7,xmm6,[rcx] vcmpfalseps xmm2,xmm6,xmm4 vcmpfalseps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpfalseps xmm7,xmm6,[rcx] vcmpneq_oqps xmm2,xmm6,xmm4 vcmpneq_oqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_oqps xmm7,xmm6,[rcx] vcmpgeps xmm2,xmm6,xmm4 vcmpgeps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgeps xmm7,xmm6,[rcx] vcmpgtps xmm2,xmm6,xmm4 vcmpgtps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgtps xmm7,xmm6,[rcx] vcmptrueps xmm2,xmm6,xmm4 vcmptrueps xmm7,xmm6,XMMWORD PTR [rcx] + vcmptrueps xmm7,xmm6,[rcx] vcmpeq_osps xmm2,xmm6,xmm4 vcmpeq_osps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_osps xmm7,xmm6,[rcx] vcmplt_oqps xmm2,xmm6,xmm4 vcmplt_oqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmplt_oqps xmm7,xmm6,[rcx] vcmple_oqps xmm2,xmm6,xmm4 vcmple_oqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmple_oqps xmm7,xmm6,[rcx] vcmpunord_sps xmm2,xmm6,xmm4 vcmpunord_sps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpunord_sps xmm7,xmm6,[rcx] vcmpneq_usps xmm2,xmm6,xmm4 vcmpneq_usps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_usps xmm7,xmm6,[rcx] vcmpnlt_uqps xmm2,xmm6,xmm4 vcmpnlt_uqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnlt_uqps xmm7,xmm6,[rcx] vcmpnle_uqps xmm2,xmm6,xmm4 vcmpnle_uqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnle_uqps xmm7,xmm6,[rcx] vcmpord_sps xmm2,xmm6,xmm4 vcmpord_sps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpord_sps xmm7,xmm6,[rcx] vcmpeq_usps xmm2,xmm6,xmm4 vcmpeq_usps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpeq_usps xmm7,xmm6,[rcx] vcmpnge_uqps xmm2,xmm6,xmm4 vcmpnge_uqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpnge_uqps xmm7,xmm6,[rcx] vcmpngt_uqps xmm2,xmm6,xmm4 vcmpngt_uqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpngt_uqps xmm7,xmm6,[rcx] vcmpfalse_osps xmm2,xmm6,xmm4 vcmpfalse_osps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpfalse_osps xmm7,xmm6,[rcx] vcmpneq_osps xmm2,xmm6,xmm4 vcmpneq_osps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpneq_osps xmm7,xmm6,[rcx] vcmpge_oqps xmm2,xmm6,xmm4 vcmpge_oqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpge_oqps xmm7,xmm6,[rcx] vcmpgt_oqps xmm2,xmm6,xmm4 vcmpgt_oqps xmm7,xmm6,XMMWORD PTR [rcx] + vcmpgt_oqps xmm7,xmm6,[rcx] vcmptrue_usps xmm2,xmm6,xmm4 vcmptrue_usps xmm7,xmm6,XMMWORD PTR [rcx] + vcmptrue_usps xmm7,xmm6,[rcx] # Tests for op mem128, xmm, xmm vmaskmovps xmm6,xmm4,XMMWORD PTR [rcx] + vmaskmovps xmm6,xmm4,[rcx] vmaskmovpd xmm6,xmm4,XMMWORD PTR [rcx] + vmaskmovpd xmm6,xmm4,[rcx] # Tests for op imm8, xmm/mem128, xmm vpcmpestri xmm6,xmm4,100 vpcmpestri xmm6,XMMWORD PTR [rcx],100 + vpcmpestri xmm6,[rcx],100 vpcmpestrm xmm6,xmm4,100 vpcmpestrm xmm6,XMMWORD PTR [rcx],100 + vpcmpestrm xmm6,[rcx],100 vpcmpistri xmm6,xmm4,100 vpcmpistri xmm6,XMMWORD PTR [rcx],100 + vpcmpistri xmm6,[rcx],100 vpcmpistrm xmm6,xmm4,100 vpcmpistrm xmm6,XMMWORD PTR [rcx],100 + vpcmpistrm xmm6,[rcx],100 vpermilpd xmm6,xmm4,100 vpermilpd xmm6,XMMWORD PTR [rcx],100 + vpermilpd xmm6,[rcx],100 vpermilps xmm6,xmm4,100 vpermilps xmm6,XMMWORD PTR [rcx],100 + vpermilps xmm6,[rcx],100 vpshufd xmm6,xmm4,100 vpshufd xmm6,XMMWORD PTR [rcx],100 + vpshufd xmm6,[rcx],100 vpshufhw xmm6,xmm4,100 vpshufhw xmm6,XMMWORD PTR [rcx],100 + vpshufhw xmm6,[rcx],100 vpshuflw xmm6,xmm4,100 vpshuflw xmm6,XMMWORD PTR [rcx],100 + vpshuflw xmm6,[rcx],100 vroundpd xmm6,xmm4,100 vroundpd xmm6,XMMWORD PTR [rcx],100 + vroundpd xmm6,[rcx],100 vroundps xmm6,xmm4,100 vroundps xmm6,XMMWORD PTR [rcx],100 + vroundps xmm6,[rcx],100 # Tests for op xmm, xmm, mem128 vmaskmovps XMMWORD PTR [rcx],xmm6,xmm4 + vmaskmovps [rcx],xmm6,xmm4 vmaskmovpd XMMWORD PTR [rcx],xmm6,xmm4 + vmaskmovpd [rcx],xmm6,xmm4 # Tests for op imm8, xmm/mem128, xmm, xmm vblendpd xmm2,xmm6,xmm4,100 vblendpd xmm2,xmm6,XMMWORD PTR [rcx],100 + vblendpd xmm2,xmm6,[rcx],100 vblendps xmm2,xmm6,xmm4,100 vblendps xmm2,xmm6,XMMWORD PTR [rcx],100 + vblendps xmm2,xmm6,[rcx],100 vcmppd xmm2,xmm6,xmm4,100 vcmppd xmm2,xmm6,XMMWORD PTR [rcx],100 + vcmppd xmm2,xmm6,[rcx],100 vcmpps xmm2,xmm6,xmm4,100 vcmpps xmm2,xmm6,XMMWORD PTR [rcx],100 + vcmpps xmm2,xmm6,[rcx],100 vdppd xmm2,xmm6,xmm4,100 vdppd xmm2,xmm6,XMMWORD PTR [rcx],100 + vdppd xmm2,xmm6,[rcx],100 vdpps xmm2,xmm6,xmm4,100 vdpps xmm2,xmm6,XMMWORD PTR [rcx],100 + vdpps xmm2,xmm6,[rcx],100 vmpsadbw xmm2,xmm6,xmm4,100 vmpsadbw xmm2,xmm6,XMMWORD PTR [rcx],100 + vmpsadbw xmm2,xmm6,[rcx],100 vpalignr xmm2,xmm6,xmm4,100 vpalignr xmm2,xmm6,XMMWORD PTR [rcx],100 + vpalignr xmm2,xmm6,[rcx],100 vpblendw xmm2,xmm6,xmm4,100 vpblendw xmm2,xmm6,XMMWORD PTR [rcx],100 + vpblendw xmm2,xmm6,[rcx],100 vshufpd xmm2,xmm6,xmm4,100 vshufpd xmm2,xmm6,XMMWORD PTR [rcx],100 + vshufpd xmm2,xmm6,[rcx],100 vshufps xmm2,xmm6,xmm4,100 vshufps xmm2,xmm6,XMMWORD PTR [rcx],100 + vshufps xmm2,xmm6,[rcx],100 # Tests for op xmm, xmm/mem128, xmm, xmm vblendvpd xmm7,xmm2,xmm6,xmm4 vblendvpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vblendvpd xmm7,xmm2,[rcx],xmm4 vblendvps xmm7,xmm2,xmm6,xmm4 vblendvps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vblendvps xmm7,xmm2,[rcx],xmm4 vpblendvb xmm7,xmm2,xmm6,xmm4 vpblendvb xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpblendvb xmm7,xmm2,[rcx],xmm4 # Tests for op xmm/mem128, xmm, xmm, xmm # Tests for op xmm, xmm/mem128, xmm, xmm vfmaddpd xmm7,xmm2,xmm6,xmm4 vfmaddpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmaddpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmaddpd xmm7,xmm2,xmm6,[rcx] + vfmaddpd xmm7,xmm2,[rcx],xmm4 vfmaddps xmm7,xmm2,xmm6,xmm4 vfmaddps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmaddps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmaddps xmm7,xmm2,xmm6,[rcx] + vfmaddps xmm7,xmm2,[rcx],xmm4 vfmaddsubpd xmm7,xmm2,xmm6,xmm4 vfmaddsubpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmaddsubpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmaddsubpd xmm7,xmm2,xmm6,[rcx] + vfmaddsubpd xmm7,xmm2,[rcx],xmm4 vfmaddsubps xmm7,xmm2,xmm6,xmm4 vfmaddsubps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmaddsubps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmaddsubps xmm7,xmm2,xmm6,[rcx] + vfmaddsubps xmm7,xmm2,[rcx],xmm4 vfmsubaddpd xmm7,xmm2,xmm6,xmm4 vfmsubaddpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmsubaddpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmsubaddpd xmm7,xmm2,xmm6,[rcx] + vfmsubaddpd xmm7,xmm2,[rcx],xmm4 vfmsubaddps xmm7,xmm2,xmm6,xmm4 vfmsubaddps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmsubaddps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmsubaddps xmm7,xmm2,xmm6,[rcx] + vfmsubaddps xmm7,xmm2,[rcx],xmm4 vfmsubpd xmm7,xmm2,xmm6,xmm4 vfmsubpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmsubpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmsubpd xmm7,xmm2,xmm6,[rcx] + vfmsubpd xmm7,xmm2,[rcx],xmm4 vfmsubps xmm7,xmm2,xmm6,xmm4 vfmsubps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfmsubps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfmsubps xmm7,xmm2,xmm6,[rcx] + vfmsubps xmm7,xmm2,[rcx],xmm4 vfnmaddpd xmm7,xmm2,xmm6,xmm4 vfnmaddpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfnmaddpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfnmaddpd xmm7,xmm2,xmm6,[rcx] + vfnmaddpd xmm7,xmm2,[rcx],xmm4 vfnmaddps xmm7,xmm2,xmm6,xmm4 vfnmaddps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfnmaddps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfnmaddps xmm7,xmm2,xmm6,[rcx] + vfnmaddps xmm7,xmm2,[rcx],xmm4 vfnmsubpd xmm7,xmm2,xmm6,xmm4 vfnmsubpd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfnmsubpd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfnmsubpd xmm7,xmm2,xmm6,[rcx] + vfnmsubpd xmm7,xmm2,[rcx],xmm4 vfnmsubps xmm7,xmm2,xmm6,xmm4 vfnmsubps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vfnmsubps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vfnmsubps xmm7,xmm2,xmm6,[rcx] + vfnmsubps xmm7,xmm2,[rcx],xmm4 vpermilmo2pd xmm7,xmm2,xmm6,xmm4 vpermilmo2pd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermilmo2pd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermilmo2pd xmm7,xmm2,xmm6,[rcx] + vpermilmo2pd xmm7,xmm2,[rcx],xmm4 vpermilmz2pd xmm7,xmm2,xmm6,xmm4 vpermilmz2pd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermilmz2pd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermilmz2pd xmm7,xmm2,xmm6,[rcx] + vpermilmz2pd xmm7,xmm2,[rcx],xmm4 vpermiltd2pd xmm7,xmm2,xmm6,xmm4 vpermiltd2pd xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermiltd2pd xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermiltd2pd xmm7,xmm2,xmm6,[rcx] + vpermiltd2pd xmm7,xmm2,[rcx],xmm4 vpermilmo2ps xmm7,xmm2,xmm6,xmm4 vpermilmo2ps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermilmo2ps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermilmo2ps xmm7,xmm2,xmm6,[rcx] + vpermilmo2ps xmm7,xmm2,[rcx],xmm4 vpermilmz2ps xmm7,xmm2,xmm6,xmm4 vpermilmz2ps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermilmz2ps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermilmz2ps xmm7,xmm2,xmm6,[rcx] + vpermilmz2ps xmm7,xmm2,[rcx],xmm4 vpermiltd2ps xmm7,xmm2,xmm6,xmm4 vpermiltd2ps xmm7,xmm2,xmm6,XMMWORD PTR [rcx] vpermiltd2ps xmm7,xmm2,XMMWORD PTR [rcx],xmm4 + vpermiltd2ps xmm7,xmm2,xmm6,[rcx] + vpermiltd2ps xmm7,xmm2,[rcx],xmm4 # Tests for op imm4, xmm/mem128, xmm, xmm, xmm # Tests for op imm4, xmm, xmm/mem128, xmm, xmm vpermil2pd xmm7,xmm2,xmm6,xmm4,10 vpermil2pd xmm7,xmm2,xmm6,XMMWORD PTR [rcx],10 vpermil2pd xmm7,xmm2,XMMWORD PTR [rcx],xmm4,10 + vpermil2pd xmm7,xmm2,xmm6,[rcx],10 + vpermil2pd xmm7,xmm2,[rcx],xmm4,10 vpermil2ps xmm7,xmm2,xmm6,xmm4,10 vpermil2ps xmm7,xmm2,xmm6,XMMWORD PTR [rcx],10 vpermil2ps xmm7,xmm2,XMMWORD PTR [rcx],xmm4,10 + vpermil2ps xmm7,xmm2,xmm6,[rcx],10 + vpermil2ps xmm7,xmm2,[rcx],xmm4,10 # Tests for op mem64, ymm vbroadcastsd ymm4,QWORD PTR [rcx] + vbroadcastsd ymm4,[rcx] # Tests for op xmm/mem64, xmm vcomisd xmm6,xmm4 vcomisd xmm4,QWORD PTR [rcx] + vcomisd xmm4,[rcx] vcvtdq2pd xmm6,xmm4 vcvtdq2pd xmm4,QWORD PTR [rcx] + vcvtdq2pd xmm4,[rcx] vcvtps2pd xmm6,xmm4 vcvtps2pd xmm4,QWORD PTR [rcx] + vcvtps2pd xmm4,[rcx] vmovddup xmm6,xmm4 vmovddup xmm4,QWORD PTR [rcx] + vmovddup xmm4,[rcx] vpmovsxbw xmm6,xmm4 vpmovsxbw xmm4,QWORD PTR [rcx] + vpmovsxbw xmm4,[rcx] vpmovsxwd xmm6,xmm4 vpmovsxwd xmm4,QWORD PTR [rcx] + vpmovsxwd xmm4,[rcx] vpmovsxdq xmm6,xmm4 vpmovsxdq xmm4,QWORD PTR [rcx] + vpmovsxdq xmm4,[rcx] vpmovzxbw xmm6,xmm4 vpmovzxbw xmm4,QWORD PTR [rcx] + vpmovzxbw xmm4,[rcx] vpmovzxwd xmm6,xmm4 vpmovzxwd xmm4,QWORD PTR [rcx] + vpmovzxwd xmm4,[rcx] vpmovzxdq xmm6,xmm4 vpmovzxdq xmm4,QWORD PTR [rcx] + vpmovzxdq xmm4,[rcx] vucomisd xmm6,xmm4 vucomisd xmm4,QWORD PTR [rcx] + vucomisd xmm4,[rcx] # Tests for op mem64, xmm vmovsd xmm4,QWORD PTR [rcx] + vmovsd xmm4,[rcx] # Tests for op xmm, mem64 vmovlpd QWORD PTR [rcx],xmm4 + vmovlpd [rcx],xmm4 vmovlps QWORD PTR [rcx],xmm4 + vmovlps [rcx],xmm4 vmovhpd QWORD PTR [rcx],xmm4 + vmovhpd [rcx],xmm4 vmovhps QWORD PTR [rcx],xmm4 + vmovhps [rcx],xmm4 vmovsd QWORD PTR [rcx],xmm4 + vmovsd [rcx],xmm4 # Tests for op xmm, regq/mem64 # Tests for op regq/mem64, xmm @@ -2653,251 +3110,367 @@ _start: vmovq xmm4,rcx vmovq QWORD PTR [rcx],xmm4 vmovq xmm4,QWORD PTR [rcx] + vmovq [rcx],xmm4 + vmovq xmm4,[rcx] # Tests for op xmm/mem64, regl vcvtsd2si ecx,xmm4 vcvtsd2si ecx,QWORD PTR [rcx] + vcvtsd2si ecx,[rcx] vcvttsd2si ecx,xmm4 vcvttsd2si ecx,QWORD PTR [rcx] + vcvttsd2si ecx,[rcx] # Tests for op xmm/mem64, regq vcvtsd2si rcx,xmm4 vcvtsd2si rcx,QWORD PTR [rcx] + vcvtsd2si rcx,[rcx] vcvttsd2si rcx,xmm4 vcvttsd2si rcx,QWORD PTR [rcx] + vcvttsd2si rcx,[rcx] # Tests for op regq/mem64, xmm, xmm vcvtsi2sdq xmm6,xmm4,rcx vcvtsi2sdq xmm6,xmm4,QWORD PTR [rcx] + vcvtsi2sdq xmm6,xmm4,[rcx] vcvtsi2ssq xmm6,xmm4,rcx vcvtsi2ssq xmm6,xmm4,QWORD PTR [rcx] + vcvtsi2ssq xmm6,xmm4,[rcx] # Tests for op imm8, regq/mem64, xmm, xmm vpinsrq xmm6,xmm4,rcx,100 vpinsrq xmm6,xmm4,QWORD PTR [rcx],100 + vpinsrq xmm6,xmm4,[rcx],100 # Testsf for op imm8, xmm, regq/mem64 vpextrq rcx,xmm4,100 vpextrq QWORD PTR [rcx],xmm4,100 + vpextrq [rcx],xmm4,100 # Tests for op mem64, xmm, xmm vmovlpd xmm6,xmm4,QWORD PTR [rcx] + vmovlpd xmm6,xmm4,[rcx] vmovlps xmm6,xmm4,QWORD PTR [rcx] + vmovlps xmm6,xmm4,[rcx] vmovhpd xmm6,xmm4,QWORD PTR [rcx] + vmovhpd xmm6,xmm4,[rcx] vmovhps xmm6,xmm4,QWORD PTR [rcx] + vmovhps xmm6,xmm4,[rcx] # Tests for op imm8, xmm/mem64, xmm, xmm vcmpsd xmm2,xmm6,xmm4,100 vcmpsd xmm2,xmm6,QWORD PTR [rcx],100 + vcmpsd xmm2,xmm6,[rcx],100 vroundsd xmm2,xmm6,xmm4,100 vroundsd xmm2,xmm6,QWORD PTR [rcx],100 + vroundsd xmm2,xmm6,[rcx],100 # Tests for op xmm/mem64, xmm, xmm, xmm # Tests for op xmm, xmm/mem64, xmm, xmm vfmaddsd xmm7,xmm2,xmm6,xmm4 vfmaddsd xmm7,xmm2,xmm6,QWORD PTR [rcx] vfmaddsd xmm7,xmm2,QWORD PTR [rcx],xmm4 + vfmaddsd xmm7,xmm2,xmm6,[rcx] + vfmaddsd xmm7,xmm2,[rcx],xmm4 vfmsubsd xmm7,xmm2,xmm6,xmm4 vfmsubsd xmm7,xmm2,xmm6,QWORD PTR [rcx] vfmsubsd xmm7,xmm2,QWORD PTR [rcx],xmm4 + vfmsubsd xmm7,xmm2,xmm6,[rcx] + vfmsubsd xmm7,xmm2,[rcx],xmm4 vfnmaddsd xmm7,xmm2,xmm6,xmm4 vfnmaddsd xmm7,xmm2,xmm6,QWORD PTR [rcx] vfnmaddsd xmm7,xmm2,QWORD PTR [rcx],xmm4 + vfnmaddsd xmm7,xmm2,xmm6,[rcx] + vfnmaddsd xmm7,xmm2,[rcx],xmm4 vfnmsubsd xmm7,xmm2,xmm6,xmm4 vfnmsubsd xmm7,xmm2,xmm6,QWORD PTR [rcx] vfnmsubsd xmm7,xmm2,QWORD PTR [rcx],xmm4 + vfnmsubsd xmm7,xmm2,xmm6,[rcx] + vfnmsubsd xmm7,xmm2,[rcx],xmm4 # Tests for op xmm/mem64, xmm, xmm vaddsd xmm2,xmm6,xmm4 vaddsd xmm2,xmm6,QWORD PTR [rcx] + vaddsd xmm2,xmm6,[rcx] vcvtsd2ss xmm2,xmm6,xmm4 vcvtsd2ss xmm2,xmm6,QWORD PTR [rcx] + vcvtsd2ss xmm2,xmm6,[rcx] vdivsd xmm2,xmm6,xmm4 vdivsd xmm2,xmm6,QWORD PTR [rcx] + vdivsd xmm2,xmm6,[rcx] vmaxsd xmm2,xmm6,xmm4 vmaxsd xmm2,xmm6,QWORD PTR [rcx] + vmaxsd xmm2,xmm6,[rcx] vminsd xmm2,xmm6,xmm4 vminsd xmm2,xmm6,QWORD PTR [rcx] + vminsd xmm2,xmm6,[rcx] vmulsd xmm2,xmm6,xmm4 vmulsd xmm2,xmm6,QWORD PTR [rcx] + vmulsd xmm2,xmm6,[rcx] vsqrtsd xmm2,xmm6,xmm4 vsqrtsd xmm2,xmm6,QWORD PTR [rcx] + vsqrtsd xmm2,xmm6,[rcx] vsubsd xmm2,xmm6,xmm4 vsubsd xmm2,xmm6,QWORD PTR [rcx] + vsubsd xmm2,xmm6,[rcx] vcmpeqsd xmm2,xmm6,xmm4 vcmpeqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpeqsd xmm2,xmm6,[rcx] vcmpltsd xmm2,xmm6,xmm4 vcmpltsd xmm2,xmm6,QWORD PTR [rcx] + vcmpltsd xmm2,xmm6,[rcx] vcmplesd xmm2,xmm6,xmm4 vcmplesd xmm2,xmm6,QWORD PTR [rcx] + vcmplesd xmm2,xmm6,[rcx] vcmpunordsd xmm2,xmm6,xmm4 vcmpunordsd xmm2,xmm6,QWORD PTR [rcx] + vcmpunordsd xmm2,xmm6,[rcx] vcmpneqsd xmm2,xmm6,xmm4 vcmpneqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpneqsd xmm2,xmm6,[rcx] vcmpnltsd xmm2,xmm6,xmm4 vcmpnltsd xmm2,xmm6,QWORD PTR [rcx] + vcmpnltsd xmm2,xmm6,[rcx] vcmpnlesd xmm2,xmm6,xmm4 vcmpnlesd xmm2,xmm6,QWORD PTR [rcx] + vcmpnlesd xmm2,xmm6,[rcx] vcmpordsd xmm2,xmm6,xmm4 vcmpordsd xmm2,xmm6,QWORD PTR [rcx] + vcmpordsd xmm2,xmm6,[rcx] vcmpeq_uqsd xmm2,xmm6,xmm4 vcmpeq_uqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpeq_uqsd xmm2,xmm6,[rcx] vcmpngesd xmm2,xmm6,xmm4 vcmpngesd xmm2,xmm6,QWORD PTR [rcx] + vcmpngesd xmm2,xmm6,[rcx] vcmpngtsd xmm2,xmm6,xmm4 vcmpngtsd xmm2,xmm6,QWORD PTR [rcx] + vcmpngtsd xmm2,xmm6,[rcx] vcmpfalsesd xmm2,xmm6,xmm4 vcmpfalsesd xmm2,xmm6,QWORD PTR [rcx] + vcmpfalsesd xmm2,xmm6,[rcx] vcmpneq_oqsd xmm2,xmm6,xmm4 vcmpneq_oqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpneq_oqsd xmm2,xmm6,[rcx] vcmpgesd xmm2,xmm6,xmm4 vcmpgesd xmm2,xmm6,QWORD PTR [rcx] + vcmpgesd xmm2,xmm6,[rcx] vcmpgtsd xmm2,xmm6,xmm4 vcmpgtsd xmm2,xmm6,QWORD PTR [rcx] + vcmpgtsd xmm2,xmm6,[rcx] vcmptruesd xmm2,xmm6,xmm4 vcmptruesd xmm2,xmm6,QWORD PTR [rcx] + vcmptruesd xmm2,xmm6,[rcx] vcmpeq_ossd xmm2,xmm6,xmm4 vcmpeq_ossd xmm2,xmm6,QWORD PTR [rcx] + vcmpeq_ossd xmm2,xmm6,[rcx] vcmplt_oqsd xmm2,xmm6,xmm4 vcmplt_oqsd xmm2,xmm6,QWORD PTR [rcx] + vcmplt_oqsd xmm2,xmm6,[rcx] vcmple_oqsd xmm2,xmm6,xmm4 vcmple_oqsd xmm2,xmm6,QWORD PTR [rcx] + vcmple_oqsd xmm2,xmm6,[rcx] vcmpunord_ssd xmm2,xmm6,xmm4 vcmpunord_ssd xmm2,xmm6,QWORD PTR [rcx] + vcmpunord_ssd xmm2,xmm6,[rcx] vcmpneq_ussd xmm2,xmm6,xmm4 vcmpneq_ussd xmm2,xmm6,QWORD PTR [rcx] + vcmpneq_ussd xmm2,xmm6,[rcx] vcmpnlt_uqsd xmm2,xmm6,xmm4 vcmpnlt_uqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpnlt_uqsd xmm2,xmm6,[rcx] vcmpnle_uqsd xmm2,xmm6,xmm4 vcmpnle_uqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpnle_uqsd xmm2,xmm6,[rcx] vcmpord_ssd xmm2,xmm6,xmm4 vcmpord_ssd xmm2,xmm6,QWORD PTR [rcx] + vcmpord_ssd xmm2,xmm6,[rcx] vcmpeq_ussd xmm2,xmm6,xmm4 vcmpeq_ussd xmm2,xmm6,QWORD PTR [rcx] + vcmpeq_ussd xmm2,xmm6,[rcx] vcmpnge_uqsd xmm2,xmm6,xmm4 vcmpnge_uqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpnge_uqsd xmm2,xmm6,[rcx] vcmpngt_uqsd xmm2,xmm6,xmm4 vcmpngt_uqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpngt_uqsd xmm2,xmm6,[rcx] vcmpfalse_ossd xmm2,xmm6,xmm4 vcmpfalse_ossd xmm2,xmm6,QWORD PTR [rcx] + vcmpfalse_ossd xmm2,xmm6,[rcx] vcmpneq_ossd xmm2,xmm6,xmm4 vcmpneq_ossd xmm2,xmm6,QWORD PTR [rcx] + vcmpneq_ossd xmm2,xmm6,[rcx] vcmpge_oqsd xmm2,xmm6,xmm4 vcmpge_oqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpge_oqsd xmm2,xmm6,[rcx] vcmpgt_oqsd xmm2,xmm6,xmm4 vcmpgt_oqsd xmm2,xmm6,QWORD PTR [rcx] + vcmpgt_oqsd xmm2,xmm6,[rcx] vcmptrue_ussd xmm2,xmm6,xmm4 vcmptrue_ussd xmm2,xmm6,QWORD PTR [rcx] + vcmptrue_ussd xmm2,xmm6,[rcx] # Tests for op xmm/mem32, xmm, xmm vaddss xmm2,xmm6,xmm4 vaddss xmm2,xmm6,DWORD PTR [rcx] + vaddss xmm2,xmm6,[rcx] vcvtss2sd xmm2,xmm6,xmm4 vcvtss2sd xmm2,xmm6,DWORD PTR [rcx] + vcvtss2sd xmm2,xmm6,[rcx] vdivss xmm2,xmm6,xmm4 vdivss xmm2,xmm6,DWORD PTR [rcx] + vdivss xmm2,xmm6,[rcx] vmaxss xmm2,xmm6,xmm4 vmaxss xmm2,xmm6,DWORD PTR [rcx] + vmaxss xmm2,xmm6,[rcx] vminss xmm2,xmm6,xmm4 vminss xmm2,xmm6,DWORD PTR [rcx] + vminss xmm2,xmm6,[rcx] vmulss xmm2,xmm6,xmm4 vmulss xmm2,xmm6,DWORD PTR [rcx] + vmulss xmm2,xmm6,[rcx] vrcpss xmm2,xmm6,xmm4 vrcpss xmm2,xmm6,DWORD PTR [rcx] + vrcpss xmm2,xmm6,[rcx] vrsqrtss xmm2,xmm6,xmm4 vrsqrtss xmm2,xmm6,DWORD PTR [rcx] + vrsqrtss xmm2,xmm6,[rcx] vsqrtss xmm2,xmm6,xmm4 vsqrtss xmm2,xmm6,DWORD PTR [rcx] + vsqrtss xmm2,xmm6,[rcx] vsubss xmm2,xmm6,xmm4 vsubss xmm2,xmm6,DWORD PTR [rcx] + vsubss xmm2,xmm6,[rcx] vcmpeqss xmm2,xmm6,xmm4 vcmpeqss xmm2,xmm6,DWORD PTR [rcx] + vcmpeqss xmm2,xmm6,[rcx] vcmpltss xmm2,xmm6,xmm4 vcmpltss xmm2,xmm6,DWORD PTR [rcx] + vcmpltss xmm2,xmm6,[rcx] vcmpless xmm2,xmm6,xmm4 vcmpless xmm2,xmm6,DWORD PTR [rcx] + vcmpless xmm2,xmm6,[rcx] vcmpunordss xmm2,xmm6,xmm4 vcmpunordss xmm2,xmm6,DWORD PTR [rcx] + vcmpunordss xmm2,xmm6,[rcx] vcmpneqss xmm2,xmm6,xmm4 vcmpneqss xmm2,xmm6,DWORD PTR [rcx] + vcmpneqss xmm2,xmm6,[rcx] vcmpnltss xmm2,xmm6,xmm4 vcmpnltss xmm2,xmm6,DWORD PTR [rcx] + vcmpnltss xmm2,xmm6,[rcx] vcmpnless xmm2,xmm6,xmm4 vcmpnless xmm2,xmm6,DWORD PTR [rcx] + vcmpnless xmm2,xmm6,[rcx] vcmpordss xmm2,xmm6,xmm4 vcmpordss xmm2,xmm6,DWORD PTR [rcx] + vcmpordss xmm2,xmm6,[rcx] vcmpeq_uqss xmm2,xmm6,xmm4 vcmpeq_uqss xmm2,xmm6,DWORD PTR [rcx] + vcmpeq_uqss xmm2,xmm6,[rcx] vcmpngess xmm2,xmm6,xmm4 vcmpngess xmm2,xmm6,DWORD PTR [rcx] + vcmpngess xmm2,xmm6,[rcx] vcmpngtss xmm2,xmm6,xmm4 vcmpngtss xmm2,xmm6,DWORD PTR [rcx] + vcmpngtss xmm2,xmm6,[rcx] vcmpfalsess xmm2,xmm6,xmm4 vcmpfalsess xmm2,xmm6,DWORD PTR [rcx] + vcmpfalsess xmm2,xmm6,[rcx] vcmpneq_oqss xmm2,xmm6,xmm4 vcmpneq_oqss xmm2,xmm6,DWORD PTR [rcx] + vcmpneq_oqss xmm2,xmm6,[rcx] vcmpgess xmm2,xmm6,xmm4 vcmpgess xmm2,xmm6,DWORD PTR [rcx] + vcmpgess xmm2,xmm6,[rcx] vcmpgtss xmm2,xmm6,xmm4 vcmpgtss xmm2,xmm6,DWORD PTR [rcx] + vcmpgtss xmm2,xmm6,[rcx] vcmptruess xmm2,xmm6,xmm4 vcmptruess xmm2,xmm6,DWORD PTR [rcx] + vcmptruess xmm2,xmm6,[rcx] vcmpeq_osss xmm2,xmm6,xmm4 vcmpeq_osss xmm2,xmm6,DWORD PTR [rcx] + vcmpeq_osss xmm2,xmm6,[rcx] vcmplt_oqss xmm2,xmm6,xmm4 vcmplt_oqss xmm2,xmm6,DWORD PTR [rcx] + vcmplt_oqss xmm2,xmm6,[rcx] vcmple_oqss xmm2,xmm6,xmm4 vcmple_oqss xmm2,xmm6,DWORD PTR [rcx] + vcmple_oqss xmm2,xmm6,[rcx] vcmpunord_sss xmm2,xmm6,xmm4 vcmpunord_sss xmm2,xmm6,DWORD PTR [rcx] + vcmpunord_sss xmm2,xmm6,[rcx] vcmpneq_usss xmm2,xmm6,xmm4 vcmpneq_usss xmm2,xmm6,DWORD PTR [rcx] + vcmpneq_usss xmm2,xmm6,[rcx] vcmpnlt_uqss xmm2,xmm6,xmm4 vcmpnlt_uqss xmm2,xmm6,DWORD PTR [rcx] + vcmpnlt_uqss xmm2,xmm6,[rcx] vcmpnle_uqss xmm2,xmm6,xmm4 vcmpnle_uqss xmm2,xmm6,DWORD PTR [rcx] + vcmpnle_uqss xmm2,xmm6,[rcx] vcmpord_sss xmm2,xmm6,xmm4 vcmpord_sss xmm2,xmm6,DWORD PTR [rcx] + vcmpord_sss xmm2,xmm6,[rcx] vcmpeq_usss xmm2,xmm6,xmm4 vcmpeq_usss xmm2,xmm6,DWORD PTR [rcx] + vcmpeq_usss xmm2,xmm6,[rcx] vcmpnge_uqss xmm2,xmm6,xmm4 vcmpnge_uqss xmm2,xmm6,DWORD PTR [rcx] + vcmpnge_uqss xmm2,xmm6,[rcx] vcmpngt_uqss xmm2,xmm6,xmm4 vcmpngt_uqss xmm2,xmm6,DWORD PTR [rcx] + vcmpngt_uqss xmm2,xmm6,[rcx] vcmpfalse_osss xmm2,xmm6,xmm4 vcmpfalse_osss xmm2,xmm6,DWORD PTR [rcx] + vcmpfalse_osss xmm2,xmm6,[rcx] vcmpneq_osss xmm2,xmm6,xmm4 vcmpneq_osss xmm2,xmm6,DWORD PTR [rcx] + vcmpneq_osss xmm2,xmm6,[rcx] vcmpge_oqss xmm2,xmm6,xmm4 vcmpge_oqss xmm2,xmm6,DWORD PTR [rcx] + vcmpge_oqss xmm2,xmm6,[rcx] vcmpgt_oqss xmm2,xmm6,xmm4 vcmpgt_oqss xmm2,xmm6,DWORD PTR [rcx] + vcmpgt_oqss xmm2,xmm6,[rcx] vcmptrue_usss xmm2,xmm6,xmm4 vcmptrue_usss xmm2,xmm6,DWORD PTR [rcx] + vcmptrue_usss xmm2,xmm6,[rcx] # Tests for op mem32, ymm vbroadcastss ymm4,DWORD PTR [rcx] + vbroadcastss ymm4,[rcx] # Tests for op xmm/mem32, xmm vcomiss xmm6,xmm4 vcomiss xmm4,DWORD PTR [rcx] + vcomiss xmm4,[rcx] vpmovsxbd xmm6,xmm4 vpmovsxbd xmm4,DWORD PTR [rcx] + vpmovsxbd xmm4,[rcx] vpmovsxwq xmm6,xmm4 vpmovsxwq xmm4,DWORD PTR [rcx] + vpmovsxwq xmm4,[rcx] vpmovzxbd xmm6,xmm4 vpmovzxbd xmm4,DWORD PTR [rcx] + vpmovzxbd xmm4,[rcx] vpmovzxwq xmm6,xmm4 vpmovzxwq xmm4,DWORD PTR [rcx] + vpmovzxwq xmm4,[rcx] vucomiss xmm6,xmm4 vucomiss xmm4,DWORD PTR [rcx] + vucomiss xmm4,[rcx] # Tests for op mem32, xmm vbroadcastss xmm4,DWORD PTR [rcx] + vbroadcastss xmm4,[rcx] vmovss xmm4,DWORD PTR [rcx] + vmovss xmm4,[rcx] # Tests for op xmm, mem32 vmovss DWORD PTR [rcx],xmm4 + vmovss [rcx],xmm4 # Tests for op xmm, regl/mem32 # Tests for op regl/mem32, xmm @@ -2905,18 +3478,24 @@ _start: vmovd DWORD PTR [rcx],xmm4 vmovd xmm4,ecx vmovd xmm4,DWORD PTR [rcx] + vmovd [rcx],xmm4 + vmovd xmm4,[rcx] # Tests for op xmm/mem32, regl vcvtss2si ecx,xmm4 vcvtss2si ecx,DWORD PTR [rcx] + vcvtss2si ecx,[rcx] vcvttss2si ecx,xmm4 vcvttss2si ecx,DWORD PTR [rcx] + vcvttss2si ecx,[rcx] # Tests for op xmm/mem32, regq vcvtss2si rcx,xmm4 vcvtss2si rcx,DWORD PTR [rcx] + vcvtss2si rcx,[rcx] vcvttss2si rcx,xmm4 vcvttss2si rcx,DWORD PTR [rcx] + vcvttss2si rcx,[rcx] # Tests for op xmm, regq vmovmskpd rcx,xmm4 @@ -2926,11 +3505,14 @@ _start: # Tests for op imm8, xmm, regq/mem32 vextractps rcx,xmm4,100 vextractps DWORD PTR [rcx],xmm4,100 + vextractps [rcx],xmm4,100 # Tests for op imm8, xmm, regl/mem32 vpextrd ecx,xmm4,100 vpextrd DWORD PTR [rcx],xmm4,100 + vpextrd [rcx],xmm4,100 vextractps ecx,xmm4,100 vextractps DWORD PTR [rcx],xmm4,100 + vextractps [rcx],xmm4,100 # Tests for op regl/mem32, xmm, xmm vcvtsi2sd xmm6,xmm4,ecx @@ -2941,65 +3523,86 @@ _start: # Tests for op imm8, xmm/mem32, xmm, xmm vcmpss xmm2,xmm6,xmm4,100 vcmpss xmm2,xmm6,DWORD PTR [rcx],100 + vcmpss xmm2,xmm6,[rcx],100 vinsertps xmm2,xmm6,xmm4,100 vinsertps xmm2,xmm6,DWORD PTR [rcx],100 + vinsertps xmm2,xmm6,[rcx],100 vroundss xmm2,xmm6,xmm4,100 vroundss xmm2,xmm6,DWORD PTR [rcx],100 + vroundss xmm2,xmm6,[rcx],100 # Tests for op xmm/mem32, xmm, xmm, xmm # Tests for op xmm, xmm/mem32, xmm, xmm vfmaddss xmm7,xmm2,xmm6,xmm4 vfmaddss xmm7,xmm2,xmm6,DWORD PTR [rcx] vfmaddss xmm7,xmm2,DWORD PTR [rcx],xmm4 + vfmaddss xmm7,xmm2,xmm6,[rcx] + vfmaddss xmm7,xmm2,[rcx],xmm4 vfmsubss xmm7,xmm2,xmm6,xmm4 vfmsubss xmm7,xmm2,xmm6,DWORD PTR [rcx] vfmsubss xmm7,xmm2,DWORD PTR [rcx],xmm4 + vfmsubss xmm7,xmm2,xmm6,[rcx] + vfmsubss xmm7,xmm2,[rcx],xmm4 vfnmaddss xmm7,xmm2,xmm6,xmm4 vfnmaddss xmm7,xmm2,xmm6,DWORD PTR [rcx] vfnmaddss xmm7,xmm2,DWORD PTR [rcx],xmm4 + vfnmaddss xmm7,xmm2,xmm6,[rcx] + vfnmaddss xmm7,xmm2,[rcx],xmm4 vfnmsubss xmm7,xmm2,xmm6,xmm4 vfnmsubss xmm7,xmm2,xmm6,DWORD PTR [rcx] vfnmsubss xmm7,xmm2,DWORD PTR [rcx],xmm4 + vfnmsubss xmm7,xmm2,xmm6,[rcx] + vfnmsubss xmm7,xmm2,[rcx],xmm4 # Tests for op xmm/m16, xmm vpmovsxbq xmm6,xmm4 vpmovsxbq xmm4,WORD PTR [rcx] + vpmovsxbq xmm4,[rcx] vpmovzxbq xmm6,xmm4 vpmovzxbq xmm4,WORD PTR [rcx] + vpmovzxbq xmm4,[rcx] # Tests for op imm8, xmm, regl/mem16 vpextrw ecx,xmm4,100 vpextrw WORD PTR [rcx],xmm4,100 + vpextrw [rcx],xmm4,100 # Tests for op imm8, xmm, regq/mem16 vpextrw rcx,xmm4,100 vpextrw WORD PTR [rcx],xmm4,100 + vpextrw [rcx],xmm4,100 # Tests for op imm8, regl/mem16, xmm, xmm vpinsrw xmm6,xmm4,ecx,100 vpinsrw xmm6,xmm4,WORD PTR [rcx],100 + vpinsrw xmm6,xmm4,[rcx],100 vpinsrw xmm6,xmm4,rcx,100 vpinsrw xmm6,xmm4,WORD PTR [rcx],100 + vpinsrw xmm6,xmm4,[rcx],100 # Tests for op imm8, xmm, regl/mem8 vpextrb ecx,xmm4,100 vpextrb BYTE PTR [rcx],xmm4,100 + vpextrb [rcx],xmm4,100 # Tests for op imm8, regl/mem8, xmm, xmm vpinsrb xmm6,xmm4,ecx,100 vpinsrb xmm6,xmm4,BYTE PTR [rcx],100 + vpinsrb xmm6,xmm4,[rcx],100 # Tests for op imm8, xmm, regq vpextrw rcx,xmm4,100 # Tests for op imm8, xmm, regq/mem8 vpextrb rcx,xmm4,100 vpextrb BYTE PTR [rcx],xmm4,100 + vpextrb [rcx],xmm4,100 # Tests for op imm8, regl/mem8, xmm, xmm vpinsrb xmm6,xmm4,ecx,100 vpinsrb xmm6,xmm4,BYTE PTR [rcx],100 + vpinsrb xmm6,xmm4,[rcx],100 # Tests for op xmm, xmm vmaskmovdqu xmm6,xmm4 diff --git a/opcodes/ChangeLog b/opcodes/ChangeLog index e67b93b200..197aedfdda 100644 --- a/opcodes/ChangeLog +++ b/opcodes/ChangeLog @@ -1,3 +1,11 @@ +2008-05-22 H.J. Lu + + PR gas/6517 + * i386-opc.tbl: Break cvtsi2ss/cvtsi2sd/vcvtsi2sd/vcvtsi2ss + into 32bit and 64bit. Remove Reg64|Qword and add + IgnoreSize|No_qSuf on 32bit version. + * i386-tbl.h: Regenerated. + 2008-05-21 H.J. Lu * i386-opc.tbl: Add NoAVX to movdq2q and movq2dq. diff --git a/opcodes/i386-opc.tbl b/opcodes/i386-opc.tbl index 3b8e234788..0881c737c4 100644 --- a/opcodes/i386-opc.tbl +++ b/opcodes/i386-opc.tbl @@ -1169,8 +1169,10 @@ comiss, 2, 0x2f, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_ comiss, 2, 0xf2f, None, 2, CpuSSE, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } cvtpi2ps, 2, 0xf2a, None, 2, CpuSSE, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|NoAVX, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegMMX, RegXMM } cvtps2pi, 2, 0xf2d, None, 2, CpuSSE, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|NoAVX, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegMMX } -cvtsi2ss, 2, 0xf32a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|SSE2AVX, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } -cvtsi2ss, 2, 0xf30f2a, None, 2, CpuSSE, Modrm|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2ss, 2, 0xf32a, None, 1, CpuAVX|CpuNo64, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf|SSE2AVX, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2ss, 2, 0xf32a, None, 1, CpuAVX|Cpu64, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|SSE2AVX, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2ss, 2, 0xf30f2a, None, 2, CpuSSE|CpuNo64, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2ss, 2, 0xf30f2a, None, 2, CpuSSE|Cpu64, Modrm|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } cvtss2si, 2, 0xf32d, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|ToQword|SSE2AVX, { Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, Reg32|Reg64 } cvtss2si, 2, 0xf30f2d, None, 2, CpuSSE, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|ToQword, { Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, Reg32|Reg64 } cvttps2pi, 2, 0xf2c, None, 2, CpuSSE, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|NoAVX, { Xmmword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegMMX } @@ -1351,8 +1353,10 @@ cmpsd, 3, 0xf20fc2, None, 2, CpuSSE2, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|N comisd, 2, 0x662f, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|SSE2AVX, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } comisd, 2, 0x660f2f, None, 2, CpuSSE2, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } cvtpi2pd, 2, 0x660f2a, None, 2, CpuSSE2, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegMMX, RegXMM } -cvtsi2sd, 2, 0xf22a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|SSE2AVX, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } -cvtsi2sd, 2, 0xf20f2a, None, 2, CpuSSE2, Modrm|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2sd, 2, 0xf22a, None, 1, CpuAVX|CpuNo64, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf|SSE2AVX, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2sd, 2, 0xf22a, None, 1, CpuAVX|Cpu64, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|SSE2AVX, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2sd, 2, 0xf20f2a, None, 2, CpuSSE2|CpuNo64, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } +cvtsi2sd, 2, 0xf20f2a, None, 2, CpuSSE2|Cpu64, Modrm|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM } divpd, 2, 0x665e, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|SSE2AVX, { Xmmword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } divpd, 2, 0x660f5e, None, 2, CpuSSE2, Modrm|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Xmmword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } divsd, 2, 0xf25e, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf|SSE2AVX, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM } @@ -1998,8 +2002,10 @@ vcvtps2pd, 2, 0x5a, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf| vcvtps2pd, 2, 0x5a, None, 1, CpuAVX, Modrm|Vex|Vex0F|Vex256|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Xmmword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegYMM } vcvtsd2si, 2, 0xf22d, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|ToDword, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, Reg32|Reg64 } vcvtsd2ss, 3, 0xf25a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM, RegXMM } -vcvtsi2sd, 3, 0xf22a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } -vcvtsi2ss, 3, 0xf32a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } +vcvtsi2sd, 3, 0xf22a, None, 1, CpuAVX|CpuNo64, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } +vcvtsi2sd, 3, 0xf22a, None, 1, CpuAVX|Cpu64, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } +vcvtsi2ss, 3, 0xf32a, None, 1, CpuAVX|CpuNo64, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_qSuf|No_ldSuf, { Reg32|Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } +vcvtsi2ss, 3, 0xf32a, None, 1, CpuAVX|Cpu64, Modrm|Vex|Vex0F|VexNDS|No_bSuf|No_wSuf|No_sSuf|No_ldSuf, { Reg32|Reg64|Dword|Qword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S, RegXMM, RegXMM } vcvtss2sd, 3, 0xf35a, None, 1, CpuAVX, Modrm|Vex|Vex0F|VexNDS|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, RegXMM, RegXMM } vcvtss2si, 2, 0xf32d, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_sSuf|No_ldSuf|ToQword, { Dword|Unspecified|BaseIndex|Disp8|Disp16|Disp32|Disp32S|RegXMM, Reg32|Reg64 } vcvttpd2dq, 2, 0x66e6, None, 1, CpuAVX, Modrm|Vex|Vex0F|IgnoreSize|No_bSuf|No_wSuf|No_lSuf|No_sSuf|No_qSuf|No_ldSuf, { RegXMM, RegXMM } diff --git a/opcodes/i386-tbl.h b/opcodes/i386-tbl.h index 76a3e1a418..a76bd37468 100644 --- a/opcodes/i386-tbl.h +++ b/opcodes/i386-tbl.h @@ -9837,7 +9837,19 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "cvtsi2ss", 2, 0xf32a, None, 1, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, + 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "cvtsi2ss", 2, 0xf32a, None, 1, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0 }, @@ -9849,7 +9861,19 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "cvtsi2ss", 2, 0xf30f2a, None, 2, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "cvtsi2ss", 2, 0xf30f2a, None, 2, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, @@ -11988,7 +12012,19 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "cvtsi2sd", 2, 0xf22a, None, 1, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, + 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "cvtsi2sd", 2, 0xf22a, None, 1, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0 }, @@ -12000,7 +12036,19 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "cvtsi2sd", 2, 0xf20f2a, None, 2, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "cvtsi2sd", 2, 0xf20f2a, None, 2, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, @@ -20016,7 +20064,22 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "vcvtsi2sd", 3, 0xf22a, None, 1, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, + 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "vcvtsi2sd", 3, 0xf22a, None, 1, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, @@ -20031,7 +20094,22 @@ const template i386_optab[] = 0, 0, 0, 0, 0, 0 } } } }, { "vcvtsi2ss", 3, 0xf32a, None, 1, { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, - 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 } }, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0 } }, + { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 1, 0, 1, + 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, + 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, + { { { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 1, 1, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, + 0, 0, 1, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } }, + { { 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0 } } } }, + { "vcvtsi2ss", 3, 0xf32a, None, 1, + { { 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0 } }, { 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 }, -- 2.34.1