Allow a component to remove a port and any user to disconnect one
[babeltrace.git] / include / babeltrace / component / component-sink.h
index d9072c3b2bde6427ccc63766a439764050e8e440..e52716214ae941385414f47638d89ac4594826f6 100644 (file)
@@ -47,8 +47,6 @@ extern struct bt_port *bt_component_sink_get_input_port_at_index(
 extern struct bt_port *bt_component_sink_add_input_port(
                struct bt_component *component, const char *name);
 /* Only allowed during the sink's initialization. */
-extern enum bt_component_status bt_component_sink_remove_input_port(
-               struct bt_component *component, const char *name);
 extern struct bt_port *bt_component_sink_get_default_input_port(
                struct bt_component *component);
 
This page took 0.023703 seconds and 4 git commands to generate.