Component creation
[babeltrace.git] / plugins / plugin.c
index 48ca2134f22b211b53ff7ef9d5ea763e3bfa7f63..025bbfc71c08280d0657ebaad7314937117bb677 100644 (file)
@@ -118,3 +118,18 @@ enum bt_component_status bt_plugin_register_component_classes(
        assert(plugin && factory);
        return plugin->init(factory);
 }
+
+const char *bt_plugin_get_name(struct bt_plugin *plugin)
+{
+       return plugin ? plugin->name : NULL;
+}
+
+const char *bt_plugin_get_author(struct bt_plugin *plugin)
+{
+       return plugin ? plugin->author : NULL;
+}
+
+const char *bt_plugin_get_license(struct bt_plugin *plugin)
+{
+       return plugin ? plugin->license : NULL;
+}
This page took 0.023697 seconds and 4 git commands to generate.