drm/i915: fix up the edp power well check
[deliverable/linux.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
5eddb70b 28#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
a5c961d1 29#define _TRANSCODER(tran, a, b) ((a) + (tran)*((b)-(a)))
5eddb70b 30
2b139522
ED
31#define _PORT(port, a, b) ((a) + (port)*((b)-(a)))
32
6b26c86d
DV
33#define _MASKED_BIT_ENABLE(a) (((a) << 16) | (a))
34#define _MASKED_BIT_DISABLE(a) ((a) << 16)
35
585fb111
JB
36/*
37 * The Bridge device's PCI config space has information about the
38 * fb aperture size and the amount of pre-reserved memory.
95375b7f
DV
39 * This is all handled in the intel-gtt.ko module. i915.ko only
40 * cares about the vga bit for the vga rbiter.
585fb111
JB
41 */
42#define INTEL_GMCH_CTRL 0x52
28d52043 43#define INTEL_GMCH_VGA_DISABLE (1 << 1)
e76e9aeb
BW
44#define SNB_GMCH_CTRL 0x50
45#define SNB_GMCH_GGMS_SHIFT 8 /* GTT Graphics Memory Size */
46#define SNB_GMCH_GGMS_MASK 0x3
47#define SNB_GMCH_GMS_SHIFT 3 /* Graphics Mode Select */
48#define SNB_GMCH_GMS_MASK 0x1f
49
14bc490b 50
585fb111
JB
51/* PCI config space */
52
53#define HPLLCC 0xc0 /* 855 only */
652c393a 54#define GC_CLOCK_CONTROL_MASK (0xf << 0)
585fb111
JB
55#define GC_CLOCK_133_200 (0 << 0)
56#define GC_CLOCK_100_200 (1 << 0)
57#define GC_CLOCK_100_133 (2 << 0)
58#define GC_CLOCK_166_250 (3 << 0)
f97108d1 59#define GCFGC2 0xda
585fb111
JB
60#define GCFGC 0xf0 /* 915+ only */
61#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
62#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
63#define GC_DISPLAY_CLOCK_333_MHZ (4 << 4)
64#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
65#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
66#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
67#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
68#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
69#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
70#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
71#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
72#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
73#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
74#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
75#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
76#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
77#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
78#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
79#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
80#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
81#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
82#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
83#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
585fb111 84#define LBB 0xf4
eeccdcac
KG
85
86/* Graphics reset regs */
0573ed4a
KG
87#define I965_GDRST 0xc0 /* PCI config register */
88#define ILK_GDSR 0x2ca4 /* MCHBAR offset */
eeccdcac
KG
89#define GRDOM_FULL (0<<2)
90#define GRDOM_RENDER (1<<2)
91#define GRDOM_MEDIA (3<<2)
8a5c2ae7 92#define GRDOM_MASK (3<<2)
5ccce180 93#define GRDOM_RESET_ENABLE (1<<0)
585fb111 94
07b7ddd9
JB
95#define GEN6_MBCUNIT_SNPCR 0x900c /* for LLC config */
96#define GEN6_MBC_SNPCR_SHIFT 21
97#define GEN6_MBC_SNPCR_MASK (3<<21)
98#define GEN6_MBC_SNPCR_MAX (0<<21)
99#define GEN6_MBC_SNPCR_MED (1<<21)
100#define GEN6_MBC_SNPCR_LOW (2<<21)
101#define GEN6_MBC_SNPCR_MIN (3<<21) /* only 1/16th of the cache is shared */
102
5eb719cd
DV
103#define GEN6_MBCTL 0x0907c
104#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
105#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
106#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
107#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
108#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
109
cff458c2
EA
110#define GEN6_GDRST 0x941c
111#define GEN6_GRDOM_FULL (1 << 0)
112#define GEN6_GRDOM_RENDER (1 << 1)
113#define GEN6_GRDOM_MEDIA (1 << 2)
114#define GEN6_GRDOM_BLT (1 << 3)
115
5eb719cd
DV
116#define RING_PP_DIR_BASE(ring) ((ring)->mmio_base+0x228)
117#define RING_PP_DIR_BASE_READ(ring) ((ring)->mmio_base+0x518)
118#define RING_PP_DIR_DCLV(ring) ((ring)->mmio_base+0x220)
119#define PP_DIR_DCLV_2G 0xffffffff
120
121#define GAM_ECOCHK 0x4090
122#define ECOCHK_SNB_BIT (1<<10)
e3dff585 123#define HSW_ECOCHK_ARB_PRIO_SOL (1<<6)
5eb719cd
DV
124#define ECOCHK_PPGTT_CACHE64B (0x3<<3)
125#define ECOCHK_PPGTT_CACHE4B (0x0<<3)
a6f429a5
VS
126#define ECOCHK_PPGTT_GFDT_IVB (0x1<<4)
127#define ECOCHK_PPGTT_LLC_IVB (0x1<<3)
128#define ECOCHK_PPGTT_UC_HSW (0x1<<3)
129#define ECOCHK_PPGTT_WT_HSW (0x2<<3)
130#define ECOCHK_PPGTT_WB_HSW (0x3<<3)
5eb719cd 131
48ecfa10 132#define GAC_ECO_BITS 0x14090
3b9d7888 133#define ECOBITS_SNB_BIT (1<<13)
48ecfa10
DV
134#define ECOBITS_PPGTT_CACHE64B (3<<8)
135#define ECOBITS_PPGTT_CACHE4B (0<<8)
136
be901a5a
DV
137#define GAB_CTL 0x24000
138#define GAB_CTL_CONT_AFTER_PAGEFAULT (1<<8)
139
585fb111
JB
140/* VGA stuff */
141
142#define VGA_ST01_MDA 0x3ba
143#define VGA_ST01_CGA 0x3da
144
145#define VGA_MSR_WRITE 0x3c2
146#define VGA_MSR_READ 0x3cc
147#define VGA_MSR_MEM_EN (1<<1)
148#define VGA_MSR_CGA_MODE (1<<0)
149
56a12a50
VS
150/*
151 * SR01 is the only VGA register touched on non-UMS setups.
152 * VLV doesn't do UMS, so the sequencer index/data registers
153 * are the only VGA registers which need to include
154 * display_mmio_offset.
155 */
156#define VGA_SR_INDEX (dev_priv->info->display_mmio_offset + 0x3c4)
f930ddd0 157#define SR01 1
56a12a50 158#define VGA_SR_DATA (dev_priv->info->display_mmio_offset + 0x3c5)
585fb111
JB
159
160#define VGA_AR_INDEX 0x3c0
161#define VGA_AR_VID_EN (1<<5)
162#define VGA_AR_DATA_WRITE 0x3c0
163#define VGA_AR_DATA_READ 0x3c1
164
165#define VGA_GR_INDEX 0x3ce
166#define VGA_GR_DATA 0x3cf
167/* GR05 */
168#define VGA_GR_MEM_READ_MODE_SHIFT 3
169#define VGA_GR_MEM_READ_MODE_PLANE 1
170/* GR06 */
171#define VGA_GR_MEM_MODE_MASK 0xc
172#define VGA_GR_MEM_MODE_SHIFT 2
173#define VGA_GR_MEM_A0000_AFFFF 0
174#define VGA_GR_MEM_A0000_BFFFF 1
175#define VGA_GR_MEM_B0000_B7FFF 2
176#define VGA_GR_MEM_B0000_BFFFF 3
177
178#define VGA_DACMASK 0x3c6
179#define VGA_DACRX 0x3c7
180#define VGA_DACWX 0x3c8
181#define VGA_DACDATA 0x3c9
182
183#define VGA_CR_INDEX_MDA 0x3b4
184#define VGA_CR_DATA_MDA 0x3b5
185#define VGA_CR_INDEX_CGA 0x3d4
186#define VGA_CR_DATA_CGA 0x3d5
187
188/*
189 * Memory interface instructions used by the kernel
190 */
191#define MI_INSTR(opcode, flags) (((opcode) << 23) | (flags))
192
193#define MI_NOOP MI_INSTR(0, 0)
194#define MI_USER_INTERRUPT MI_INSTR(0x02, 0)
195#define MI_WAIT_FOR_EVENT MI_INSTR(0x03, 0)
02e792fb 196#define MI_WAIT_FOR_OVERLAY_FLIP (1<<16)
585fb111
JB
197#define MI_WAIT_FOR_PLANE_B_FLIP (1<<6)
198#define MI_WAIT_FOR_PLANE_A_FLIP (1<<2)
199#define MI_WAIT_FOR_PLANE_A_SCANLINES (1<<1)
200#define MI_FLUSH MI_INSTR(0x04, 0)
201#define MI_READ_FLUSH (1 << 0)
202#define MI_EXE_FLUSH (1 << 1)
203#define MI_NO_WRITE_FLUSH (1 << 2)
204#define MI_SCENE_COUNT (1 << 3) /* just increment scene count */
205#define MI_END_SCENE (1 << 4) /* flush binner and incr scene count */
1cafd347 206#define MI_INVALIDATE_ISP (1 << 5) /* invalidate indirect state pointers */
585fb111 207#define MI_BATCH_BUFFER_END MI_INSTR(0x0a, 0)
88271da3
JB
208#define MI_SUSPEND_FLUSH MI_INSTR(0x0b, 0)
209#define MI_SUSPEND_FLUSH_EN (1<<0)
585fb111 210#define MI_REPORT_HEAD MI_INSTR(0x07, 0)
0206e353 211#define MI_OVERLAY_FLIP MI_INSTR(0x11, 0)
02e792fb
DV
212#define MI_OVERLAY_CONTINUE (0x0<<21)
213#define MI_OVERLAY_ON (0x1<<21)
214#define MI_OVERLAY_OFF (0x2<<21)
585fb111 215#define MI_LOAD_SCAN_LINES_INCL MI_INSTR(0x12, 0)
6b95a207 216#define MI_DISPLAY_FLIP MI_INSTR(0x14, 2)
1afe3e9d 217#define MI_DISPLAY_FLIP_I915 MI_INSTR(0x14, 1)
6b95a207 218#define MI_DISPLAY_FLIP_PLANE(n) ((n) << 20)
cb05d8de
DV
219/* IVB has funny definitions for which plane to flip. */
220#define MI_DISPLAY_FLIP_IVB_PLANE_A (0 << 19)
221#define MI_DISPLAY_FLIP_IVB_PLANE_B (1 << 19)
222#define MI_DISPLAY_FLIP_IVB_SPRITE_A (2 << 19)
223#define MI_DISPLAY_FLIP_IVB_SPRITE_B (3 << 19)
224#define MI_DISPLAY_FLIP_IVB_PLANE_C (4 << 19)
225#define MI_DISPLAY_FLIP_IVB_SPRITE_C (5 << 19)
e37ec39b
BW
226#define MI_ARB_ON_OFF MI_INSTR(0x08, 0)
227#define MI_ARB_ENABLE (1<<0)
228#define MI_ARB_DISABLE (0<<0)
cb05d8de 229
aa40d6bb
ZN
230#define MI_SET_CONTEXT MI_INSTR(0x18, 0)
231#define MI_MM_SPACE_GTT (1<<8)
232#define MI_MM_SPACE_PHYSICAL (0<<8)
233#define MI_SAVE_EXT_STATE_EN (1<<3)
234#define MI_RESTORE_EXT_STATE_EN (1<<2)
88271da3 235#define MI_FORCE_RESTORE (1<<1)
aa40d6bb 236#define MI_RESTORE_INHIBIT (1<<0)
585fb111
JB
237#define MI_STORE_DWORD_IMM MI_INSTR(0x20, 1)
238#define MI_MEM_VIRTUAL (1 << 22) /* 965+ only */
239#define MI_STORE_DWORD_INDEX MI_INSTR(0x21, 1)
240#define MI_STORE_DWORD_INDEX_SHIFT 2
c6642782
DV
241/* Official intel docs are somewhat sloppy concerning MI_LOAD_REGISTER_IMM:
242 * - Always issue a MI_NOOP _before_ the MI_LOAD_REGISTER_IMM - otherwise hw
243 * simply ignores the register load under certain conditions.
244 * - One can actually load arbitrary many arbitrary registers: Simply issue x
245 * address/value pairs. Don't overdue it, though, x <= 2^4 must hold!
246 */
247#define MI_LOAD_REGISTER_IMM(x) MI_INSTR(0x22, 2*x-1)
71a77e07 248#define MI_FLUSH_DW MI_INSTR(0x26, 1) /* for GEN6 */
9a289771
JB
249#define MI_FLUSH_DW_STORE_INDEX (1<<21)
250#define MI_INVALIDATE_TLB (1<<18)
251#define MI_FLUSH_DW_OP_STOREDW (1<<14)
252#define MI_INVALIDATE_BSD (1<<7)
253#define MI_FLUSH_DW_USE_GTT (1<<2)
254#define MI_FLUSH_DW_USE_PPGTT (0<<2)
585fb111 255#define MI_BATCH_BUFFER MI_INSTR(0x30, 1)
d7d4eedd
CW
256#define MI_BATCH_NON_SECURE (1)
257/* for snb/ivb/vlv this also means "batch in ppgtt" when ppgtt is enabled. */
258#define MI_BATCH_NON_SECURE_I965 (1<<8)
259#define MI_BATCH_PPGTT_HSW (1<<8)
260#define MI_BATCH_NON_SECURE_HSW (1<<13)
585fb111 261#define MI_BATCH_BUFFER_START MI_INSTR(0x31, 0)
65f56876 262#define MI_BATCH_GTT (2<<6) /* aliased with (1<<7) on gen4 */
1ec14ad3
CW
263#define MI_SEMAPHORE_MBOX MI_INSTR(0x16, 1) /* gen6+ */
264#define MI_SEMAPHORE_GLOBAL_GTT (1<<22)
265#define MI_SEMAPHORE_UPDATE (1<<21)
266#define MI_SEMAPHORE_COMPARE (1<<20)
267#define MI_SEMAPHORE_REGISTER (1<<18)
1950de14
BW
268#define MI_SEMAPHORE_SYNC_VR (0<<16) /* RCS wait for VCS (RVSYNC) */
269#define MI_SEMAPHORE_SYNC_VER (1<<16) /* RCS wait for VECS (RVESYNC) */
270#define MI_SEMAPHORE_SYNC_BR (2<<16) /* RCS wait for BCS (RBSYNC) */
271#define MI_SEMAPHORE_SYNC_BV (0<<16) /* VCS wait for BCS (VBSYNC) */
272#define MI_SEMAPHORE_SYNC_VEV (1<<16) /* VCS wait for VECS (VVESYNC) */
273#define MI_SEMAPHORE_SYNC_RV (2<<16) /* VCS wait for RCS (VRSYNC) */
274#define MI_SEMAPHORE_SYNC_RB (0<<16) /* BCS wait for RCS (BRSYNC) */
275#define MI_SEMAPHORE_SYNC_VEB (1<<16) /* BCS wait for VECS (BVESYNC) */
276#define MI_SEMAPHORE_SYNC_VB (2<<16) /* BCS wait for VCS (BVSYNC) */
277#define MI_SEMAPHORE_SYNC_BVE (0<<16) /* VECS wait for BCS (VEBSYNC) */
278#define MI_SEMAPHORE_SYNC_VVE (1<<16) /* VECS wait for VCS (VEVSYNC) */
279#define MI_SEMAPHORE_SYNC_RVE (2<<16) /* VECS wait for RCS (VERSYNC) */
280#define MI_SEMAPHORE_SYNC_INVALID (3<<16)
585fb111
JB
281/*
282 * 3D instructions used by the kernel
283 */
284#define GFX_INSTR(opcode, flags) ((0x3 << 29) | ((opcode) << 24) | (flags))
285
286#define GFX_OP_RASTER_RULES ((0x3<<29)|(0x7<<24))
287#define GFX_OP_SCISSOR ((0x3<<29)|(0x1c<<24)|(0x10<<19))
288#define SC_UPDATE_SCISSOR (0x1<<1)
289#define SC_ENABLE_MASK (0x1<<0)
290#define SC_ENABLE (0x1<<0)
291#define GFX_OP_LOAD_INDIRECT ((0x3<<29)|(0x1d<<24)|(0x7<<16))
292#define GFX_OP_SCISSOR_INFO ((0x3<<29)|(0x1d<<24)|(0x81<<16)|(0x1))
293#define SCI_YMIN_MASK (0xffff<<16)
294#define SCI_XMIN_MASK (0xffff<<0)
295#define SCI_YMAX_MASK (0xffff<<16)
296#define SCI_XMAX_MASK (0xffff<<0)
297#define GFX_OP_SCISSOR_ENABLE ((0x3<<29)|(0x1c<<24)|(0x10<<19))
298#define GFX_OP_SCISSOR_RECT ((0x3<<29)|(0x1d<<24)|(0x81<<16)|1)
299#define GFX_OP_COLOR_FACTOR ((0x3<<29)|(0x1d<<24)|(0x1<<16)|0x0)
300#define GFX_OP_STIPPLE ((0x3<<29)|(0x1d<<24)|(0x83<<16))
301#define GFX_OP_MAP_INFO ((0x3<<29)|(0x1d<<24)|0x4)
302#define GFX_OP_DESTBUFFER_VARS ((0x3<<29)|(0x1d<<24)|(0x85<<16)|0x0)
303#define GFX_OP_DESTBUFFER_INFO ((0x3<<29)|(0x1d<<24)|(0x8e<<16)|1)
304#define GFX_OP_DRAWRECT_INFO ((0x3<<29)|(0x1d<<24)|(0x80<<16)|(0x3))
305#define GFX_OP_DRAWRECT_INFO_I965 ((0x7900<<16)|0x2)
306#define SRC_COPY_BLT_CMD ((2<<29)|(0x43<<22)|4)
307#define XY_SRC_COPY_BLT_CMD ((2<<29)|(0x53<<22)|6)
308#define XY_MONO_SRC_COPY_IMM_BLT ((2<<29)|(0x71<<22)|5)
309#define XY_SRC_COPY_BLT_WRITE_ALPHA (1<<21)
310#define XY_SRC_COPY_BLT_WRITE_RGB (1<<20)
311#define BLT_DEPTH_8 (0<<24)
312#define BLT_DEPTH_16_565 (1<<24)
313#define BLT_DEPTH_16_1555 (2<<24)
314#define BLT_DEPTH_32 (3<<24)
315#define BLT_ROP_GXCOPY (0xcc<<16)
316#define XY_SRC_COPY_BLT_SRC_TILED (1<<15) /* 965+ only */
317#define XY_SRC_COPY_BLT_DST_TILED (1<<11) /* 965+ only */
318#define CMD_OP_DISPLAYBUFFER_INFO ((0x0<<29)|(0x14<<23)|2)
319#define ASYNC_FLIP (1<<22)
320#define DISPLAY_PLANE_A (0<<20)
321#define DISPLAY_PLANE_B (1<<20)
fcbc34e4 322#define GFX_OP_PIPE_CONTROL(len) ((0x3<<29)|(0x3<<27)|(0x2<<24)|(len-2))
b9e1faa7 323#define PIPE_CONTROL_GLOBAL_GTT_IVB (1<<24) /* gen7+ */
8d315287 324#define PIPE_CONTROL_CS_STALL (1<<20)
cc0f6398 325#define PIPE_CONTROL_TLB_INVALIDATE (1<<18)
9d971b37
KG
326#define PIPE_CONTROL_QW_WRITE (1<<14)
327#define PIPE_CONTROL_DEPTH_STALL (1<<13)
328#define PIPE_CONTROL_WRITE_FLUSH (1<<12)
8d315287 329#define PIPE_CONTROL_RENDER_TARGET_CACHE_FLUSH (1<<12) /* gen6+ */
9d971b37
KG
330#define PIPE_CONTROL_INSTRUCTION_CACHE_INVALIDATE (1<<11) /* MBZ on Ironlake */
331#define PIPE_CONTROL_TEXTURE_CACHE_INVALIDATE (1<<10) /* GM45+ only */
332#define PIPE_CONTROL_INDIRECT_STATE_DISABLE (1<<9)
333#define PIPE_CONTROL_NOTIFY (1<<8)
8d315287
JB
334#define PIPE_CONTROL_VF_CACHE_INVALIDATE (1<<4)
335#define PIPE_CONTROL_CONST_CACHE_INVALIDATE (1<<3)
336#define PIPE_CONTROL_STATE_CACHE_INVALIDATE (1<<2)
9d971b37 337#define PIPE_CONTROL_STALL_AT_SCOREBOARD (1<<1)
8d315287 338#define PIPE_CONTROL_DEPTH_CACHE_FLUSH (1<<0)
e552eb70 339#define PIPE_CONTROL_GLOBAL_GTT (1<<2) /* in addr dword */
585fb111 340
dc96e9b8
CW
341
342/*
343 * Reset registers
344 */
345#define DEBUG_RESET_I830 0x6070
346#define DEBUG_RESET_FULL (1<<7)
347#define DEBUG_RESET_RENDER (1<<8)
348#define DEBUG_RESET_DISPLAY (1<<9)
349
57f350b6 350/*
5a09ae9f
JN
351 * IOSF sideband
352 */
353#define VLV_IOSF_DOORBELL_REQ (VLV_DISPLAY_BASE + 0x2100)
354#define IOSF_DEVFN_SHIFT 24
355#define IOSF_OPCODE_SHIFT 16
356#define IOSF_PORT_SHIFT 8
357#define IOSF_BYTE_ENABLES_SHIFT 4
358#define IOSF_BAR_SHIFT 1
359#define IOSF_SB_BUSY (1<<0)
360#define IOSF_PORT_PUNIT 0x4
361#define IOSF_PORT_NC 0x11
362#define IOSF_PORT_DPIO 0x12
363#define VLV_IOSF_DATA (VLV_DISPLAY_BASE + 0x2104)
364#define VLV_IOSF_ADDR (VLV_DISPLAY_BASE + 0x2108)
365
366#define PUNIT_OPCODE_REG_READ 6
367#define PUNIT_OPCODE_REG_WRITE 7
368
369#define PUNIT_REG_GPU_LFM 0xd3
370#define PUNIT_REG_GPU_FREQ_REQ 0xd4
371#define PUNIT_REG_GPU_FREQ_STS 0xd8
372#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
373
374#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
375#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
376
377#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
378#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
379#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
380#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
381#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
382#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
383#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
384#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
385#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
386#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
387
388/*
389 * DPIO - a special bus for various display related registers to hide behind
54d9d493
VS
390 *
391 * DPIO is VLV only.
598fac6b
DV
392 *
393 * Note: digital port B is DDI0, digital pot C is DDI1
57f350b6 394 */
5a09ae9f
JN
395#define DPIO_DEVFN 0
396#define DPIO_OPCODE_REG_WRITE 1
397#define DPIO_OPCODE_REG_READ 0
398
54d9d493 399#define DPIO_CTL (VLV_DISPLAY_BASE + 0x2110)
57f350b6
JB
400#define DPIO_MODSEL1 (1<<3) /* if ref clk b == 27 */
401#define DPIO_MODSEL0 (1<<2) /* if ref clk a == 27 */
402#define DPIO_SFR_BYPASS (1<<1)
403#define DPIO_RESET (1<<0)
404
598fac6b
DV
405#define _DPIO_TX3_SWING_CTL4_A 0x690
406#define _DPIO_TX3_SWING_CTL4_B 0x2a90
407#define DPIO_TX3_SWING_CTL4(pipe) _PIPE(pipe, _DPIO_TX_SWING_CTL4_A, \
408 _DPIO_TX3_SWING_CTL4_B)
409
410/*
411 * Per pipe/PLL DPIO regs
412 */
57f350b6
JB
413#define _DPIO_DIV_A 0x800c
414#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
415#define DPIO_POST_DIV_DAC 0
416#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
417#define DPIO_POST_DIV_LVDS1 2
418#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
419#define DPIO_K_SHIFT (24) /* 4 bits */
420#define DPIO_P1_SHIFT (21) /* 3 bits */
421#define DPIO_P2_SHIFT (16) /* 5 bits */
422#define DPIO_N_SHIFT (12) /* 4 bits */
423#define DPIO_ENABLE_CALIBRATION (1<<11)
424#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
425#define DPIO_M2DIV_MASK 0xff
426#define _DPIO_DIV_B 0x802c
427#define DPIO_DIV(pipe) _PIPE(pipe, _DPIO_DIV_A, _DPIO_DIV_B)
428
429#define _DPIO_REFSFR_A 0x8014
430#define DPIO_REFSEL_OVERRIDE 27
431#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
432#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
433#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 434#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
435#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
436#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
437#define _DPIO_REFSFR_B 0x8034
438#define DPIO_REFSFR(pipe) _PIPE(pipe, _DPIO_REFSFR_A, _DPIO_REFSFR_B)
439
440#define _DPIO_CORE_CLK_A 0x801c
441#define _DPIO_CORE_CLK_B 0x803c
442#define DPIO_CORE_CLK(pipe) _PIPE(pipe, _DPIO_CORE_CLK_A, _DPIO_CORE_CLK_B)
443
598fac6b
DV
444#define _DPIO_IREF_CTL_A 0x8040
445#define _DPIO_IREF_CTL_B 0x8060
446#define DPIO_IREF_CTL(pipe) _PIPE(pipe, _DPIO_IREF_CTL_A, _DPIO_IREF_CTL_B)
447
448#define DPIO_IREF_BCAST 0xc044
449#define _DPIO_IREF_A 0x8044
450#define _DPIO_IREF_B 0x8064
451#define DPIO_IREF(pipe) _PIPE(pipe, _DPIO_IREF_A, _DPIO_IREF_B)
452
453#define _DPIO_PLL_CML_A 0x804c
454#define _DPIO_PLL_CML_B 0x806c
455#define DPIO_PLL_CML(pipe) _PIPE(pipe, _DPIO_PLL_CML_A, _DPIO_PLL_CML_B)
456
57f350b6
JB
457#define _DPIO_LFP_COEFF_A 0x8048
458#define _DPIO_LFP_COEFF_B 0x8068
459#define DPIO_LFP_COEFF(pipe) _PIPE(pipe, _DPIO_LFP_COEFF_A, _DPIO_LFP_COEFF_B)
460
598fac6b
DV
461#define DPIO_CALIBRATION 0x80ac
462
57f350b6 463#define DPIO_FASTCLK_DISABLE 0x8100
dc96e9b8 464
598fac6b
DV
465/*
466 * Per DDI channel DPIO regs
467 */
468
469#define _DPIO_PCS_TX_0 0x8200
470#define _DPIO_PCS_TX_1 0x8400
471#define DPIO_PCS_TX_LANE2_RESET (1<<16)
472#define DPIO_PCS_TX_LANE1_RESET (1<<7)
473#define DPIO_PCS_TX(port) _PORT(port, _DPIO_PCS_TX_0, _DPIO_PCS_TX_1)
474
475#define _DPIO_PCS_CLK_0 0x8204
476#define _DPIO_PCS_CLK_1 0x8404
477#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1<<22)
478#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1<<21)
479#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
480#define DPIO_PCS_CLK_SOFT_RESET (1<<5)
481#define DPIO_PCS_CLK(port) _PORT(port, _DPIO_PCS_CLK_0, _DPIO_PCS_CLK_1)
482
483#define _DPIO_PCS_CTL_OVR1_A 0x8224
484#define _DPIO_PCS_CTL_OVR1_B 0x8424
485#define DPIO_PCS_CTL_OVER1(port) _PORT(port, _DPIO_PCS_CTL_OVR1_A, \
486 _DPIO_PCS_CTL_OVR1_B)
487
488#define _DPIO_PCS_STAGGER0_A 0x822c
489#define _DPIO_PCS_STAGGER0_B 0x842c
490#define DPIO_PCS_STAGGER0(port) _PORT(port, _DPIO_PCS_STAGGER0_A, \
491 _DPIO_PCS_STAGGER0_B)
492
493#define _DPIO_PCS_STAGGER1_A 0x8230
494#define _DPIO_PCS_STAGGER1_B 0x8430
495#define DPIO_PCS_STAGGER1(port) _PORT(port, _DPIO_PCS_STAGGER1_A, \
496 _DPIO_PCS_STAGGER1_B)
497
498#define _DPIO_PCS_CLOCKBUF0_A 0x8238
499#define _DPIO_PCS_CLOCKBUF0_B 0x8438
500#define DPIO_PCS_CLOCKBUF0(port) _PORT(port, _DPIO_PCS_CLOCKBUF0_A, \
501 _DPIO_PCS_CLOCKBUF0_B)
502
503#define _DPIO_PCS_CLOCKBUF8_A 0x825c
504#define _DPIO_PCS_CLOCKBUF8_B 0x845c
505#define DPIO_PCS_CLOCKBUF8(port) _PORT(port, _DPIO_PCS_CLOCKBUF8_A, \
506 _DPIO_PCS_CLOCKBUF8_B)
507
508#define _DPIO_TX_SWING_CTL2_A 0x8288
509#define _DPIO_TX_SWING_CTL2_B 0x8488
510#define DPIO_TX_SWING_CTL2(port) _PORT(port, _DPIO_TX_SWING_CTL2_A, \
511 _DPIO_TX_SWING_CTL2_B)
512
513#define _DPIO_TX_SWING_CTL3_A 0x828c
514#define _DPIO_TX_SWING_CTL3_B 0x848c
515#define DPIO_TX_SWING_CTL3(port) _PORT(port, _DPIO_TX_SWING_CTL3_A, \
516 _DPIO_TX_SWING_CTL3_B)
517
518#define _DPIO_TX_SWING_CTL4_A 0x8290
519#define _DPIO_TX_SWING_CTL4_B 0x8490
520#define DPIO_TX_SWING_CTL4(port) _PORT(port, _DPIO_TX_SWING_CTL4_A, \
521 _DPIO_TX_SWING_CTL4_B)
522
523#define _DPIO_TX_OCALINIT_0 0x8294
524#define _DPIO_TX_OCALINIT_1 0x8494
525#define DPIO_TX_OCALINIT_EN (1<<31)
526#define DPIO_TX_OCALINIT(port) _PORT(port, _DPIO_TX_OCALINIT_0, \
527 _DPIO_TX_OCALINIT_1)
528
529#define _DPIO_TX_CTL_0 0x82ac
530#define _DPIO_TX_CTL_1 0x84ac
531#define DPIO_TX_CTL(port) _PORT(port, _DPIO_TX_CTL_0, _DPIO_TX_CTL_1)
532
533#define _DPIO_TX_LANE_0 0x82b8
534#define _DPIO_TX_LANE_1 0x84b8
535#define DPIO_TX_LANE(port) _PORT(port, _DPIO_TX_LANE_0, _DPIO_TX_LANE_1)
536
537#define _DPIO_DATA_CHANNEL1 0x8220
538#define _DPIO_DATA_CHANNEL2 0x8420
539#define DPIO_DATA_CHANNEL(port) _PORT(port, _DPIO_DATA_CHANNEL1, _DPIO_DATA_CHANNEL2)
540
541#define _DPIO_PORT0_PCS0 0x0220
542#define _DPIO_PORT0_PCS1 0x0420
543#define _DPIO_PORT1_PCS2 0x2620
544#define _DPIO_PORT1_PCS3 0x2820
545#define DPIO_DATA_LANE_A(port) _PORT(port, _DPIO_PORT0_PCS0, _DPIO_PORT1_PCS2)
546#define DPIO_DATA_LANE_B(port) _PORT(port, _DPIO_PORT0_PCS1, _DPIO_PORT1_PCS3)
547#define DPIO_DATA_CHANNEL1 0x8220
548#define DPIO_DATA_CHANNEL2 0x8420
b56747aa 549
585fb111 550/*
de151cf6 551 * Fence registers
585fb111 552 */
de151cf6 553#define FENCE_REG_830_0 0x2000
dc529a4f 554#define FENCE_REG_945_8 0x3000
de151cf6
JB
555#define I830_FENCE_START_MASK 0x07f80000
556#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 557#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6
JB
558#define I830_FENCE_PITCH_SHIFT 4
559#define I830_FENCE_REG_VALID (1<<0)
c36a2a6d 560#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 561#define I830_FENCE_MAX_PITCH_VAL 6
8d7773a3 562#define I830_FENCE_MAX_SIZE_VAL (1<<8)
de151cf6
JB
563
564#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 565#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 566
de151cf6
JB
567#define FENCE_REG_965_0 0x03000
568#define I965_FENCE_PITCH_SHIFT 2
569#define I965_FENCE_TILING_Y_SHIFT 1
570#define I965_FENCE_REG_VALID (1<<0)
8d7773a3 571#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 572
4e901fdc
EA
573#define FENCE_REG_SANDYBRIDGE_0 0x100000
574#define SANDYBRIDGE_FENCE_PITCH_SHIFT 32
3a062478 575#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 576
f691e2f4
DV
577/* control register for cpu gtt access */
578#define TILECTL 0x101000
579#define TILECTL_SWZCTL (1 << 0)
580#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
581#define TILECTL_BACKSNOOP_DIS (1 << 3)
582
de151cf6
JB
583/*
584 * Instruction and interrupt control regs
585 */
63eeaf38 586#define PGTBL_ER 0x02024
333e9fe9
DV
587#define RENDER_RING_BASE 0x02000
588#define BSD_RING_BASE 0x04000
589#define GEN6_BSD_RING_BASE 0x12000
1950de14 590#define VEBOX_RING_BASE 0x1a000
549f7365 591#define BLT_RING_BASE 0x22000
3d281d8c
DV
592#define RING_TAIL(base) ((base)+0x30)
593#define RING_HEAD(base) ((base)+0x34)
594#define RING_START(base) ((base)+0x38)
595#define RING_CTL(base) ((base)+0x3c)
1ec14ad3
CW
596#define RING_SYNC_0(base) ((base)+0x40)
597#define RING_SYNC_1(base) ((base)+0x44)
1950de14
BW
598#define RING_SYNC_2(base) ((base)+0x48)
599#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
600#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
601#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
602#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
603#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
604#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
605#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
606#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
607#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
608#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
609#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
610#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
ad776f8b 611#define GEN6_NOSYNC 0
8fd26859 612#define RING_MAX_IDLE(base) ((base)+0x54)
3d281d8c
DV
613#define RING_HWS_PGA(base) ((base)+0x80)
614#define RING_HWS_PGA_GEN6(base) ((base)+0x2080)
f691e2f4
DV
615#define ARB_MODE 0x04030
616#define ARB_MODE_SWIZZLE_SNB (1<<4)
617#define ARB_MODE_SWIZZLE_IVB (1<<5)
4593010b 618#define RENDER_HWS_PGA_GEN7 (0x04080)
33f3f518
DV
619#define RING_FAULT_REG(ring) (0x4094 + 0x100*(ring)->id)
620#define DONE_REG 0x40b0
4593010b
EA
621#define BSD_HWS_PGA_GEN7 (0x04180)
622#define BLT_HWS_PGA_GEN7 (0x04280)
9a8a2213 623#define VEBOX_HWS_PGA_GEN7 (0x04380)
3d281d8c 624#define RING_ACTHD(base) ((base)+0x74)
1ec14ad3 625#define RING_NOPID(base) ((base)+0x94)
0f46832f 626#define RING_IMR(base) ((base)+0xa8)
c0c7babc 627#define RING_TIMESTAMP(base) ((base)+0x358)
585fb111
JB
628#define TAIL_ADDR 0x001FFFF8
629#define HEAD_WRAP_COUNT 0xFFE00000
630#define HEAD_WRAP_ONE 0x00200000
631#define HEAD_ADDR 0x001FFFFC
632#define RING_NR_PAGES 0x001FF000
633#define RING_REPORT_MASK 0x00000006
634#define RING_REPORT_64K 0x00000002
635#define RING_REPORT_128K 0x00000004
636#define RING_NO_REPORT 0x00000000
637#define RING_VALID_MASK 0x00000001
638#define RING_VALID 0x00000001
639#define RING_INVALID 0x00000000
4b60e5cb
CW
640#define RING_WAIT_I8XX (1<<0) /* gen2, PRBx_HEAD */
641#define RING_WAIT (1<<11) /* gen3+, PRBx_CTL */
1ec14ad3 642#define RING_WAIT_SEMAPHORE (1<<10) /* gen6+ */
8168bd48
CW
643#if 0
644#define PRB0_TAIL 0x02030
645#define PRB0_HEAD 0x02034
646#define PRB0_START 0x02038
647#define PRB0_CTL 0x0203c
585fb111
JB
648#define PRB1_TAIL 0x02040 /* 915+ only */
649#define PRB1_HEAD 0x02044 /* 915+ only */
650#define PRB1_START 0x02048 /* 915+ only */
651#define PRB1_CTL 0x0204c /* 915+ only */
8168bd48 652#endif
63eeaf38
JB
653#define IPEIR_I965 0x02064
654#define IPEHR_I965 0x02068
655#define INSTDONE_I965 0x0206c
d53bd484
BW
656#define GEN7_INSTDONE_1 0x0206c
657#define GEN7_SC_INSTDONE 0x07100
658#define GEN7_SAMPLER_INSTDONE 0x0e160
659#define GEN7_ROW_INSTDONE 0x0e164
660#define I915_NUM_INSTDONE_REG 4
d27b1e0e
DV
661#define RING_IPEIR(base) ((base)+0x64)
662#define RING_IPEHR(base) ((base)+0x68)
663#define RING_INSTDONE(base) ((base)+0x6c)
c1cd90ed
DV
664#define RING_INSTPS(base) ((base)+0x70)
665#define RING_DMA_FADD(base) ((base)+0x78)
666#define RING_INSTPM(base) ((base)+0xc0)
63eeaf38
JB
667#define INSTPS 0x02070 /* 965+ only */
668#define INSTDONE1 0x0207c /* 965+ only */
585fb111
JB
669#define ACTHD_I965 0x02074
670#define HWS_PGA 0x02080
671#define HWS_ADDRESS_MASK 0xfffff000
672#define HWS_START_ADDRESS_SHIFT 4
97f5ab66
JB
673#define PWRCTXA 0x2088 /* 965GM+ only */
674#define PWRCTX_EN (1<<0)
585fb111 675#define IPEIR 0x02088
63eeaf38
JB
676#define IPEHR 0x0208c
677#define INSTDONE 0x02090
585fb111
JB
678#define NOPID 0x02094
679#define HWSTAM 0x02098
9d2f41fa 680#define DMA_FADD_I8XX 0x020d0
71cf39b1 681
f406839f 682#define ERROR_GEN6 0x040a0
71e172e8 683#define GEN7_ERR_INT 0x44040
de032bf4 684#define ERR_INT_POISON (1<<31)
8664281b
PZ
685#define ERR_INT_MMIO_UNCLAIMED (1<<13)
686#define ERR_INT_FIFO_UNDERRUN_C (1<<6)
687#define ERR_INT_FIFO_UNDERRUN_B (1<<3)
688#define ERR_INT_FIFO_UNDERRUN_A (1<<0)
f406839f 689
3f1e109a
PZ
690#define FPGA_DBG 0x42300
691#define FPGA_DBG_RM_NOCLAIM (1<<31)
692
0f3b6849
CW
693#define DERRMR 0x44050
694
de6e2eaf
EA
695/* GM45+ chicken bits -- debug workaround bits that may be required
696 * for various sorts of correct behavior. The top 16 bits of each are
697 * the enables for writing to the corresponding low bit.
698 */
699#define _3D_CHICKEN 0x02084
4283908e 700#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
de6e2eaf
EA
701#define _3D_CHICKEN2 0x0208c
702/* Disables pipelining of read flushes past the SF-WIZ interface.
703 * Required on all Ironlake steppings according to the B-Spec, but the
704 * particular danger of not doing so is not specified.
705 */
706# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
707#define _3D_CHICKEN3 0x02090
87f8020e 708#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
26b6e44a 709#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
de6e2eaf 710
71cf39b1
EA
711#define MI_MODE 0x0209c
712# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 713# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 714# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
71cf39b1 715
f8f2ac9a 716#define GEN6_GT_MODE 0x20d0
6547fbdb
DV
717#define GEN6_GT_MODE_HI (1 << 9)
718#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
f8f2ac9a 719
1ec14ad3 720#define GFX_MODE 0x02520
b095cd0a 721#define GFX_MODE_GEN7 0x0229c
5eb719cd 722#define RING_MODE_GEN7(ring) ((ring)->mmio_base+0x29c)
1ec14ad3
CW
723#define GFX_RUN_LIST_ENABLE (1<<15)
724#define GFX_TLB_INVALIDATE_ALWAYS (1<<13)
725#define GFX_SURFACE_FAULT_ENABLE (1<<12)
726#define GFX_REPLAY_MODE (1<<11)
727#define GFX_PSMI_GRANULARITY (1<<10)
728#define GFX_PPGTT_ENABLE (1<<9)
729
a7e806de
DV
730#define VLV_DISPLAY_BASE 0x180000
731
585fb111
JB
732#define SCPD0 0x0209c /* 915+ only */
733#define IER 0x020a0
734#define IIR 0x020a4
735#define IMR 0x020a8
736#define ISR 0x020ac
07ec7ec5 737#define VLV_GUNIT_CLOCK_GATE (VLV_DISPLAY_BASE + 0x2060)
2d809570 738#define GCFG_DIS (1<<8)
ff763010
VS
739#define VLV_IIR_RW (VLV_DISPLAY_BASE + 0x2084)
740#define VLV_IER (VLV_DISPLAY_BASE + 0x20a0)
741#define VLV_IIR (VLV_DISPLAY_BASE + 0x20a4)
742#define VLV_IMR (VLV_DISPLAY_BASE + 0x20a8)
743#define VLV_ISR (VLV_DISPLAY_BASE + 0x20ac)
c9cddffc 744#define VLV_PCBR (VLV_DISPLAY_BASE + 0x2120)
90a72f87 745#define DISPLAY_PLANE_FLIP_PENDING(plane) (1<<(11-(plane))) /* A and B only */
585fb111
JB
746#define EIR 0x020b0
747#define EMR 0x020b4
748#define ESR 0x020b8
63eeaf38
JB
749#define GM45_ERROR_PAGE_TABLE (1<<5)
750#define GM45_ERROR_MEM_PRIV (1<<4)
751#define I915_ERROR_PAGE_TABLE (1<<4)
752#define GM45_ERROR_CP_PRIV (1<<3)
753#define I915_ERROR_MEMORY_REFRESH (1<<1)
754#define I915_ERROR_INSTRUCTION (1<<0)
585fb111 755#define INSTPM 0x020c0
ee980b80 756#define INSTPM_SELF_EN (1<<12) /* 915GM only */
8692d00e
CW
757#define INSTPM_AGPBUSY_DIS (1<<11) /* gen3: when disabled, pending interrupts
758 will not assert AGPBUSY# and will only
759 be delivered when out of C3. */
84f9f938 760#define INSTPM_FORCE_ORDERING (1<<7) /* GEN6+ */
585fb111
JB
761#define ACTHD 0x020c8
762#define FW_BLC 0x020d8
8692d00e 763#define FW_BLC2 0x020dc
585fb111 764#define FW_BLC_SELF 0x020e0 /* 915+ only */
ee980b80
LP
765#define FW_BLC_SELF_EN_MASK (1<<31)
766#define FW_BLC_SELF_FIFO_MASK (1<<16) /* 945 only */
767#define FW_BLC_SELF_EN (1<<15) /* 945 only */
7662c8bd
SL
768#define MM_BURST_LENGTH 0x00700000
769#define MM_FIFO_WATERMARK 0x0001F000
770#define LM_BURST_LENGTH 0x00000700
771#define LM_FIFO_WATERMARK 0x0000001F
585fb111 772#define MI_ARB_STATE 0x020e4 /* 915+ only */
45503ded
KP
773
774/* Make render/texture TLB fetches lower priorty than associated data
775 * fetches. This is not turned on by default
776 */
777#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
778
779/* Isoch request wait on GTT enable (Display A/B/C streams).
780 * Make isoch requests stall on the TLB update. May cause
781 * display underruns (test mode only)
782 */
783#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
784
785/* Block grant count for isoch requests when block count is
786 * set to a finite value.
787 */
788#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
789#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
790#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
791#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
792#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
793
794/* Enable render writes to complete in C2/C3/C4 power states.
795 * If this isn't enabled, render writes are prevented in low
796 * power states. That seems bad to me.
797 */
798#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
799
800/* This acknowledges an async flip immediately instead
801 * of waiting for 2TLB fetches.
802 */
803#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
804
805/* Enables non-sequential data reads through arbiter
806 */
0206e353 807#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
808
809/* Disable FSB snooping of cacheable write cycles from binner/render
810 * command stream
811 */
812#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
813
814/* Arbiter time slice for non-isoch streams */
815#define MI_ARB_TIME_SLICE_MASK (7 << 5)
816#define MI_ARB_TIME_SLICE_1 (0 << 5)
817#define MI_ARB_TIME_SLICE_2 (1 << 5)
818#define MI_ARB_TIME_SLICE_4 (2 << 5)
819#define MI_ARB_TIME_SLICE_6 (3 << 5)
820#define MI_ARB_TIME_SLICE_8 (4 << 5)
821#define MI_ARB_TIME_SLICE_10 (5 << 5)
822#define MI_ARB_TIME_SLICE_14 (6 << 5)
823#define MI_ARB_TIME_SLICE_16 (7 << 5)
824
825/* Low priority grace period page size */
826#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
827#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
828
829/* Disable display A/B trickle feed */
830#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
831
832/* Set display plane priority */
833#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
834#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
835
585fb111 836#define CACHE_MODE_0 0x02120 /* 915+ only */
4358a374 837#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1<<8)
585fb111
JB
838#define CM0_IZ_OPT_DISABLE (1<<6)
839#define CM0_ZR_OPT_DISABLE (1<<5)
009be664 840#define CM0_STC_EVICT_DISABLE_LRA_SNB (1<<5)
585fb111
JB
841#define CM0_DEPTH_EVICT_DISABLE (1<<4)
842#define CM0_COLOR_EVICT_DISABLE (1<<3)
843#define CM0_DEPTH_WRITE_DISABLE (1<<1)
844#define CM0_RC_OP_FLUSH_DISABLE (1<<0)
9df30794 845#define BB_ADDR 0x02140 /* 8 bytes */
585fb111 846#define GFX_FLSH_CNTL 0x02170 /* 915+ only */
0f9b91c7
BW
847#define GFX_FLSH_CNTL_GEN6 0x101008
848#define GFX_FLSH_CNTL_EN (1<<0)
1afe3e9d
JB
849#define ECOSKPD 0x021d0
850#define ECO_GATING_CX_ONLY (1<<3)
851#define ECO_FLIP_DONE (1<<0)
585fb111 852
fb046853
JB
853#define CACHE_MODE_1 0x7004 /* IVB+ */
854#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1<<6)
855
4efe0708
JB
856#define GEN6_BLITTER_ECOSKPD 0x221d0
857#define GEN6_BLITTER_LOCK_SHIFT 16
858#define GEN6_BLITTER_FBC_NOTIFY (1<<3)
859
881f47b6 860#define GEN6_BSD_SLEEP_PSMI_CONTROL 0x12050
12f55818
CW
861#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
862#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
863#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
864#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 865
cc609d5d
BW
866/* On modern GEN architectures interrupt control consists of two sets
867 * of registers. The first set pertains to the ring generating the
868 * interrupt. The second control is for the functional block generating the
869 * interrupt. These are PM, GT, DE, etc.
870 *
871 * Luckily *knocks on wood* all the ring interrupt bits match up with the
872 * GT interrupt bits, so we don't need to duplicate the defines.
873 *
874 * These defines should cover us well from SNB->HSW with minor exceptions
875 * it can also work on ILK.
876 */
877#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
878#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
879#define GT_BLT_USER_INTERRUPT (1 << 22)
880#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
881#define GT_BSD_USER_INTERRUPT (1 << 12)
882#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
883#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
884#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
885#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
886#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
887#define GT_RENDER_USER_INTERRUPT (1 << 0)
888
12638c57
BW
889#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
890#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
891
cc609d5d
BW
892/* These are all the "old" interrupts */
893#define ILK_BSD_USER_INTERRUPT (1<<5)
894#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1<<18)
895#define I915_DISPLAY_PORT_INTERRUPT (1<<17)
896#define I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT (1<<15)
897#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1<<14) /* p-state */
898#define I915_HWB_OOM_INTERRUPT (1<<13)
899#define I915_SYNC_STATUS_INTERRUPT (1<<12)
900#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1<<11)
901#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1<<10)
902#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1<<9)
903#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1<<8)
904#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1<<7)
905#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1<<6)
906#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1<<5)
907#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1<<4)
908#define I915_DEBUG_INTERRUPT (1<<2)
909#define I915_USER_INTERRUPT (1<<1)
910#define I915_ASLE_INTERRUPT (1<<0)
911#define I915_BSD_USER_INTERRUPT (1 << 25)
881f47b6
XH
912
913#define GEN6_BSD_RNCID 0x12198
914
a1e969e0
BW
915#define GEN7_FF_THREAD_MODE 0x20a0
916#define GEN7_FF_SCHED_MASK 0x0077070
917#define GEN7_FF_TS_SCHED_HS1 (0x5<<16)
918#define GEN7_FF_TS_SCHED_HS0 (0x3<<16)
919#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1<<16)
920#define GEN7_FF_TS_SCHED_HW (0x0<<16) /* Default */
41c0b3a8 921#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
a1e969e0
BW
922#define GEN7_FF_VS_SCHED_HS1 (0x5<<12)
923#define GEN7_FF_VS_SCHED_HS0 (0x3<<12)
924#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1<<12) /* Default */
925#define GEN7_FF_VS_SCHED_HW (0x0<<12)
926#define GEN7_FF_DS_SCHED_HS1 (0x5<<4)
927#define GEN7_FF_DS_SCHED_HS0 (0x3<<4)
928#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1<<4) /* Default */
929#define GEN7_FF_DS_SCHED_HW (0x0<<4)
930
585fb111
JB
931/*
932 * Framebuffer compression (915+ only)
933 */
934
935#define FBC_CFB_BASE 0x03200 /* 4k page aligned */
936#define FBC_LL_BASE 0x03204 /* 4k page aligned */
937#define FBC_CONTROL 0x03208
938#define FBC_CTL_EN (1<<31)
939#define FBC_CTL_PERIODIC (1<<30)
940#define FBC_CTL_INTERVAL_SHIFT (16)
941#define FBC_CTL_UNCOMPRESSIBLE (1<<14)
49677901 942#define FBC_CTL_C3_IDLE (1<<13)
585fb111
JB
943#define FBC_CTL_STRIDE_SHIFT (5)
944#define FBC_CTL_FENCENO (1<<0)
945#define FBC_COMMAND 0x0320c
946#define FBC_CMD_COMPRESS (1<<0)
947#define FBC_STATUS 0x03210
948#define FBC_STAT_COMPRESSING (1<<31)
949#define FBC_STAT_COMPRESSED (1<<30)
950#define FBC_STAT_MODIFIED (1<<29)
951#define FBC_STAT_CURRENT_LINE (1<<0)
952#define FBC_CONTROL2 0x03214
953#define FBC_CTL_FENCE_DBL (0<<4)
954#define FBC_CTL_IDLE_IMM (0<<2)
955#define FBC_CTL_IDLE_FULL (1<<2)
956#define FBC_CTL_IDLE_LINE (2<<2)
957#define FBC_CTL_IDLE_DEBUG (3<<2)
958#define FBC_CTL_CPU_FENCE (1<<1)
959#define FBC_CTL_PLANEA (0<<0)
960#define FBC_CTL_PLANEB (1<<0)
961#define FBC_FENCE_OFF 0x0321b
80824003 962#define FBC_TAG 0x03300
585fb111
JB
963
964#define FBC_LL_SIZE (1536)
965
74dff282
JB
966/* Framebuffer compression for GM45+ */
967#define DPFC_CB_BASE 0x3200
968#define DPFC_CONTROL 0x3208
969#define DPFC_CTL_EN (1<<31)
970#define DPFC_CTL_PLANEA (0<<30)
971#define DPFC_CTL_PLANEB (1<<30)
abe959c7 972#define IVB_DPFC_CTL_PLANE_SHIFT (29)
74dff282 973#define DPFC_CTL_FENCE_EN (1<<29)
abe959c7 974#define IVB_DPFC_CTL_FENCE_EN (1<<28)
9ce9d069 975#define DPFC_CTL_PERSISTENT_MODE (1<<25)
74dff282
JB
976#define DPFC_SR_EN (1<<10)
977#define DPFC_CTL_LIMIT_1X (0<<6)
978#define DPFC_CTL_LIMIT_2X (1<<6)
979#define DPFC_CTL_LIMIT_4X (2<<6)
980#define DPFC_RECOMP_CTL 0x320c
981#define DPFC_RECOMP_STALL_EN (1<<27)
982#define DPFC_RECOMP_STALL_WM_SHIFT (16)
983#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
984#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
985#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
986#define DPFC_STATUS 0x3210
987#define DPFC_INVAL_SEG_SHIFT (16)
988#define DPFC_INVAL_SEG_MASK (0x07ff0000)
989#define DPFC_COMP_SEG_SHIFT (0)
990#define DPFC_COMP_SEG_MASK (0x000003ff)
991#define DPFC_STATUS2 0x3214
992#define DPFC_FENCE_YOFF 0x3218
993#define DPFC_CHICKEN 0x3224
994#define DPFC_HT_MODIFY (1<<31)
995
b52eb4dc
ZY
996/* Framebuffer compression for Ironlake */
997#define ILK_DPFC_CB_BASE 0x43200
998#define ILK_DPFC_CONTROL 0x43208
999/* The bit 28-8 is reserved */
1000#define DPFC_RESERVED (0x1FFFFF00)
1001#define ILK_DPFC_RECOMP_CTL 0x4320c
1002#define ILK_DPFC_STATUS 0x43210
1003#define ILK_DPFC_FENCE_YOFF 0x43218
1004#define ILK_DPFC_CHICKEN 0x43224
1005#define ILK_FBC_RT_BASE 0x2128
1006#define ILK_FBC_RT_VALID (1<<0)
abe959c7 1007#define SNB_FBC_FRONT_BUFFER (1<<1)
b52eb4dc
ZY
1008
1009#define ILK_DISPLAY_CHICKEN1 0x42000
1010#define ILK_FBCQ_DIS (1<<22)
0206e353 1011#define ILK_PABSTRETCH_DIS (1<<21)
1398261a 1012
b52eb4dc 1013
9c04f015
YL
1014/*
1015 * Framebuffer compression for Sandybridge
1016 *
1017 * The following two registers are of type GTTMMADR
1018 */
1019#define SNB_DPFC_CTL_SA 0x100100
1020#define SNB_CPU_FENCE_ENABLE (1<<29)
1021#define DPFC_CPU_FENCE_OFFSET 0x100104
1022
abe959c7
RV
1023/* Framebuffer compression for Ivybridge */
1024#define IVB_FBC_RT_BASE 0x7020
1025
9c04f015 1026
28554164
RV
1027#define _HSW_PIPE_SLICE_CHICKEN_1_A 0x420B0
1028#define _HSW_PIPE_SLICE_CHICKEN_1_B 0x420B4
1029#define HSW_BYPASS_FBC_QUEUE (1<<22)
1030#define HSW_PIPE_SLICE_CHICKEN_1(pipe) _PIPE(pipe, + \
1031 _HSW_PIPE_SLICE_CHICKEN_1_A, + \
1032 _HSW_PIPE_SLICE_CHICKEN_1_B)
1033
d89f2071
RV
1034#define HSW_CLKGATE_DISABLE_PART_1 0x46500
1035#define HSW_DPFC_GATING_DISABLE (1<<23)
1036
585fb111
JB
1037/*
1038 * GPIO regs
1039 */
1040#define GPIOA 0x5010
1041#define GPIOB 0x5014
1042#define GPIOC 0x5018
1043#define GPIOD 0x501c
1044#define GPIOE 0x5020
1045#define GPIOF 0x5024
1046#define GPIOG 0x5028
1047#define GPIOH 0x502c
1048# define GPIO_CLOCK_DIR_MASK (1 << 0)
1049# define GPIO_CLOCK_DIR_IN (0 << 1)
1050# define GPIO_CLOCK_DIR_OUT (1 << 1)
1051# define GPIO_CLOCK_VAL_MASK (1 << 2)
1052# define GPIO_CLOCK_VAL_OUT (1 << 3)
1053# define GPIO_CLOCK_VAL_IN (1 << 4)
1054# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
1055# define GPIO_DATA_DIR_MASK (1 << 8)
1056# define GPIO_DATA_DIR_IN (0 << 9)
1057# define GPIO_DATA_DIR_OUT (1 << 9)
1058# define GPIO_DATA_VAL_MASK (1 << 10)
1059# define GPIO_DATA_VAL_OUT (1 << 11)
1060# define GPIO_DATA_VAL_IN (1 << 12)
1061# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
1062
f899fc64
CW
1063#define GMBUS0 0x5100 /* clock/port select */
1064#define GMBUS_RATE_100KHZ (0<<8)
1065#define GMBUS_RATE_50KHZ (1<<8)
1066#define GMBUS_RATE_400KHZ (2<<8) /* reserved on Pineview */
1067#define GMBUS_RATE_1MHZ (3<<8) /* reserved on Pineview */
1068#define GMBUS_HOLD_EXT (1<<7) /* 300ns hold time, rsvd on Pineview */
1069#define GMBUS_PORT_DISABLED 0
1070#define GMBUS_PORT_SSC 1
1071#define GMBUS_PORT_VGADDC 2
1072#define GMBUS_PORT_PANEL 3
1073#define GMBUS_PORT_DPC 4 /* HDMIC */
1074#define GMBUS_PORT_DPB 5 /* SDVO, HDMIB */
e4fd17af
DK
1075#define GMBUS_PORT_DPD 6 /* HDMID */
1076#define GMBUS_PORT_RESERVED 7 /* 7 reserved */
2ed06c93 1077#define GMBUS_NUM_PORTS (GMBUS_PORT_DPD - GMBUS_PORT_SSC + 1)
f899fc64
CW
1078#define GMBUS1 0x5104 /* command/status */
1079#define GMBUS_SW_CLR_INT (1<<31)
1080#define GMBUS_SW_RDY (1<<30)
1081#define GMBUS_ENT (1<<29) /* enable timeout */
1082#define GMBUS_CYCLE_NONE (0<<25)
1083#define GMBUS_CYCLE_WAIT (1<<25)
1084#define GMBUS_CYCLE_INDEX (2<<25)
1085#define GMBUS_CYCLE_STOP (4<<25)
1086#define GMBUS_BYTE_COUNT_SHIFT 16
1087#define GMBUS_SLAVE_INDEX_SHIFT 8
1088#define GMBUS_SLAVE_ADDR_SHIFT 1
1089#define GMBUS_SLAVE_READ (1<<0)
1090#define GMBUS_SLAVE_WRITE (0<<0)
1091#define GMBUS2 0x5108 /* status */
1092#define GMBUS_INUSE (1<<15)
1093#define GMBUS_HW_WAIT_PHASE (1<<14)
1094#define GMBUS_STALL_TIMEOUT (1<<13)
1095#define GMBUS_INT (1<<12)
1096#define GMBUS_HW_RDY (1<<11)
1097#define GMBUS_SATOER (1<<10)
1098#define GMBUS_ACTIVE (1<<9)
1099#define GMBUS3 0x510c /* data buffer bytes 3-0 */
1100#define GMBUS4 0x5110 /* interrupt mask (Pineview+) */
1101#define GMBUS_SLAVE_TIMEOUT_EN (1<<4)
1102#define GMBUS_NAK_EN (1<<3)
1103#define GMBUS_IDLE_EN (1<<2)
1104#define GMBUS_HW_WAIT_EN (1<<1)
1105#define GMBUS_HW_RDY_EN (1<<0)
1106#define GMBUS5 0x5120 /* byte index */
1107#define GMBUS_2BYTE_INDEX_EN (1<<31)
f0217c42 1108
585fb111
JB
1109/*
1110 * Clock control & power management
1111 */
1112
1113#define VGA0 0x6000
1114#define VGA1 0x6004
1115#define VGA_PD 0x6010
1116#define VGA0_PD_P2_DIV_4 (1 << 7)
1117#define VGA0_PD_P1_DIV_2 (1 << 5)
1118#define VGA0_PD_P1_SHIFT 0
1119#define VGA0_PD_P1_MASK (0x1f << 0)
1120#define VGA1_PD_P2_DIV_4 (1 << 15)
1121#define VGA1_PD_P1_DIV_2 (1 << 13)
1122#define VGA1_PD_P1_SHIFT 8
1123#define VGA1_PD_P1_MASK (0x1f << 8)
fc2de409
VS
1124#define _DPLL_A (dev_priv->info->display_mmio_offset + 0x6014)
1125#define _DPLL_B (dev_priv->info->display_mmio_offset + 0x6018)
9db4a9c7 1126#define DPLL(pipe) _PIPE(pipe, _DPLL_A, _DPLL_B)
585fb111
JB
1127#define DPLL_VCO_ENABLE (1 << 31)
1128#define DPLL_DVO_HIGH_SPEED (1 << 30)
25eb05fc 1129#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 1130#define DPLL_SYNCLOCK_ENABLE (1 << 29)
25eb05fc 1131#define DPLL_REFA_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
1132#define DPLL_VGA_MODE_DIS (1 << 28)
1133#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
1134#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
1135#define DPLL_MODE_MASK (3 << 26)
1136#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
1137#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
1138#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
1139#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
1140#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
1141#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 1142#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
a0c4da24 1143#define DPLL_LOCK_VLV (1<<15)
598fac6b 1144#define DPLL_INTEGRATED_CRI_CLK_VLV (1<<14)
25eb05fc 1145#define DPLL_INTEGRATED_CLOCK_VLV (1<<13)
598fac6b
DV
1146#define DPLL_PORTC_READY_MASK (0xf << 4)
1147#define DPLL_PORTB_READY_MASK (0xf)
585fb111 1148
585fb111
JB
1149#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
1150/*
1151 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
1152 * this field (only one bit may be set).
1153 */
1154#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
1155#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 1156#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
1157/* i830, required in DVO non-gang */
1158#define PLL_P2_DIVIDE_BY_4 (1 << 23)
1159#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
1160#define PLL_REF_INPUT_DREFCLK (0 << 13)
1161#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
1162#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
1163#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
1164#define PLL_REF_INPUT_MASK (3 << 13)
1165#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 1166/* Ironlake */
b9055052
ZW
1167# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
1168# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
1169# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x)-1) << 9)
1170# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
1171# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
1172
585fb111
JB
1173/*
1174 * Parallel to Serial Load Pulse phase selection.
1175 * Selects the phase for the 10X DPLL clock for the PCIe
1176 * digital display port. The range is 4 to 13; 10 or more
1177 * is just a flip delay. The default is 6
1178 */
1179#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
1180#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
1181/*
1182 * SDVO multiplier for 945G/GM. Not used on 965.
1183 */
1184#define SDVO_MULTIPLIER_MASK 0x000000ff
1185#define SDVO_MULTIPLIER_SHIFT_HIRES 4
1186#define SDVO_MULTIPLIER_SHIFT_VGA 0
fc2de409 1187#define _DPLL_A_MD (dev_priv->info->display_mmio_offset + 0x601c) /* 965+ only */
585fb111
JB
1188/*
1189 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
1190 *
1191 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
1192 */
1193#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
1194#define DPLL_MD_UDI_DIVIDER_SHIFT 24
1195/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
1196#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
1197#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
1198/*
1199 * SDVO/UDI pixel multiplier.
1200 *
1201 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
1202 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
1203 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
1204 * dummy bytes in the datastream at an increased clock rate, with both sides of
1205 * the link knowing how many bytes are fill.
1206 *
1207 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
1208 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
1209 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
1210 * through an SDVO command.
1211 *
1212 * This register field has values of multiplication factor minus 1, with
1213 * a maximum multiplier of 5 for SDVO.
1214 */
1215#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
1216#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
1217/*
1218 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
1219 * This best be set to the default value (3) or the CRT won't work. No,
1220 * I don't entirely understand what this does...
1221 */
1222#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
1223#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
fc2de409 1224#define _DPLL_B_MD (dev_priv->info->display_mmio_offset + 0x6020) /* 965+ only */
9db4a9c7 1225#define DPLL_MD(pipe) _PIPE(pipe, _DPLL_A_MD, _DPLL_B_MD)
25eb05fc 1226
9db4a9c7
JB
1227#define _FPA0 0x06040
1228#define _FPA1 0x06044
1229#define _FPB0 0x06048
1230#define _FPB1 0x0604c
1231#define FP0(pipe) _PIPE(pipe, _FPA0, _FPB0)
1232#define FP1(pipe) _PIPE(pipe, _FPA1, _FPB1)
585fb111 1233#define FP_N_DIV_MASK 0x003f0000
f2b115e6 1234#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
1235#define FP_N_DIV_SHIFT 16
1236#define FP_M1_DIV_MASK 0x00003f00
1237#define FP_M1_DIV_SHIFT 8
1238#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 1239#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111
JB
1240#define FP_M2_DIV_SHIFT 0
1241#define DPLL_TEST 0x606c
1242#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
1243#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
1244#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
1245#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
1246#define DPLLB_TEST_N_BYPASS (1 << 19)
1247#define DPLLB_TEST_M_BYPASS (1 << 18)
1248#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
1249#define DPLLA_TEST_N_BYPASS (1 << 3)
1250#define DPLLA_TEST_M_BYPASS (1 << 2)
1251#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
1252#define D_STATE 0x6104
dc96e9b8 1253#define DSTATE_GFX_RESET_I830 (1<<6)
652c393a
JB
1254#define DSTATE_PLL_D3_OFF (1<<3)
1255#define DSTATE_GFX_CLOCK_GATING (1<<1)
1256#define DSTATE_DOT_CLOCK_GATING (1<<0)
1257#define DSPCLK_GATE_D 0x6200
1258# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
1259# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
1260# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
1261# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
1262# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
1263# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
1264# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
1265# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
1266# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
1267# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
1268# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
1269# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
1270# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
1271# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
1272# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
1273# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
1274# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
1275# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
1276# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
1277# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
1278# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
1279# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
1280# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
1281# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
1282# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
1283# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
1284# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
1285# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
1286/**
1287 * This bit must be set on the 830 to prevent hangs when turning off the
1288 * overlay scaler.
1289 */
1290# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
1291# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
1292# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
1293# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
1294# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
1295
1296#define RENCLK_GATE_D1 0x6204
1297# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
1298# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
1299# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
1300# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
1301# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
1302# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
1303# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
1304# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
1305# define MAG_CLOCK_GATE_DISABLE (1 << 5)
1306/** This bit must be unset on 855,865 */
1307# define MECI_CLOCK_GATE_DISABLE (1 << 4)
1308# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
1309# define MEC_CLOCK_GATE_DISABLE (1 << 2)
1310# define MECO_CLOCK_GATE_DISABLE (1 << 1)
1311/** This bit must be set on 855,865. */
1312# define SV_CLOCK_GATE_DISABLE (1 << 0)
1313# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
1314# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
1315# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
1316# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
1317# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
1318# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
1319# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
1320# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
1321# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
1322# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
1323# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
1324# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
1325# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
1326# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
1327# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
1328# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
1329# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
1330
1331# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
1332/** This bit must always be set on 965G/965GM */
1333# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
1334# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
1335# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
1336# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
1337# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
1338# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
1339/** This bit must always be set on 965G */
1340# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
1341# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
1342# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
1343# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
1344# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
1345# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
1346# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
1347# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
1348# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
1349# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
1350# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
1351# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
1352# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
1353# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
1354# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
1355# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
1356# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
1357# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
1358# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
1359
1360#define RENCLK_GATE_D2 0x6208
1361#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
1362#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
1363#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
1364#define RAMCLK_GATE_D 0x6210 /* CRL only */
1365#define DEUC 0x6214 /* CRL only */
585fb111 1366
d88b2270 1367#define FW_BLC_SELF_VLV (VLV_DISPLAY_BASE + 0x6500)
ceb04246
JB
1368#define FW_CSPWRDWNEN (1<<15)
1369
585fb111
JB
1370/*
1371 * Palette regs
1372 */
1373
4b059985
VS
1374#define _PALETTE_A (dev_priv->info->display_mmio_offset + 0xa000)
1375#define _PALETTE_B (dev_priv->info->display_mmio_offset + 0xa800)
9db4a9c7 1376#define PALETTE(pipe) _PIPE(pipe, _PALETTE_A, _PALETTE_B)
585fb111 1377
673a394b
EA
1378/* MCH MMIO space */
1379
1380/*
1381 * MCHBAR mirror.
1382 *
1383 * This mirrors the MCHBAR MMIO space whose location is determined by
1384 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
1385 * every way. It is not accessible from the CP register read instructions.
1386 *
1387 */
1388#define MCHBAR_MIRROR_BASE 0x10000
1389
1398261a
YL
1390#define MCHBAR_MIRROR_BASE_SNB 0x140000
1391
3ebecd07
CW
1392/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
1393#define DCLK 0x5e04
1394
673a394b
EA
1395/** 915-945 and GM965 MCH register controlling DRAM channel access */
1396#define DCC 0x10200
1397#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
1398#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
1399#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
1400#define DCC_ADDRESSING_MODE_MASK (3 << 0)
1401#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 1402#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
673a394b 1403
95534263
LP
1404/** Pineview MCH register contains DDR3 setting */
1405#define CSHRDDR3CTL 0x101a8
1406#define CSHRDDR3CTL_DDR3 (1 << 2)
1407
673a394b
EA
1408/** 965 MCH register controlling DRAM channel configuration */
1409#define C0DRB3 0x10206
1410#define C1DRB3 0x10606
1411
f691e2f4
DV
1412/** snb MCH registers for reading the DRAM channel configuration */
1413#define MAD_DIMM_C0 (MCHBAR_MIRROR_BASE_SNB + 0x5004)
1414#define MAD_DIMM_C1 (MCHBAR_MIRROR_BASE_SNB + 0x5008)
1415#define MAD_DIMM_C2 (MCHBAR_MIRROR_BASE_SNB + 0x500C)
1416#define MAD_DIMM_ECC_MASK (0x3 << 24)
1417#define MAD_DIMM_ECC_OFF (0x0 << 24)
1418#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
1419#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
1420#define MAD_DIMM_ECC_ON (0x3 << 24)
1421#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
1422#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
1423#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
1424#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
1425#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
1426#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
1427#define MAD_DIMM_A_SELECT (0x1 << 16)
1428/* DIMM sizes are in multiples of 256mb. */
1429#define MAD_DIMM_B_SIZE_SHIFT 8
1430#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
1431#define MAD_DIMM_A_SIZE_SHIFT 0
1432#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
1433
1d7aaa0c
DV
1434/** snb MCH registers for priority tuning */
1435#define MCH_SSKPD (MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1436#define MCH_SSKPD_WM0_MASK 0x3f
1437#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 1438
b11248df
KP
1439/* Clocking configuration register */
1440#define CLKCFG 0x10c00
7662c8bd 1441#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
1442#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
1443#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
1444#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
1445#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
1446#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
7662c8bd 1447/* Note, below two are guess */
b11248df 1448#define CLKCFG_FSB_1600 (4 << 0) /* hrawclk 400 */
7662c8bd 1449#define CLKCFG_FSB_1600_ALT (0 << 0) /* hrawclk 400 */
b11248df 1450#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
1451#define CLKCFG_MEM_533 (1 << 4)
1452#define CLKCFG_MEM_667 (2 << 4)
1453#define CLKCFG_MEM_800 (3 << 4)
1454#define CLKCFG_MEM_MASK (7 << 4)
1455
ea056c14
JB
1456#define TSC1 0x11001
1457#define TSE (1<<0)
7648fa99
JB
1458#define TR1 0x11006
1459#define TSFS 0x11020
1460#define TSFS_SLOPE_MASK 0x0000ff00
1461#define TSFS_SLOPE_SHIFT 8
1462#define TSFS_INTR_MASK 0x000000ff
1463
f97108d1
JB
1464#define CRSTANDVID 0x11100
1465#define PXVFREQ_BASE 0x11110 /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
1466#define PXVFREQ_PX_MASK 0x7f000000
1467#define PXVFREQ_PX_SHIFT 24
1468#define VIDFREQ_BASE 0x11110
1469#define VIDFREQ1 0x11110 /* VIDFREQ1-4 (0x1111c) (Cantiga) */
1470#define VIDFREQ2 0x11114
1471#define VIDFREQ3 0x11118
1472#define VIDFREQ4 0x1111c
1473#define VIDFREQ_P0_MASK 0x1f000000
1474#define VIDFREQ_P0_SHIFT 24
1475#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
1476#define VIDFREQ_P0_CSCLK_SHIFT 20
1477#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
1478#define VIDFREQ_P0_CRCLK_SHIFT 16
1479#define VIDFREQ_P1_MASK 0x00001f00
1480#define VIDFREQ_P1_SHIFT 8
1481#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
1482#define VIDFREQ_P1_CSCLK_SHIFT 4
1483#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
1484#define INTTOEXT_BASE_ILK 0x11300
1485#define INTTOEXT_BASE 0x11120 /* INTTOEXT1-8 (0x1113c) */
1486#define INTTOEXT_MAP3_SHIFT 24
1487#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
1488#define INTTOEXT_MAP2_SHIFT 16
1489#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
1490#define INTTOEXT_MAP1_SHIFT 8
1491#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
1492#define INTTOEXT_MAP0_SHIFT 0
1493#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
1494#define MEMSWCTL 0x11170 /* Ironlake only */
1495#define MEMCTL_CMD_MASK 0xe000
1496#define MEMCTL_CMD_SHIFT 13
1497#define MEMCTL_CMD_RCLK_OFF 0
1498#define MEMCTL_CMD_RCLK_ON 1
1499#define MEMCTL_CMD_CHFREQ 2
1500#define MEMCTL_CMD_CHVID 3
1501#define MEMCTL_CMD_VMMOFF 4
1502#define MEMCTL_CMD_VMMON 5
1503#define MEMCTL_CMD_STS (1<<12) /* write 1 triggers command, clears
1504 when command complete */
1505#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
1506#define MEMCTL_FREQ_SHIFT 8
1507#define MEMCTL_SFCAVM (1<<7)
1508#define MEMCTL_TGT_VID_MASK 0x007f
1509#define MEMIHYST 0x1117c
1510#define MEMINTREN 0x11180 /* 16 bits */
1511#define MEMINT_RSEXIT_EN (1<<8)
1512#define MEMINT_CX_SUPR_EN (1<<7)
1513#define MEMINT_CONT_BUSY_EN (1<<6)
1514#define MEMINT_AVG_BUSY_EN (1<<5)
1515#define MEMINT_EVAL_CHG_EN (1<<4)
1516#define MEMINT_MON_IDLE_EN (1<<3)
1517#define MEMINT_UP_EVAL_EN (1<<2)
1518#define MEMINT_DOWN_EVAL_EN (1<<1)
1519#define MEMINT_SW_CMD_EN (1<<0)
1520#define MEMINTRSTR 0x11182 /* 16 bits */
1521#define MEM_RSEXIT_MASK 0xc000
1522#define MEM_RSEXIT_SHIFT 14
1523#define MEM_CONT_BUSY_MASK 0x3000
1524#define MEM_CONT_BUSY_SHIFT 12
1525#define MEM_AVG_BUSY_MASK 0x0c00
1526#define MEM_AVG_BUSY_SHIFT 10
1527#define MEM_EVAL_CHG_MASK 0x0300
1528#define MEM_EVAL_BUSY_SHIFT 8
1529#define MEM_MON_IDLE_MASK 0x00c0
1530#define MEM_MON_IDLE_SHIFT 6
1531#define MEM_UP_EVAL_MASK 0x0030
1532#define MEM_UP_EVAL_SHIFT 4
1533#define MEM_DOWN_EVAL_MASK 0x000c
1534#define MEM_DOWN_EVAL_SHIFT 2
1535#define MEM_SW_CMD_MASK 0x0003
1536#define MEM_INT_STEER_GFX 0
1537#define MEM_INT_STEER_CMR 1
1538#define MEM_INT_STEER_SMI 2
1539#define MEM_INT_STEER_SCI 3
1540#define MEMINTRSTS 0x11184
1541#define MEMINT_RSEXIT (1<<7)
1542#define MEMINT_CONT_BUSY (1<<6)
1543#define MEMINT_AVG_BUSY (1<<5)
1544#define MEMINT_EVAL_CHG (1<<4)
1545#define MEMINT_MON_IDLE (1<<3)
1546#define MEMINT_UP_EVAL (1<<2)
1547#define MEMINT_DOWN_EVAL (1<<1)
1548#define MEMINT_SW_CMD (1<<0)
1549#define MEMMODECTL 0x11190
1550#define MEMMODE_BOOST_EN (1<<31)
1551#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
1552#define MEMMODE_BOOST_FREQ_SHIFT 24
1553#define MEMMODE_IDLE_MODE_MASK 0x00030000
1554#define MEMMODE_IDLE_MODE_SHIFT 16
1555#define MEMMODE_IDLE_MODE_EVAL 0
1556#define MEMMODE_IDLE_MODE_CONT 1
1557#define MEMMODE_HWIDLE_EN (1<<15)
1558#define MEMMODE_SWMODE_EN (1<<14)
1559#define MEMMODE_RCLK_GATE (1<<13)
1560#define MEMMODE_HW_UPDATE (1<<12)
1561#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
1562#define MEMMODE_FSTART_SHIFT 8
1563#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
1564#define MEMMODE_FMAX_SHIFT 4
1565#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
1566#define RCBMAXAVG 0x1119c
1567#define MEMSWCTL2 0x1119e /* Cantiga only */
1568#define SWMEMCMD_RENDER_OFF (0 << 13)
1569#define SWMEMCMD_RENDER_ON (1 << 13)
1570#define SWMEMCMD_SWFREQ (2 << 13)
1571#define SWMEMCMD_TARVID (3 << 13)
1572#define SWMEMCMD_VRM_OFF (4 << 13)
1573#define SWMEMCMD_VRM_ON (5 << 13)
1574#define CMDSTS (1<<12)
1575#define SFCAVM (1<<11)
1576#define SWFREQ_MASK 0x0380 /* P0-7 */
1577#define SWFREQ_SHIFT 7
1578#define TARVID_MASK 0x001f
1579#define MEMSTAT_CTG 0x111a0
1580#define RCBMINAVG 0x111a0
1581#define RCUPEI 0x111b0
1582#define RCDNEI 0x111b4
88271da3
JB
1583#define RSTDBYCTL 0x111b8
1584#define RS1EN (1<<31)
1585#define RS2EN (1<<30)
1586#define RS3EN (1<<29)
1587#define D3RS3EN (1<<28) /* Display D3 imlies RS3 */
1588#define SWPROMORSX (1<<27) /* RSx promotion timers ignored */
1589#define RCWAKERW (1<<26) /* Resetwarn from PCH causes wakeup */
1590#define DPRSLPVREN (1<<25) /* Fast voltage ramp enable */
1591#define GFXTGHYST (1<<24) /* Hysteresis to allow trunk gating */
1592#define RCX_SW_EXIT (1<<23) /* Leave RSx and prevent re-entry */
1593#define RSX_STATUS_MASK (7<<20)
1594#define RSX_STATUS_ON (0<<20)
1595#define RSX_STATUS_RC1 (1<<20)
1596#define RSX_STATUS_RC1E (2<<20)
1597#define RSX_STATUS_RS1 (3<<20)
1598#define RSX_STATUS_RS2 (4<<20) /* aka rc6 */
1599#define RSX_STATUS_RSVD (5<<20) /* deep rc6 unsupported on ilk */
1600#define RSX_STATUS_RS3 (6<<20) /* rs3 unsupported on ilk */
1601#define RSX_STATUS_RSVD2 (7<<20)
1602#define UWRCRSXE (1<<19) /* wake counter limit prevents rsx */
1603#define RSCRP (1<<18) /* rs requests control on rs1/2 reqs */
1604#define JRSC (1<<17) /* rsx coupled to cpu c-state */
1605#define RS2INC0 (1<<16) /* allow rs2 in cpu c0 */
1606#define RS1CONTSAV_MASK (3<<14)
1607#define RS1CONTSAV_NO_RS1 (0<<14) /* rs1 doesn't save/restore context */
1608#define RS1CONTSAV_RSVD (1<<14)
1609#define RS1CONTSAV_SAVE_RS1 (2<<14) /* rs1 saves context */
1610#define RS1CONTSAV_FULL_RS1 (3<<14) /* rs1 saves and restores context */
1611#define NORMSLEXLAT_MASK (3<<12)
1612#define SLOW_RS123 (0<<12)
1613#define SLOW_RS23 (1<<12)
1614#define SLOW_RS3 (2<<12)
1615#define NORMAL_RS123 (3<<12)
1616#define RCMODE_TIMEOUT (1<<11) /* 0 is eval interval method */
1617#define IMPROMOEN (1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
1618#define RCENTSYNC (1<<9) /* rs coupled to cpu c-state (3/6/7) */
1619#define STATELOCK (1<<7) /* locked to rs_cstate if 0 */
1620#define RS_CSTATE_MASK (3<<4)
1621#define RS_CSTATE_C367_RS1 (0<<4)
1622#define RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
1623#define RS_CSTATE_RSVD (2<<4)
1624#define RS_CSTATE_C367_RS2 (3<<4)
1625#define REDSAVES (1<<3) /* no context save if was idle during rs0 */
1626#define REDRESTORES (1<<2) /* no restore if was idle during rs0 */
f97108d1
JB
1627#define VIDCTL 0x111c0
1628#define VIDSTS 0x111c8
1629#define VIDSTART 0x111cc /* 8 bits */
1630#define MEMSTAT_ILK 0x111f8
1631#define MEMSTAT_VID_MASK 0x7f00
1632#define MEMSTAT_VID_SHIFT 8
1633#define MEMSTAT_PSTATE_MASK 0x00f8
1634#define MEMSTAT_PSTATE_SHIFT 3
1635#define MEMSTAT_MON_ACTV (1<<2)
1636#define MEMSTAT_SRC_CTL_MASK 0x0003
1637#define MEMSTAT_SRC_CTL_CORE 0
1638#define MEMSTAT_SRC_CTL_TRB 1
1639#define MEMSTAT_SRC_CTL_THM 2
1640#define MEMSTAT_SRC_CTL_STDBY 3
1641#define RCPREVBSYTUPAVG 0x113b8
1642#define RCPREVBSYTDNAVG 0x113bc
ea056c14
JB
1643#define PMMISC 0x11214
1644#define MCPPCE_EN (1<<0) /* enable PM_MSG from PCH->MPC */
7648fa99
JB
1645#define SDEW 0x1124c
1646#define CSIEW0 0x11250
1647#define CSIEW1 0x11254
1648#define CSIEW2 0x11258
1649#define PEW 0x1125c
1650#define DEW 0x11270
1651#define MCHAFE 0x112c0
1652#define CSIEC 0x112e0
1653#define DMIEC 0x112e4
1654#define DDREC 0x112e8
1655#define PEG0EC 0x112ec
1656#define PEG1EC 0x112f0
1657#define GFXEC 0x112f4
1658#define RPPREVBSYTUPAVG 0x113b8
1659#define RPPREVBSYTDNAVG 0x113bc
1660#define ECR 0x11600
1661#define ECR_GPFE (1<<31)
1662#define ECR_IMONE (1<<30)
1663#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
1664#define OGW0 0x11608
1665#define OGW1 0x1160c
1666#define EG0 0x11610
1667#define EG1 0x11614
1668#define EG2 0x11618
1669#define EG3 0x1161c
1670#define EG4 0x11620
1671#define EG5 0x11624
1672#define EG6 0x11628
1673#define EG7 0x1162c
1674#define PXW 0x11664
1675#define PXWL 0x11680
1676#define LCFUSE02 0x116c0
1677#define LCFUSE_HIV_MASK 0x000000ff
1678#define CSIPLL0 0x12c10
1679#define DDRMPLL1 0X12c20
7d57382e
EA
1680#define PEG_BAND_GAP_DATA 0x14d68
1681
c4de7b0f
CW
1682#define GEN6_GT_THREAD_STATUS_REG 0x13805c
1683#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
1684#define GEN6_GT_THREAD_STATUS_CORE_MASK_HSW (0x7 | (0x07 << 16))
1685
3b8d8d91
JB
1686#define GEN6_GT_PERF_STATUS 0x145948
1687#define GEN6_RP_STATE_LIMITS 0x145994
1688#define GEN6_RP_STATE_CAP 0x145998
1689
aa40d6bb
ZN
1690/*
1691 * Logical Context regs
1692 */
1693#define CCID 0x2180
1694#define CCID_EN (1<<0)
fe1cc68f
BW
1695#define CXT_SIZE 0x21a0
1696#define GEN6_CXT_POWER_SIZE(cxt_reg) ((cxt_reg >> 24) & 0x3f)
1697#define GEN6_CXT_RING_SIZE(cxt_reg) ((cxt_reg >> 18) & 0x3f)
1698#define GEN6_CXT_RENDER_SIZE(cxt_reg) ((cxt_reg >> 12) & 0x3f)
1699#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) ((cxt_reg >> 6) & 0x3f)
1700#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) ((cxt_reg >> 0) & 0x3f)
1701#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_POWER_SIZE(cxt_reg) + \
1702 GEN6_CXT_RING_SIZE(cxt_reg) + \
1703 GEN6_CXT_RENDER_SIZE(cxt_reg) + \
1704 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
1705 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
4f91dd6f 1706#define GEN7_CXT_SIZE 0x21a8
6a4ea124
BW
1707#define GEN7_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 25) & 0x7f)
1708#define GEN7_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 22) & 0x7)
4f91dd6f
BW
1709#define GEN7_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 16) & 0x3f)
1710#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) ((ctx_reg >> 9) & 0x7f)
1711#define GEN7_CXT_GT1_SIZE(ctx_reg) ((ctx_reg >> 6) & 0x7)
1712#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) ((ctx_reg >> 0) & 0x3f)
6a4ea124
BW
1713#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_POWER_SIZE(ctx_reg) + \
1714 GEN7_CXT_RING_SIZE(ctx_reg) + \
1715 GEN7_CXT_RENDER_SIZE(ctx_reg) + \
4f91dd6f
BW
1716 GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
1717 GEN7_CXT_GT1_SIZE(ctx_reg) + \
1718 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
2e4291e0
BW
1719#define HSW_CXT_POWER_SIZE(ctx_reg) ((ctx_reg >> 26) & 0x3f)
1720#define HSW_CXT_RING_SIZE(ctx_reg) ((ctx_reg >> 23) & 0x7)
1721#define HSW_CXT_RENDER_SIZE(ctx_reg) ((ctx_reg >> 15) & 0xff)
1722#define HSW_CXT_TOTAL_SIZE(ctx_reg) (HSW_CXT_POWER_SIZE(ctx_reg) + \
1723 HSW_CXT_RING_SIZE(ctx_reg) + \
1724 HSW_CXT_RENDER_SIZE(ctx_reg) + \
1725 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
1726
fe1cc68f 1727
585fb111
JB
1728/*
1729 * Overlay regs
1730 */
1731
1732#define OVADD 0x30000
1733#define DOVSTA 0x30008
1734#define OC_BUF (0x3<<20)
1735#define OGAMC5 0x30010
1736#define OGAMC4 0x30014
1737#define OGAMC3 0x30018
1738#define OGAMC2 0x3001c
1739#define OGAMC1 0x30020
1740#define OGAMC0 0x30024
1741
1742/*
1743 * Display engine regs
1744 */
1745
1746/* Pipe A timing regs */
4e8e7eb7
VS
1747#define _HTOTAL_A (dev_priv->info->display_mmio_offset + 0x60000)
1748#define _HBLANK_A (dev_priv->info->display_mmio_offset + 0x60004)
1749#define _HSYNC_A (dev_priv->info->display_mmio_offset + 0x60008)
1750#define _VTOTAL_A (dev_priv->info->display_mmio_offset + 0x6000c)
1751#define _VBLANK_A (dev_priv->info->display_mmio_offset + 0x60010)
1752#define _VSYNC_A (dev_priv->info->display_mmio_offset + 0x60014)
1753#define _PIPEASRC (dev_priv->info->display_mmio_offset + 0x6001c)
1754#define _BCLRPAT_A (dev_priv->info->display_mmio_offset + 0x60020)
1755#define _VSYNCSHIFT_A (dev_priv->info->display_mmio_offset + 0x60028)
585fb111
JB
1756
1757/* Pipe B timing regs */
4e8e7eb7
VS
1758#define _HTOTAL_B (dev_priv->info->display_mmio_offset + 0x61000)
1759#define _HBLANK_B (dev_priv->info->display_mmio_offset + 0x61004)
1760#define _HSYNC_B (dev_priv->info->display_mmio_offset + 0x61008)
1761#define _VTOTAL_B (dev_priv->info->display_mmio_offset + 0x6100c)
1762#define _VBLANK_B (dev_priv->info->display_mmio_offset + 0x61010)
1763#define _VSYNC_B (dev_priv->info->display_mmio_offset + 0x61014)
1764#define _PIPEBSRC (dev_priv->info->display_mmio_offset + 0x6101c)
1765#define _BCLRPAT_B (dev_priv->info->display_mmio_offset + 0x61020)
1766#define _VSYNCSHIFT_B (dev_priv->info->display_mmio_offset + 0x61028)
0529a0d9 1767
9db4a9c7 1768
fe2b8f9d
PZ
1769#define HTOTAL(trans) _TRANSCODER(trans, _HTOTAL_A, _HTOTAL_B)
1770#define HBLANK(trans) _TRANSCODER(trans, _HBLANK_A, _HBLANK_B)
1771#define HSYNC(trans) _TRANSCODER(trans, _HSYNC_A, _HSYNC_B)
1772#define VTOTAL(trans) _TRANSCODER(trans, _VTOTAL_A, _VTOTAL_B)
1773#define VBLANK(trans) _TRANSCODER(trans, _VBLANK_A, _VBLANK_B)
1774#define VSYNC(trans) _TRANSCODER(trans, _VSYNC_A, _VSYNC_B)
9db4a9c7 1775#define BCLRPAT(pipe) _PIPE(pipe, _BCLRPAT_A, _BCLRPAT_B)
fe2b8f9d 1776#define VSYNCSHIFT(trans) _TRANSCODER(trans, _VSYNCSHIFT_A, _VSYNCSHIFT_B)
5eddb70b 1777
585fb111
JB
1778/* VGA port control */
1779#define ADPA 0x61100
ebc0fd88 1780#define PCH_ADPA 0xe1100
540a8950 1781#define VLV_ADPA (VLV_DISPLAY_BASE + ADPA)
ebc0fd88 1782
585fb111
JB
1783#define ADPA_DAC_ENABLE (1<<31)
1784#define ADPA_DAC_DISABLE 0
1785#define ADPA_PIPE_SELECT_MASK (1<<30)
1786#define ADPA_PIPE_A_SELECT 0
1787#define ADPA_PIPE_B_SELECT (1<<30)
1519b995 1788#define ADPA_PIPE_SELECT(pipe) ((pipe) << 30)
ebc0fd88
DV
1789/* CPT uses bits 29:30 for pch transcoder select */
1790#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
1791#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0<<24)
1792#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3<<24)
1793#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
1794#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2<<24)
1795#define ADPA_CRT_HOTPLUG_ENABLE (1<<23)
1796#define ADPA_CRT_HOTPLUG_PERIOD_64 (0<<22)
1797#define ADPA_CRT_HOTPLUG_PERIOD_128 (1<<22)
1798#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0<<21)
1799#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1<<21)
1800#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0<<20)
1801#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1<<20)
1802#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0<<18)
1803#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1<<18)
1804#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2<<18)
1805#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3<<18)
1806#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0<<17)
1807#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1<<17)
1808#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
585fb111
JB
1809#define ADPA_USE_VGA_HVPOLARITY (1<<15)
1810#define ADPA_SETS_HVPOLARITY 0
60222c0c 1811#define ADPA_VSYNC_CNTL_DISABLE (1<<10)
585fb111 1812#define ADPA_VSYNC_CNTL_ENABLE 0
60222c0c 1813#define ADPA_HSYNC_CNTL_DISABLE (1<<11)
585fb111
JB
1814#define ADPA_HSYNC_CNTL_ENABLE 0
1815#define ADPA_VSYNC_ACTIVE_HIGH (1<<4)
1816#define ADPA_VSYNC_ACTIVE_LOW 0
1817#define ADPA_HSYNC_ACTIVE_HIGH (1<<3)
1818#define ADPA_HSYNC_ACTIVE_LOW 0
1819#define ADPA_DPMS_MASK (~(3<<10))
1820#define ADPA_DPMS_ON (0<<10)
1821#define ADPA_DPMS_SUSPEND (1<<10)
1822#define ADPA_DPMS_STANDBY (2<<10)
1823#define ADPA_DPMS_OFF (3<<10)
1824
939fe4d7 1825
585fb111 1826/* Hotplug control (945+ only) */
67d62c57 1827#define PORT_HOTPLUG_EN (dev_priv->info->display_mmio_offset + 0x61110)
26739f12
DV
1828#define PORTB_HOTPLUG_INT_EN (1 << 29)
1829#define PORTC_HOTPLUG_INT_EN (1 << 28)
1830#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
1831#define SDVOB_HOTPLUG_INT_EN (1 << 26)
1832#define SDVOC_HOTPLUG_INT_EN (1 << 25)
1833#define TV_HOTPLUG_INT_EN (1 << 18)
1834#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
1835#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
1836 PORTC_HOTPLUG_INT_EN | \
1837 PORTD_HOTPLUG_INT_EN | \
1838 SDVOC_HOTPLUG_INT_EN | \
1839 SDVOB_HOTPLUG_INT_EN | \
1840 CRT_HOTPLUG_INT_EN)
585fb111 1841#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
1842#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
1843/* must use period 64 on GM45 according to docs */
1844#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
1845#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
1846#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
1847#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
1848#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
1849#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
1850#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
1851#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
1852#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
1853#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
1854#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
1855#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 1856
67d62c57 1857#define PORT_HOTPLUG_STAT (dev_priv->info->display_mmio_offset + 0x61114)
10f76a38 1858/* HDMI/DP bits are gen4+ */
26739f12
DV
1859#define PORTB_HOTPLUG_LIVE_STATUS (1 << 29)
1860#define PORTC_HOTPLUG_LIVE_STATUS (1 << 28)
1861#define PORTD_HOTPLUG_LIVE_STATUS (1 << 27)
1862#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
1863#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
1864#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
084b612e 1865/* CRT/TV common between gen3+ */
585fb111
JB
1866#define CRT_HOTPLUG_INT_STATUS (1 << 11)
1867#define TV_HOTPLUG_INT_STATUS (1 << 10)
1868#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
1869#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
1870#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
1871#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
084b612e
CW
1872/* SDVO is different across gen3/4 */
1873#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
1874#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
1875#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
1876#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
1877#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
1878#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
1879#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
1880 SDVOB_HOTPLUG_INT_STATUS_G4X | \
1881 SDVOC_HOTPLUG_INT_STATUS_G4X | \
1882 PORTB_HOTPLUG_INT_STATUS | \
1883 PORTC_HOTPLUG_INT_STATUS | \
1884 PORTD_HOTPLUG_INT_STATUS)
1885
1886#define HOTPLUG_INT_STATUS_I965 (CRT_HOTPLUG_INT_STATUS | \
1887 SDVOB_HOTPLUG_INT_STATUS_I965 | \
1888 SDVOC_HOTPLUG_INT_STATUS_I965 | \
1889 PORTB_HOTPLUG_INT_STATUS | \
1890 PORTC_HOTPLUG_INT_STATUS | \
1891 PORTD_HOTPLUG_INT_STATUS)
1892
1893#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
1894 SDVOB_HOTPLUG_INT_STATUS_I915 | \
1895 SDVOC_HOTPLUG_INT_STATUS_I915 | \
1896 PORTB_HOTPLUG_INT_STATUS | \
1897 PORTC_HOTPLUG_INT_STATUS | \
1898 PORTD_HOTPLUG_INT_STATUS)
585fb111 1899
c20cd312
PZ
1900/* SDVO and HDMI port control.
1901 * The same register may be used for SDVO or HDMI */
1902#define GEN3_SDVOB 0x61140
1903#define GEN3_SDVOC 0x61160
1904#define GEN4_HDMIB GEN3_SDVOB
1905#define GEN4_HDMIC GEN3_SDVOC
1906#define PCH_SDVOB 0xe1140
1907#define PCH_HDMIB PCH_SDVOB
1908#define PCH_HDMIC 0xe1150
1909#define PCH_HDMID 0xe1160
1910
1911/* Gen 3 SDVO bits: */
1912#define SDVO_ENABLE (1 << 31)
dc0fa718
PZ
1913#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
1914#define SDVO_PIPE_SEL_MASK (1 << 30)
c20cd312
PZ
1915#define SDVO_PIPE_B_SELECT (1 << 30)
1916#define SDVO_STALL_SELECT (1 << 29)
1917#define SDVO_INTERRUPT_ENABLE (1 << 26)
585fb111
JB
1918/**
1919 * 915G/GM SDVO pixel multiplier.
585fb111 1920 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
1921 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
1922 */
c20cd312 1923#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 1924#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
1925#define SDVO_PHASE_SELECT_MASK (15 << 19)
1926#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
1927#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
1928#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
1929#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
1930#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
1931#define SDVO_DETECTED (1 << 2)
585fb111 1932/* Bits to be preserved when writing */
c20cd312
PZ
1933#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
1934 SDVO_INTERRUPT_ENABLE)
1935#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
1936
1937/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 1938#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
c20cd312
PZ
1939#define SDVO_ENCODING_SDVO (0 << 10)
1940#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
1941#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
1942#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 1943#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
1944#define SDVO_AUDIO_ENABLE (1 << 6)
1945/* VSYNC/HSYNC bits new with 965, default is to be set */
1946#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
1947#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
1948
1949/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 1950#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
1951#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
1952
1953/* Gen 6 (CPT) SDVO/HDMI bits: */
dc0fa718
PZ
1954#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
1955#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
c20cd312 1956
585fb111
JB
1957
1958/* DVO port control */
1959#define DVOA 0x61120
1960#define DVOB 0x61140
1961#define DVOC 0x61160
1962#define DVO_ENABLE (1 << 31)
1963#define DVO_PIPE_B_SELECT (1 << 30)
1964#define DVO_PIPE_STALL_UNUSED (0 << 28)
1965#define DVO_PIPE_STALL (1 << 28)
1966#define DVO_PIPE_STALL_TV (2 << 28)
1967#define DVO_PIPE_STALL_MASK (3 << 28)
1968#define DVO_USE_VGA_SYNC (1 << 15)
1969#define DVO_DATA_ORDER_I740 (0 << 14)
1970#define DVO_DATA_ORDER_FP (1 << 14)
1971#define DVO_VSYNC_DISABLE (1 << 11)
1972#define DVO_HSYNC_DISABLE (1 << 10)
1973#define DVO_VSYNC_TRISTATE (1 << 9)
1974#define DVO_HSYNC_TRISTATE (1 << 8)
1975#define DVO_BORDER_ENABLE (1 << 7)
1976#define DVO_DATA_ORDER_GBRG (1 << 6)
1977#define DVO_DATA_ORDER_RGGB (0 << 6)
1978#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
1979#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
1980#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
1981#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
1982#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
1983#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
1984#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
1985#define DVO_PRESERVE_MASK (0x7<<24)
1986#define DVOA_SRCDIM 0x61124
1987#define DVOB_SRCDIM 0x61144
1988#define DVOC_SRCDIM 0x61164
1989#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
1990#define DVO_SRCDIM_VERTICAL_SHIFT 0
1991
1992/* LVDS port control */
1993#define LVDS 0x61180
1994/*
1995 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
1996 * the DPLL semantics change when the LVDS is assigned to that pipe.
1997 */
1998#define LVDS_PORT_EN (1 << 31)
1999/* Selects pipe B for LVDS data. Must be set on pre-965. */
2000#define LVDS_PIPEB_SELECT (1 << 30)
47a05eca 2001#define LVDS_PIPE_MASK (1 << 30)
1519b995 2002#define LVDS_PIPE(pipe) ((pipe) << 30)
898822ce
ZY
2003/* LVDS dithering flag on 965/g4x platform */
2004#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
2005/* LVDS sync polarity flags. Set to invert (i.e. negative) */
2006#define LVDS_VSYNC_POLARITY (1 << 21)
2007#define LVDS_HSYNC_POLARITY (1 << 20)
2008
a3e17eb8
ZY
2009/* Enable border for unscaled (or aspect-scaled) display */
2010#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
2011/*
2012 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
2013 * pixel.
2014 */
2015#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
2016#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
2017#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
2018/*
2019 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
2020 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
2021 * on.
2022 */
2023#define LVDS_A3_POWER_MASK (3 << 6)
2024#define LVDS_A3_POWER_DOWN (0 << 6)
2025#define LVDS_A3_POWER_UP (3 << 6)
2026/*
2027 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
2028 * is set.
2029 */
2030#define LVDS_CLKB_POWER_MASK (3 << 4)
2031#define LVDS_CLKB_POWER_DOWN (0 << 4)
2032#define LVDS_CLKB_POWER_UP (3 << 4)
2033/*
2034 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
2035 * setting for whether we are in dual-channel mode. The B3 pair will
2036 * additionally only be powered up when LVDS_A3_POWER_UP is set.
2037 */
2038#define LVDS_B0B3_POWER_MASK (3 << 2)
2039#define LVDS_B0B3_POWER_DOWN (0 << 2)
2040#define LVDS_B0B3_POWER_UP (3 << 2)
2041
3c17fe4b
DH
2042/* Video Data Island Packet control */
2043#define VIDEO_DIP_DATA 0x61178
adf00b26
PZ
2044/* Read the description of VIDEO_DIP_DATA (before Haswel) or VIDEO_DIP_ECC
2045 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
2046 * of the infoframe structure specified by CEA-861. */
2047#define VIDEO_DIP_DATA_SIZE 32
3c17fe4b 2048#define VIDEO_DIP_CTL 0x61170
2da8af54 2049/* Pre HSW: */
3c17fe4b
DH
2050#define VIDEO_DIP_ENABLE (1 << 31)
2051#define VIDEO_DIP_PORT_B (1 << 29)
2052#define VIDEO_DIP_PORT_C (2 << 29)
4e89ee17 2053#define VIDEO_DIP_PORT_D (3 << 29)
3e6e6395 2054#define VIDEO_DIP_PORT_MASK (3 << 29)
0dd87d20 2055#define VIDEO_DIP_ENABLE_GCP (1 << 25)
3c17fe4b
DH
2056#define VIDEO_DIP_ENABLE_AVI (1 << 21)
2057#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
0dd87d20 2058#define VIDEO_DIP_ENABLE_GAMUT (4 << 21)
3c17fe4b
DH
2059#define VIDEO_DIP_ENABLE_SPD (8 << 21)
2060#define VIDEO_DIP_SELECT_AVI (0 << 19)
2061#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
2062#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 2063#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
2064#define VIDEO_DIP_FREQ_ONCE (0 << 16)
2065#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
2066#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 2067#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 2068/* HSW and later: */
0dd87d20
PZ
2069#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
2070#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 2071#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
2072#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
2073#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 2074#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 2075
585fb111
JB
2076/* Panel power sequencing */
2077#define PP_STATUS 0x61200
2078#define PP_ON (1 << 31)
2079/*
2080 * Indicates that all dependencies of the panel are on:
2081 *
2082 * - PLL enabled
2083 * - pipe enabled
2084 * - LVDS/DVOB/DVOC on
2085 */
2086#define PP_READY (1 << 30)
2087#define PP_SEQUENCE_NONE (0 << 28)
99ea7127
KP
2088#define PP_SEQUENCE_POWER_UP (1 << 28)
2089#define PP_SEQUENCE_POWER_DOWN (2 << 28)
2090#define PP_SEQUENCE_MASK (3 << 28)
2091#define PP_SEQUENCE_SHIFT 28
01cb9ea6 2092#define PP_CYCLE_DELAY_ACTIVE (1 << 27)
01cb9ea6 2093#define PP_SEQUENCE_STATE_MASK 0x0000000f
99ea7127
KP
2094#define PP_SEQUENCE_STATE_OFF_IDLE (0x0 << 0)
2095#define PP_SEQUENCE_STATE_OFF_S0_1 (0x1 << 0)
2096#define PP_SEQUENCE_STATE_OFF_S0_2 (0x2 << 0)
2097#define PP_SEQUENCE_STATE_OFF_S0_3 (0x3 << 0)
2098#define PP_SEQUENCE_STATE_ON_IDLE (0x8 << 0)
2099#define PP_SEQUENCE_STATE_ON_S1_0 (0x9 << 0)
2100#define PP_SEQUENCE_STATE_ON_S1_2 (0xa << 0)
2101#define PP_SEQUENCE_STATE_ON_S1_3 (0xb << 0)
2102#define PP_SEQUENCE_STATE_RESET (0xf << 0)
585fb111
JB
2103#define PP_CONTROL 0x61204
2104#define POWER_TARGET_ON (1 << 0)
2105#define PP_ON_DELAYS 0x61208
2106#define PP_OFF_DELAYS 0x6120c
2107#define PP_DIVISOR 0x61210
2108
2109/* Panel fitting */
7e470abf 2110#define PFIT_CONTROL (dev_priv->info->display_mmio_offset + 0x61230)
585fb111
JB
2111#define PFIT_ENABLE (1 << 31)
2112#define PFIT_PIPE_MASK (3 << 29)
2113#define PFIT_PIPE_SHIFT 29
2114#define VERT_INTERP_DISABLE (0 << 10)
2115#define VERT_INTERP_BILINEAR (1 << 10)
2116#define VERT_INTERP_MASK (3 << 10)
2117#define VERT_AUTO_SCALE (1 << 9)
2118#define HORIZ_INTERP_DISABLE (0 << 6)
2119#define HORIZ_INTERP_BILINEAR (1 << 6)
2120#define HORIZ_INTERP_MASK (3 << 6)
2121#define HORIZ_AUTO_SCALE (1 << 5)
2122#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
2123#define PFIT_FILTER_FUZZY (0 << 24)
2124#define PFIT_SCALING_AUTO (0 << 26)
2125#define PFIT_SCALING_PROGRAMMED (1 << 26)
2126#define PFIT_SCALING_PILLAR (2 << 26)
2127#define PFIT_SCALING_LETTER (3 << 26)
7e470abf 2128#define PFIT_PGM_RATIOS (dev_priv->info->display_mmio_offset + 0x61234)
3fbe18d6
ZY
2129/* Pre-965 */
2130#define PFIT_VERT_SCALE_SHIFT 20
2131#define PFIT_VERT_SCALE_MASK 0xfff00000
2132#define PFIT_HORIZ_SCALE_SHIFT 4
2133#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
2134/* 965+ */
2135#define PFIT_VERT_SCALE_SHIFT_965 16
2136#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
2137#define PFIT_HORIZ_SCALE_SHIFT_965 0
2138#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
2139
7e470abf 2140#define PFIT_AUTO_RATIOS (dev_priv->info->display_mmio_offset + 0x61238)
585fb111
JB
2141
2142/* Backlight control */
12569ad6 2143#define BLC_PWM_CTL2 (dev_priv->info->display_mmio_offset + 0x61250) /* 965+ only */
7cf41601
DV
2144#define BLM_PWM_ENABLE (1 << 31)
2145#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
2146#define BLM_PIPE_SELECT (1 << 29)
2147#define BLM_PIPE_SELECT_IVB (3 << 29)
2148#define BLM_PIPE_A (0 << 29)
2149#define BLM_PIPE_B (1 << 29)
2150#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
2151#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
2152#define BLM_TRANSCODER_B BLM_PIPE_B
2153#define BLM_TRANSCODER_C BLM_PIPE_C
2154#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
2155#define BLM_PIPE(pipe) ((pipe) << 29)
2156#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
2157#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
2158#define BLM_PHASE_IN_ENABLE (1 << 25)
2159#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
2160#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
2161#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
2162#define BLM_PHASE_IN_COUNT_SHIFT (8)
2163#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
2164#define BLM_PHASE_IN_INCR_SHIFT (0)
2165#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
12569ad6 2166#define BLC_PWM_CTL (dev_priv->info->display_mmio_offset + 0x61254)
ba3820ad
TI
2167/*
2168 * This is the most significant 15 bits of the number of backlight cycles in a
2169 * complete cycle of the modulated backlight control.
2170 *
2171 * The actual value is this field multiplied by two.
2172 */
7cf41601
DV
2173#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
2174#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
2175#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
2176/*
2177 * This is the number of cycles out of the backlight modulation cycle for which
2178 * the backlight is on.
2179 *
2180 * This field must be no greater than the number of cycles in the complete
2181 * backlight modulation cycle.
2182 */
2183#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
2184#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
2185#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
2186#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 2187
12569ad6 2188#define BLC_HIST_CTL (dev_priv->info->display_mmio_offset + 0x61260)
0eb96d6e 2189
7cf41601
DV
2190/* New registers for PCH-split platforms. Safe where new bits show up, the
2191 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
2192#define BLC_PWM_CPU_CTL2 0x48250
2193#define BLC_PWM_CPU_CTL 0x48254
2194
2195/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
2196 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
2197#define BLC_PWM_PCH_CTL1 0xc8250
4b4147c3 2198#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
2199#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
2200#define BLM_PCH_POLARITY (1 << 29)
2201#define BLC_PWM_PCH_CTL2 0xc8254
2202
585fb111
JB
2203/* TV port control */
2204#define TV_CTL 0x68000
2205/** Enables the TV encoder */
2206# define TV_ENC_ENABLE (1 << 31)
2207/** Sources the TV encoder input from pipe B instead of A. */
2208# define TV_ENC_PIPEB_SELECT (1 << 30)
2209/** Outputs composite video (DAC A only) */
2210# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
2211/** Outputs SVideo video (DAC B/C) */
2212# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
2213/** Outputs Component video (DAC A/B/C) */
2214# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
2215/** Outputs Composite and SVideo (DAC A/B/C) */
2216# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
2217# define TV_TRILEVEL_SYNC (1 << 21)
2218/** Enables slow sync generation (945GM only) */
2219# define TV_SLOW_SYNC (1 << 20)
2220/** Selects 4x oversampling for 480i and 576p */
2221# define TV_OVERSAMPLE_4X (0 << 18)
2222/** Selects 2x oversampling for 720p and 1080i */
2223# define TV_OVERSAMPLE_2X (1 << 18)
2224/** Selects no oversampling for 1080p */
2225# define TV_OVERSAMPLE_NONE (2 << 18)
2226/** Selects 8x oversampling */
2227# define TV_OVERSAMPLE_8X (3 << 18)
2228/** Selects progressive mode rather than interlaced */
2229# define TV_PROGRESSIVE (1 << 17)
2230/** Sets the colorburst to PAL mode. Required for non-M PAL modes. */
2231# define TV_PAL_BURST (1 << 16)
2232/** Field for setting delay of Y compared to C */
2233# define TV_YC_SKEW_MASK (7 << 12)
2234/** Enables a fix for 480p/576p standard definition modes on the 915GM only */
2235# define TV_ENC_SDP_FIX (1 << 11)
2236/**
2237 * Enables a fix for the 915GM only.
2238 *
2239 * Not sure what it does.
2240 */
2241# define TV_ENC_C0_FIX (1 << 10)
2242/** Bits that must be preserved by software */
d2d9f232 2243# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111
JB
2244# define TV_FUSE_STATE_MASK (3 << 4)
2245/** Read-only state that reports all features enabled */
2246# define TV_FUSE_STATE_ENABLED (0 << 4)
2247/** Read-only state that reports that Macrovision is disabled in hardware*/
2248# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
2249/** Read-only state that reports that TV-out is disabled in hardware. */
2250# define TV_FUSE_STATE_DISABLED (2 << 4)
2251/** Normal operation */
2252# define TV_TEST_MODE_NORMAL (0 << 0)
2253/** Encoder test pattern 1 - combo pattern */
2254# define TV_TEST_MODE_PATTERN_1 (1 << 0)
2255/** Encoder test pattern 2 - full screen vertical 75% color bars */
2256# define TV_TEST_MODE_PATTERN_2 (2 << 0)
2257/** Encoder test pattern 3 - full screen horizontal 75% color bars */
2258# define TV_TEST_MODE_PATTERN_3 (3 << 0)
2259/** Encoder test pattern 4 - random noise */
2260# define TV_TEST_MODE_PATTERN_4 (4 << 0)
2261/** Encoder test pattern 5 - linear color ramps */
2262# define TV_TEST_MODE_PATTERN_5 (5 << 0)
2263/**
2264 * This test mode forces the DACs to 50% of full output.
2265 *
2266 * This is used for load detection in combination with TVDAC_SENSE_MASK
2267 */
2268# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
2269# define TV_TEST_MODE_MASK (7 << 0)
2270
2271#define TV_DAC 0x68004
b8ed2a4f 2272# define TV_DAC_SAVE 0x00ffff00
585fb111
JB
2273/**
2274 * Reports that DAC state change logic has reported change (RO).
2275 *
2276 * This gets cleared when TV_DAC_STATE_EN is cleared
2277*/
2278# define TVDAC_STATE_CHG (1 << 31)
2279# define TVDAC_SENSE_MASK (7 << 28)
2280/** Reports that DAC A voltage is above the detect threshold */
2281# define TVDAC_A_SENSE (1 << 30)
2282/** Reports that DAC B voltage is above the detect threshold */
2283# define TVDAC_B_SENSE (1 << 29)
2284/** Reports that DAC C voltage is above the detect threshold */
2285# define TVDAC_C_SENSE (1 << 28)
2286/**
2287 * Enables DAC state detection logic, for load-based TV detection.
2288 *
2289 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
2290 * to off, for load detection to work.
2291 */
2292# define TVDAC_STATE_CHG_EN (1 << 27)
2293/** Sets the DAC A sense value to high */
2294# define TVDAC_A_SENSE_CTL (1 << 26)
2295/** Sets the DAC B sense value to high */
2296# define TVDAC_B_SENSE_CTL (1 << 25)
2297/** Sets the DAC C sense value to high */
2298# define TVDAC_C_SENSE_CTL (1 << 24)
2299/** Overrides the ENC_ENABLE and DAC voltage levels */
2300# define DAC_CTL_OVERRIDE (1 << 7)
2301/** Sets the slew rate. Must be preserved in software */
2302# define ENC_TVDAC_SLEW_FAST (1 << 6)
2303# define DAC_A_1_3_V (0 << 4)
2304# define DAC_A_1_1_V (1 << 4)
2305# define DAC_A_0_7_V (2 << 4)
cb66c692 2306# define DAC_A_MASK (3 << 4)
585fb111
JB
2307# define DAC_B_1_3_V (0 << 2)
2308# define DAC_B_1_1_V (1 << 2)
2309# define DAC_B_0_7_V (2 << 2)
cb66c692 2310# define DAC_B_MASK (3 << 2)
585fb111
JB
2311# define DAC_C_1_3_V (0 << 0)
2312# define DAC_C_1_1_V (1 << 0)
2313# define DAC_C_0_7_V (2 << 0)
cb66c692 2314# define DAC_C_MASK (3 << 0)
585fb111
JB
2315
2316/**
2317 * CSC coefficients are stored in a floating point format with 9 bits of
2318 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
2319 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
2320 * -1 (0x3) being the only legal negative value.
2321 */
2322#define TV_CSC_Y 0x68010
2323# define TV_RY_MASK 0x07ff0000
2324# define TV_RY_SHIFT 16
2325# define TV_GY_MASK 0x00000fff
2326# define TV_GY_SHIFT 0
2327
2328#define TV_CSC_Y2 0x68014
2329# define TV_BY_MASK 0x07ff0000
2330# define TV_BY_SHIFT 16
2331/**
2332 * Y attenuation for component video.
2333 *
2334 * Stored in 1.9 fixed point.
2335 */
2336# define TV_AY_MASK 0x000003ff
2337# define TV_AY_SHIFT 0
2338
2339#define TV_CSC_U 0x68018
2340# define TV_RU_MASK 0x07ff0000
2341# define TV_RU_SHIFT 16
2342# define TV_GU_MASK 0x000007ff
2343# define TV_GU_SHIFT 0
2344
2345#define TV_CSC_U2 0x6801c
2346# define TV_BU_MASK 0x07ff0000
2347# define TV_BU_SHIFT 16
2348/**
2349 * U attenuation for component video.
2350 *
2351 * Stored in 1.9 fixed point.
2352 */
2353# define TV_AU_MASK 0x000003ff
2354# define TV_AU_SHIFT 0
2355
2356#define TV_CSC_V 0x68020
2357# define TV_RV_MASK 0x0fff0000
2358# define TV_RV_SHIFT 16
2359# define TV_GV_MASK 0x000007ff
2360# define TV_GV_SHIFT 0
2361
2362#define TV_CSC_V2 0x68024
2363# define TV_BV_MASK 0x07ff0000
2364# define TV_BV_SHIFT 16
2365/**
2366 * V attenuation for component video.
2367 *
2368 * Stored in 1.9 fixed point.
2369 */
2370# define TV_AV_MASK 0x000007ff
2371# define TV_AV_SHIFT 0
2372
2373#define TV_CLR_KNOBS 0x68028
2374/** 2s-complement brightness adjustment */
2375# define TV_BRIGHTNESS_MASK 0xff000000
2376# define TV_BRIGHTNESS_SHIFT 24
2377/** Contrast adjustment, as a 2.6 unsigned floating point number */
2378# define TV_CONTRAST_MASK 0x00ff0000
2379# define TV_CONTRAST_SHIFT 16
2380/** Saturation adjustment, as a 2.6 unsigned floating point number */
2381# define TV_SATURATION_MASK 0x0000ff00
2382# define TV_SATURATION_SHIFT 8
2383/** Hue adjustment, as an integer phase angle in degrees */
2384# define TV_HUE_MASK 0x000000ff
2385# define TV_HUE_SHIFT 0
2386
2387#define TV_CLR_LEVEL 0x6802c
2388/** Controls the DAC level for black */
2389# define TV_BLACK_LEVEL_MASK 0x01ff0000
2390# define TV_BLACK_LEVEL_SHIFT 16
2391/** Controls the DAC level for blanking */
2392# define TV_BLANK_LEVEL_MASK 0x000001ff
2393# define TV_BLANK_LEVEL_SHIFT 0
2394
2395#define TV_H_CTL_1 0x68030
2396/** Number of pixels in the hsync. */
2397# define TV_HSYNC_END_MASK 0x1fff0000
2398# define TV_HSYNC_END_SHIFT 16
2399/** Total number of pixels minus one in the line (display and blanking). */
2400# define TV_HTOTAL_MASK 0x00001fff
2401# define TV_HTOTAL_SHIFT 0
2402
2403#define TV_H_CTL_2 0x68034
2404/** Enables the colorburst (needed for non-component color) */
2405# define TV_BURST_ENA (1 << 31)
2406/** Offset of the colorburst from the start of hsync, in pixels minus one. */
2407# define TV_HBURST_START_SHIFT 16
2408# define TV_HBURST_START_MASK 0x1fff0000
2409/** Length of the colorburst */
2410# define TV_HBURST_LEN_SHIFT 0
2411# define TV_HBURST_LEN_MASK 0x0001fff
2412
2413#define TV_H_CTL_3 0x68038
2414/** End of hblank, measured in pixels minus one from start of hsync */
2415# define TV_HBLANK_END_SHIFT 16
2416# define TV_HBLANK_END_MASK 0x1fff0000
2417/** Start of hblank, measured in pixels minus one from start of hsync */
2418# define TV_HBLANK_START_SHIFT 0
2419# define TV_HBLANK_START_MASK 0x0001fff
2420
2421#define TV_V_CTL_1 0x6803c
2422/** XXX */
2423# define TV_NBR_END_SHIFT 16
2424# define TV_NBR_END_MASK 0x07ff0000
2425/** XXX */
2426# define TV_VI_END_F1_SHIFT 8
2427# define TV_VI_END_F1_MASK 0x00003f00
2428/** XXX */
2429# define TV_VI_END_F2_SHIFT 0
2430# define TV_VI_END_F2_MASK 0x0000003f
2431
2432#define TV_V_CTL_2 0x68040
2433/** Length of vsync, in half lines */
2434# define TV_VSYNC_LEN_MASK 0x07ff0000
2435# define TV_VSYNC_LEN_SHIFT 16
2436/** Offset of the start of vsync in field 1, measured in one less than the
2437 * number of half lines.
2438 */
2439# define TV_VSYNC_START_F1_MASK 0x00007f00
2440# define TV_VSYNC_START_F1_SHIFT 8
2441/**
2442 * Offset of the start of vsync in field 2, measured in one less than the
2443 * number of half lines.
2444 */
2445# define TV_VSYNC_START_F2_MASK 0x0000007f
2446# define TV_VSYNC_START_F2_SHIFT 0
2447
2448#define TV_V_CTL_3 0x68044
2449/** Enables generation of the equalization signal */
2450# define TV_EQUAL_ENA (1 << 31)
2451/** Length of vsync, in half lines */
2452# define TV_VEQ_LEN_MASK 0x007f0000
2453# define TV_VEQ_LEN_SHIFT 16
2454/** Offset of the start of equalization in field 1, measured in one less than
2455 * the number of half lines.
2456 */
2457# define TV_VEQ_START_F1_MASK 0x0007f00
2458# define TV_VEQ_START_F1_SHIFT 8
2459/**
2460 * Offset of the start of equalization in field 2, measured in one less than
2461 * the number of half lines.
2462 */
2463# define TV_VEQ_START_F2_MASK 0x000007f
2464# define TV_VEQ_START_F2_SHIFT 0
2465
2466#define TV_V_CTL_4 0x68048
2467/**
2468 * Offset to start of vertical colorburst, measured in one less than the
2469 * number of lines from vertical start.
2470 */
2471# define TV_VBURST_START_F1_MASK 0x003f0000
2472# define TV_VBURST_START_F1_SHIFT 16
2473/**
2474 * Offset to the end of vertical colorburst, measured in one less than the
2475 * number of lines from the start of NBR.
2476 */
2477# define TV_VBURST_END_F1_MASK 0x000000ff
2478# define TV_VBURST_END_F1_SHIFT 0
2479
2480#define TV_V_CTL_5 0x6804c
2481/**
2482 * Offset to start of vertical colorburst, measured in one less than the
2483 * number of lines from vertical start.
2484 */
2485# define TV_VBURST_START_F2_MASK 0x003f0000
2486# define TV_VBURST_START_F2_SHIFT 16
2487/**
2488 * Offset to the end of vertical colorburst, measured in one less than the
2489 * number of lines from the start of NBR.
2490 */
2491# define TV_VBURST_END_F2_MASK 0x000000ff
2492# define TV_VBURST_END_F2_SHIFT 0
2493
2494#define TV_V_CTL_6 0x68050
2495/**
2496 * Offset to start of vertical colorburst, measured in one less than the
2497 * number of lines from vertical start.
2498 */
2499# define TV_VBURST_START_F3_MASK 0x003f0000
2500# define TV_VBURST_START_F3_SHIFT 16
2501/**
2502 * Offset to the end of vertical colorburst, measured in one less than the
2503 * number of lines from the start of NBR.
2504 */
2505# define TV_VBURST_END_F3_MASK 0x000000ff
2506# define TV_VBURST_END_F3_SHIFT 0
2507
2508#define TV_V_CTL_7 0x68054
2509/**
2510 * Offset to start of vertical colorburst, measured in one less than the
2511 * number of lines from vertical start.
2512 */
2513# define TV_VBURST_START_F4_MASK 0x003f0000
2514# define TV_VBURST_START_F4_SHIFT 16
2515/**
2516 * Offset to the end of vertical colorburst, measured in one less than the
2517 * number of lines from the start of NBR.
2518 */
2519# define TV_VBURST_END_F4_MASK 0x000000ff
2520# define TV_VBURST_END_F4_SHIFT 0
2521
2522#define TV_SC_CTL_1 0x68060
2523/** Turns on the first subcarrier phase generation DDA */
2524# define TV_SC_DDA1_EN (1 << 31)
2525/** Turns on the first subcarrier phase generation DDA */
2526# define TV_SC_DDA2_EN (1 << 30)
2527/** Turns on the first subcarrier phase generation DDA */
2528# define TV_SC_DDA3_EN (1 << 29)
2529/** Sets the subcarrier DDA to reset frequency every other field */
2530# define TV_SC_RESET_EVERY_2 (0 << 24)
2531/** Sets the subcarrier DDA to reset frequency every fourth field */
2532# define TV_SC_RESET_EVERY_4 (1 << 24)
2533/** Sets the subcarrier DDA to reset frequency every eighth field */
2534# define TV_SC_RESET_EVERY_8 (2 << 24)
2535/** Sets the subcarrier DDA to never reset the frequency */
2536# define TV_SC_RESET_NEVER (3 << 24)
2537/** Sets the peak amplitude of the colorburst.*/
2538# define TV_BURST_LEVEL_MASK 0x00ff0000
2539# define TV_BURST_LEVEL_SHIFT 16
2540/** Sets the increment of the first subcarrier phase generation DDA */
2541# define TV_SCDDA1_INC_MASK 0x00000fff
2542# define TV_SCDDA1_INC_SHIFT 0
2543
2544#define TV_SC_CTL_2 0x68064
2545/** Sets the rollover for the second subcarrier phase generation DDA */
2546# define TV_SCDDA2_SIZE_MASK 0x7fff0000
2547# define TV_SCDDA2_SIZE_SHIFT 16
2548/** Sets the increent of the second subcarrier phase generation DDA */
2549# define TV_SCDDA2_INC_MASK 0x00007fff
2550# define TV_SCDDA2_INC_SHIFT 0
2551
2552#define TV_SC_CTL_3 0x68068
2553/** Sets the rollover for the third subcarrier phase generation DDA */
2554# define TV_SCDDA3_SIZE_MASK 0x7fff0000
2555# define TV_SCDDA3_SIZE_SHIFT 16
2556/** Sets the increent of the third subcarrier phase generation DDA */
2557# define TV_SCDDA3_INC_MASK 0x00007fff
2558# define TV_SCDDA3_INC_SHIFT 0
2559
2560#define TV_WIN_POS 0x68070
2561/** X coordinate of the display from the start of horizontal active */
2562# define TV_XPOS_MASK 0x1fff0000
2563# define TV_XPOS_SHIFT 16
2564/** Y coordinate of the display from the start of vertical active (NBR) */
2565# define TV_YPOS_MASK 0x00000fff
2566# define TV_YPOS_SHIFT 0
2567
2568#define TV_WIN_SIZE 0x68074
2569/** Horizontal size of the display window, measured in pixels*/
2570# define TV_XSIZE_MASK 0x1fff0000
2571# define TV_XSIZE_SHIFT 16
2572/**
2573 * Vertical size of the display window, measured in pixels.
2574 *
2575 * Must be even for interlaced modes.
2576 */
2577# define TV_YSIZE_MASK 0x00000fff
2578# define TV_YSIZE_SHIFT 0
2579
2580#define TV_FILTER_CTL_1 0x68080
2581/**
2582 * Enables automatic scaling calculation.
2583 *
2584 * If set, the rest of the registers are ignored, and the calculated values can
2585 * be read back from the register.
2586 */
2587# define TV_AUTO_SCALE (1 << 31)
2588/**
2589 * Disables the vertical filter.
2590 *
2591 * This is required on modes more than 1024 pixels wide */
2592# define TV_V_FILTER_BYPASS (1 << 29)
2593/** Enables adaptive vertical filtering */
2594# define TV_VADAPT (1 << 28)
2595# define TV_VADAPT_MODE_MASK (3 << 26)
2596/** Selects the least adaptive vertical filtering mode */
2597# define TV_VADAPT_MODE_LEAST (0 << 26)
2598/** Selects the moderately adaptive vertical filtering mode */
2599# define TV_VADAPT_MODE_MODERATE (1 << 26)
2600/** Selects the most adaptive vertical filtering mode */
2601# define TV_VADAPT_MODE_MOST (3 << 26)
2602/**
2603 * Sets the horizontal scaling factor.
2604 *
2605 * This should be the fractional part of the horizontal scaling factor divided
2606 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
2607 *
2608 * (src width - 1) / ((oversample * dest width) - 1)
2609 */
2610# define TV_HSCALE_FRAC_MASK 0x00003fff
2611# define TV_HSCALE_FRAC_SHIFT 0
2612
2613#define TV_FILTER_CTL_2 0x68084
2614/**
2615 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2616 *
2617 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
2618 */
2619# define TV_VSCALE_INT_MASK 0x00038000
2620# define TV_VSCALE_INT_SHIFT 15
2621/**
2622 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2623 *
2624 * \sa TV_VSCALE_INT_MASK
2625 */
2626# define TV_VSCALE_FRAC_MASK 0x00007fff
2627# define TV_VSCALE_FRAC_SHIFT 0
2628
2629#define TV_FILTER_CTL_3 0x68088
2630/**
2631 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
2632 *
2633 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
2634 *
2635 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2636 */
2637# define TV_VSCALE_IP_INT_MASK 0x00038000
2638# define TV_VSCALE_IP_INT_SHIFT 15
2639/**
2640 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
2641 *
2642 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
2643 *
2644 * \sa TV_VSCALE_IP_INT_MASK
2645 */
2646# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
2647# define TV_VSCALE_IP_FRAC_SHIFT 0
2648
2649#define TV_CC_CONTROL 0x68090
2650# define TV_CC_ENABLE (1 << 31)
2651/**
2652 * Specifies which field to send the CC data in.
2653 *
2654 * CC data is usually sent in field 0.
2655 */
2656# define TV_CC_FID_MASK (1 << 27)
2657# define TV_CC_FID_SHIFT 27
2658/** Sets the horizontal position of the CC data. Usually 135. */
2659# define TV_CC_HOFF_MASK 0x03ff0000
2660# define TV_CC_HOFF_SHIFT 16
2661/** Sets the vertical position of the CC data. Usually 21 */
2662# define TV_CC_LINE_MASK 0x0000003f
2663# define TV_CC_LINE_SHIFT 0
2664
2665#define TV_CC_DATA 0x68094
2666# define TV_CC_RDY (1 << 31)
2667/** Second word of CC data to be transmitted. */
2668# define TV_CC_DATA_2_MASK 0x007f0000
2669# define TV_CC_DATA_2_SHIFT 16
2670/** First word of CC data to be transmitted. */
2671# define TV_CC_DATA_1_MASK 0x0000007f
2672# define TV_CC_DATA_1_SHIFT 0
2673
2674#define TV_H_LUMA_0 0x68100
2675#define TV_H_LUMA_59 0x681ec
2676#define TV_H_CHROMA_0 0x68200
2677#define TV_H_CHROMA_59 0x682ec
2678#define TV_V_LUMA_0 0x68300
2679#define TV_V_LUMA_42 0x683a8
2680#define TV_V_CHROMA_0 0x68400
2681#define TV_V_CHROMA_42 0x684a8
2682
040d87f1 2683/* Display Port */
32f9d658 2684#define DP_A 0x64000 /* eDP */
040d87f1
KP
2685#define DP_B 0x64100
2686#define DP_C 0x64200
2687#define DP_D 0x64300
2688
2689#define DP_PORT_EN (1 << 31)
2690#define DP_PIPEB_SELECT (1 << 30)
47a05eca
JB
2691#define DP_PIPE_MASK (1 << 30)
2692
040d87f1
KP
2693/* Link training mode - select a suitable mode for each stage */
2694#define DP_LINK_TRAIN_PAT_1 (0 << 28)
2695#define DP_LINK_TRAIN_PAT_2 (1 << 28)
2696#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
2697#define DP_LINK_TRAIN_OFF (3 << 28)
2698#define DP_LINK_TRAIN_MASK (3 << 28)
2699#define DP_LINK_TRAIN_SHIFT 28
2700
8db9d77b
ZW
2701/* CPT Link training mode */
2702#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
2703#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
2704#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
2705#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
2706#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
2707#define DP_LINK_TRAIN_SHIFT_CPT 8
2708
040d87f1
KP
2709/* Signal voltages. These are mostly controlled by the other end */
2710#define DP_VOLTAGE_0_4 (0 << 25)
2711#define DP_VOLTAGE_0_6 (1 << 25)
2712#define DP_VOLTAGE_0_8 (2 << 25)
2713#define DP_VOLTAGE_1_2 (3 << 25)
2714#define DP_VOLTAGE_MASK (7 << 25)
2715#define DP_VOLTAGE_SHIFT 25
2716
2717/* Signal pre-emphasis levels, like voltages, the other end tells us what
2718 * they want
2719 */
2720#define DP_PRE_EMPHASIS_0 (0 << 22)
2721#define DP_PRE_EMPHASIS_3_5 (1 << 22)
2722#define DP_PRE_EMPHASIS_6 (2 << 22)
2723#define DP_PRE_EMPHASIS_9_5 (3 << 22)
2724#define DP_PRE_EMPHASIS_MASK (7 << 22)
2725#define DP_PRE_EMPHASIS_SHIFT 22
2726
2727/* How many wires to use. I guess 3 was too hard */
17aa6be9 2728#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1
KP
2729#define DP_PORT_WIDTH_MASK (7 << 19)
2730
2731/* Mystic DPCD version 1.1 special mode */
2732#define DP_ENHANCED_FRAMING (1 << 18)
2733
32f9d658
ZW
2734/* eDP */
2735#define DP_PLL_FREQ_270MHZ (0 << 16)
2736#define DP_PLL_FREQ_160MHZ (1 << 16)
2737#define DP_PLL_FREQ_MASK (3 << 16)
2738
040d87f1
KP
2739/** locked once port is enabled */
2740#define DP_PORT_REVERSAL (1 << 15)
2741
32f9d658
ZW
2742/* eDP */
2743#define DP_PLL_ENABLE (1 << 14)
2744
040d87f1
KP
2745/** sends the clock on lane 15 of the PEG for debug */
2746#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
2747
2748#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 2749#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1
KP
2750
2751/** limit RGB values to avoid confusing TVs */
2752#define DP_COLOR_RANGE_16_235 (1 << 8)
2753
2754/** Turn on the audio link */
2755#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
2756
2757/** vs and hs sync polarity */
2758#define DP_SYNC_VS_HIGH (1 << 4)
2759#define DP_SYNC_HS_HIGH (1 << 3)
2760
2761/** A fantasy */
2762#define DP_DETECTED (1 << 2)
2763
2764/** The aux channel provides a way to talk to the
2765 * signal sink for DDC etc. Max packet size supported
2766 * is 20 bytes in each direction, hence the 5 fixed
2767 * data registers
2768 */
32f9d658
ZW
2769#define DPA_AUX_CH_CTL 0x64010
2770#define DPA_AUX_CH_DATA1 0x64014
2771#define DPA_AUX_CH_DATA2 0x64018
2772#define DPA_AUX_CH_DATA3 0x6401c
2773#define DPA_AUX_CH_DATA4 0x64020
2774#define DPA_AUX_CH_DATA5 0x64024
2775
040d87f1
KP
2776#define DPB_AUX_CH_CTL 0x64110
2777#define DPB_AUX_CH_DATA1 0x64114
2778#define DPB_AUX_CH_DATA2 0x64118
2779#define DPB_AUX_CH_DATA3 0x6411c
2780#define DPB_AUX_CH_DATA4 0x64120
2781#define DPB_AUX_CH_DATA5 0x64124
2782
2783#define DPC_AUX_CH_CTL 0x64210
2784#define DPC_AUX_CH_DATA1 0x64214
2785#define DPC_AUX_CH_DATA2 0x64218
2786#define DPC_AUX_CH_DATA3 0x6421c
2787#define DPC_AUX_CH_DATA4 0x64220
2788#define DPC_AUX_CH_DATA5 0x64224
2789
2790#define DPD_AUX_CH_CTL 0x64310
2791#define DPD_AUX_CH_DATA1 0x64314
2792#define DPD_AUX_CH_DATA2 0x64318
2793#define DPD_AUX_CH_DATA3 0x6431c
2794#define DPD_AUX_CH_DATA4 0x64320
2795#define DPD_AUX_CH_DATA5 0x64324
2796
2797#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
2798#define DP_AUX_CH_CTL_DONE (1 << 30)
2799#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
2800#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
2801#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
2802#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
2803#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
2804#define DP_AUX_CH_CTL_TIME_OUT_1600us (3 << 26)
2805#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
2806#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
2807#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
2808#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
2809#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
2810#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
2811#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
2812#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
2813#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
2814#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
2815#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
2816#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
2817#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
2818
2819/*
2820 * Computing GMCH M and N values for the Display Port link
2821 *
2822 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
2823 *
2824 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
2825 *
2826 * The GMCH value is used internally
2827 *
2828 * bytes_per_pixel is the number of bytes coming out of the plane,
2829 * which is after the LUTs, so we want the bytes for our color format.
2830 * For our current usage, this is always 3, one byte for R, G and B.
2831 */
e3b95f1e
DV
2832#define _PIPEA_DATA_M_G4X 0x70050
2833#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
2834
2835/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
a65851af 2836#define TU_SIZE(x) (((x)-1) << 25) /* default size 64 */
72419203 2837#define TU_SIZE_SHIFT 25
a65851af 2838#define TU_SIZE_MASK (0x3f << 25)
040d87f1 2839
a65851af
VS
2840#define DATA_LINK_M_N_MASK (0xffffff)
2841#define DATA_LINK_N_MAX (0x800000)
040d87f1 2842
e3b95f1e
DV
2843#define _PIPEA_DATA_N_G4X 0x70054
2844#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
2845#define PIPE_GMCH_DATA_N_MASK (0xffffff)
2846
2847/*
2848 * Computing Link M and N values for the Display Port link
2849 *
2850 * Link M / N = pixel_clock / ls_clk
2851 *
2852 * (the DP spec calls pixel_clock the 'strm_clk')
2853 *
2854 * The Link value is transmitted in the Main Stream
2855 * Attributes and VB-ID.
2856 */
2857
e3b95f1e
DV
2858#define _PIPEA_LINK_M_G4X 0x70060
2859#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
2860#define PIPEA_DP_LINK_M_MASK (0xffffff)
2861
e3b95f1e
DV
2862#define _PIPEA_LINK_N_G4X 0x70064
2863#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
2864#define PIPEA_DP_LINK_N_MASK (0xffffff)
2865
e3b95f1e
DV
2866#define PIPE_DATA_M_G4X(pipe) _PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
2867#define PIPE_DATA_N_G4X(pipe) _PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
2868#define PIPE_LINK_M_G4X(pipe) _PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
2869#define PIPE_LINK_N_G4X(pipe) _PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 2870
585fb111
JB
2871/* Display & cursor control */
2872
2873/* Pipe A */
0c3870ee 2874#define _PIPEADSL (dev_priv->info->display_mmio_offset + 0x70000)
837ba00f
PZ
2875#define DSL_LINEMASK_GEN2 0x00000fff
2876#define DSL_LINEMASK_GEN3 0x00001fff
0c3870ee 2877#define _PIPEACONF (dev_priv->info->display_mmio_offset + 0x70008)
5eddb70b
CW
2878#define PIPECONF_ENABLE (1<<31)
2879#define PIPECONF_DISABLE 0
2880#define PIPECONF_DOUBLE_WIDE (1<<30)
585fb111 2881#define I965_PIPECONF_ACTIVE (1<<30)
f47166d2 2882#define PIPECONF_FRAME_START_DELAY_MASK (3<<27)
5eddb70b
CW
2883#define PIPECONF_SINGLE_WIDE 0
2884#define PIPECONF_PIPE_UNLOCKED 0
2885#define PIPECONF_PIPE_LOCKED (1<<25)
2886#define PIPECONF_PALETTE 0
2887#define PIPECONF_GAMMA (1<<24)
585fb111 2888#define PIPECONF_FORCE_BORDER (1<<25)
59df7b17 2889#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 2890#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
2891/* Note that pre-gen3 does not support interlaced display directly. Panel
2892 * fitting must be disabled on pre-ilk for interlaced. */
2893#define PIPECONF_PROGRESSIVE (0 << 21)
2894#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
2895#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
2896#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
2897#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
2898/* Ironlake and later have a complete new set of values for interlaced. PFIT
2899 * means panel fitter required, PF means progressive fetch, DBL means power
2900 * saving pixel doubling. */
2901#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
2902#define PIPECONF_INTERLACED_ILK (3 << 21)
2903#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
2904#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 2905#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
652c393a 2906#define PIPECONF_CXSR_DOWNCLOCK (1<<16)
3685a8f3 2907#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72
DV
2908#define PIPECONF_BPC_MASK (0x7 << 5)
2909#define PIPECONF_8BPC (0<<5)
2910#define PIPECONF_10BPC (1<<5)
2911#define PIPECONF_6BPC (2<<5)
2912#define PIPECONF_12BPC (3<<5)
4f0d1aff
JB
2913#define PIPECONF_DITHER_EN (1<<4)
2914#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
2915#define PIPECONF_DITHER_TYPE_SP (0<<2)
2916#define PIPECONF_DITHER_TYPE_ST1 (1<<2)
2917#define PIPECONF_DITHER_TYPE_ST2 (2<<2)
2918#define PIPECONF_DITHER_TYPE_TEMP (3<<2)
0c3870ee 2919#define _PIPEASTAT (dev_priv->info->display_mmio_offset + 0x70024)
585fb111 2920#define PIPE_FIFO_UNDERRUN_STATUS (1UL<<31)
c46ce4d7 2921#define SPRITE1_FLIPDONE_INT_EN_VLV (1UL<<30)
585fb111
JB
2922#define PIPE_CRC_ERROR_ENABLE (1UL<<29)
2923#define PIPE_CRC_DONE_ENABLE (1UL<<28)
2924#define PIPE_GMBUS_EVENT_ENABLE (1UL<<27)
c46ce4d7 2925#define PLANE_FLIP_DONE_INT_EN_VLV (1UL<<26)
585fb111
JB
2926#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL<<26)
2927#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL<<25)
2928#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL<<24)
2929#define PIPE_DPST_EVENT_ENABLE (1UL<<23)
c70af1e4 2930#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL<<22)
585fb111
JB
2931#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL<<22)
2932#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL<<21)
2933#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL<<20)
2934#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL<<18) /* pre-965 */
2935#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL<<18) /* 965 or later */
2936#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL<<17)
c46ce4d7 2937#define PIPEA_HBLANK_INT_EN_VLV (1UL<<16)
585fb111 2938#define PIPE_OVERLAY_UPDATED_ENABLE (1UL<<16)
c46ce4d7 2939#define SPRITE1_FLIPDONE_INT_STATUS_VLV (1UL<<15)
c70af1e4 2940#define SPRITE0_FLIPDONE_INT_STATUS_VLV (1UL<<14)
585fb111
JB
2941#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL<<13)
2942#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL<<12)
2943#define PIPE_GMBUS_INTERRUPT_STATUS (1UL<<11)
c46ce4d7 2944#define PLANE_FLIPDONE_INT_STATUS_VLV (1UL<<10)
585fb111
JB
2945#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL<<10)
2946#define PIPE_VSYNC_INTERRUPT_STATUS (1UL<<9)
2947#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL<<8)
2948#define PIPE_DPST_EVENT_STATUS (1UL<<7)
2949#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL<<6)
2950#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL<<5)
2951#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL<<4)
2952#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL<<2) /* pre-965 */
2953#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL<<2) /* 965 or later */
2954#define PIPE_VBLANK_INTERRUPT_STATUS (1UL<<1)
2955#define PIPE_OVERLAY_UPDATED_STATUS (1UL<<0)
2956
9db4a9c7 2957#define PIPESRC(pipe) _PIPE(pipe, _PIPEASRC, _PIPEBSRC)
702e7a56 2958#define PIPECONF(tran) _TRANSCODER(tran, _PIPEACONF, _PIPEBCONF)
9db4a9c7
JB
2959#define PIPEDSL(pipe) _PIPE(pipe, _PIPEADSL, _PIPEBDSL)
2960#define PIPEFRAME(pipe) _PIPE(pipe, _PIPEAFRAMEHIGH, _PIPEBFRAMEHIGH)
2961#define PIPEFRAMEPIXEL(pipe) _PIPE(pipe, _PIPEAFRAMEPIXEL, _PIPEBFRAMEPIXEL)
2962#define PIPESTAT(pipe) _PIPE(pipe, _PIPEASTAT, _PIPEBSTAT)
5eddb70b 2963
b41fbda1 2964#define VLV_DPFLIPSTAT (VLV_DISPLAY_BASE + 0x70028)
7983117f 2965#define PIPEB_LINE_COMPARE_INT_EN (1<<29)
c46ce4d7
JB
2966#define PIPEB_HLINE_INT_EN (1<<28)
2967#define PIPEB_VBLANK_INT_EN (1<<27)
2968#define SPRITED_FLIPDONE_INT_EN (1<<26)
2969#define SPRITEC_FLIPDONE_INT_EN (1<<25)
2970#define PLANEB_FLIPDONE_INT_EN (1<<24)
7983117f 2971#define PIPEA_LINE_COMPARE_INT_EN (1<<21)
c46ce4d7
JB
2972#define PIPEA_HLINE_INT_EN (1<<20)
2973#define PIPEA_VBLANK_INT_EN (1<<19)
2974#define SPRITEB_FLIPDONE_INT_EN (1<<18)
2975#define SPRITEA_FLIPDONE_INT_EN (1<<17)
2976#define PLANEA_FLIPDONE_INT_EN (1<<16)
2977
b41fbda1 2978#define DPINVGTT (VLV_DISPLAY_BASE + 0x7002c) /* VLV only */
c46ce4d7
JB
2979#define CURSORB_INVALID_GTT_INT_EN (1<<23)
2980#define CURSORA_INVALID_GTT_INT_EN (1<<22)
2981#define SPRITED_INVALID_GTT_INT_EN (1<<21)
2982#define SPRITEC_INVALID_GTT_INT_EN (1<<20)
2983#define PLANEB_INVALID_GTT_INT_EN (1<<19)
2984#define SPRITEB_INVALID_GTT_INT_EN (1<<18)
2985#define SPRITEA_INVALID_GTT_INT_EN (1<<17)
2986#define PLANEA_INVALID_GTT_INT_EN (1<<16)
2987#define DPINVGTT_EN_MASK 0xff0000
2988#define CURSORB_INVALID_GTT_STATUS (1<<7)
2989#define CURSORA_INVALID_GTT_STATUS (1<<6)
2990#define SPRITED_INVALID_GTT_STATUS (1<<5)
2991#define SPRITEC_INVALID_GTT_STATUS (1<<4)
2992#define PLANEB_INVALID_GTT_STATUS (1<<3)
2993#define SPRITEB_INVALID_GTT_STATUS (1<<2)
2994#define SPRITEA_INVALID_GTT_STATUS (1<<1)
2995#define PLANEA_INVALID_GTT_STATUS (1<<0)
2996#define DPINVGTT_STATUS_MASK 0xff
2997
585fb111
JB
2998#define DSPARB 0x70030
2999#define DSPARB_CSTART_MASK (0x7f << 7)
3000#define DSPARB_CSTART_SHIFT 7
3001#define DSPARB_BSTART_MASK (0x7f)
3002#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
3003#define DSPARB_BEND_SHIFT 9 /* on 855 */
3004#define DSPARB_AEND_SHIFT 0
3005
90f7da3f 3006#define DSPFW1 (dev_priv->info->display_mmio_offset + 0x70034)
0e442c60 3007#define DSPFW_SR_SHIFT 23
0206e353 3008#define DSPFW_SR_MASK (0x1ff<<23)
0e442c60 3009#define DSPFW_CURSORB_SHIFT 16
d4294342 3010#define DSPFW_CURSORB_MASK (0x3f<<16)
0e442c60 3011#define DSPFW_PLANEB_SHIFT 8
d4294342
ZY
3012#define DSPFW_PLANEB_MASK (0x7f<<8)
3013#define DSPFW_PLANEA_MASK (0x7f)
90f7da3f 3014#define DSPFW2 (dev_priv->info->display_mmio_offset + 0x70038)
0e442c60 3015#define DSPFW_CURSORA_MASK 0x00003f00
21bd770b 3016#define DSPFW_CURSORA_SHIFT 8
d4294342 3017#define DSPFW_PLANEC_MASK (0x7f)
90f7da3f 3018#define DSPFW3 (dev_priv->info->display_mmio_offset + 0x7003c)
0e442c60
JB
3019#define DSPFW_HPLL_SR_EN (1<<31)
3020#define DSPFW_CURSOR_SR_SHIFT 24
f2b115e6 3021#define PINEVIEW_SELF_REFRESH_EN (1<<30)
d4294342
ZY
3022#define DSPFW_CURSOR_SR_MASK (0x3f<<24)
3023#define DSPFW_HPLL_CURSOR_SHIFT 16
3024#define DSPFW_HPLL_CURSOR_MASK (0x3f<<16)
3025#define DSPFW_HPLL_SR_MASK (0x1ff)
12569ad6
JB
3026#define DSPFW4 (dev_priv->info->display_mmio_offset + 0x70070)
3027#define DSPFW7 (dev_priv->info->display_mmio_offset + 0x7007c)
7662c8bd 3028
12a3c055
GB
3029/* drain latency register values*/
3030#define DRAIN_LATENCY_PRECISION_32 32
3031#define DRAIN_LATENCY_PRECISION_16 16
8f6d8ee9 3032#define VLV_DDL1 (VLV_DISPLAY_BASE + 0x70050)
12a3c055
GB
3033#define DDL_CURSORA_PRECISION_32 (1<<31)
3034#define DDL_CURSORA_PRECISION_16 (0<<31)
3035#define DDL_CURSORA_SHIFT 24
3036#define DDL_PLANEA_PRECISION_32 (1<<7)
3037#define DDL_PLANEA_PRECISION_16 (0<<7)
8f6d8ee9 3038#define VLV_DDL2 (VLV_DISPLAY_BASE + 0x70054)
12a3c055
GB
3039#define DDL_CURSORB_PRECISION_32 (1<<31)
3040#define DDL_CURSORB_PRECISION_16 (0<<31)
3041#define DDL_CURSORB_SHIFT 24
3042#define DDL_PLANEB_PRECISION_32 (1<<7)
3043#define DDL_PLANEB_PRECISION_16 (0<<7)
3044
7662c8bd 3045/* FIFO watermark sizes etc */
0e442c60 3046#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
3047#define I915_FIFO_LINE_SIZE 64
3048#define I830_FIFO_LINE_SIZE 32
0e442c60 3049
ceb04246 3050#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 3051#define G4X_FIFO_SIZE 127
1b07e04e
ZY
3052#define I965_FIFO_SIZE 512
3053#define I945_FIFO_SIZE 127
7662c8bd 3054#define I915_FIFO_SIZE 95
dff33cfc 3055#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 3056#define I830_FIFO_SIZE 95
0e442c60 3057
ceb04246 3058#define VALLEYVIEW_MAX_WM 0xff
0e442c60 3059#define G4X_MAX_WM 0x3f
7662c8bd
SL
3060#define I915_MAX_WM 0x3f
3061
f2b115e6
AJ
3062#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
3063#define PINEVIEW_FIFO_LINE_SIZE 64
3064#define PINEVIEW_MAX_WM 0x1ff
3065#define PINEVIEW_DFT_WM 0x3f
3066#define PINEVIEW_DFT_HPLLOFF_WM 0
3067#define PINEVIEW_GUARD_WM 10
3068#define PINEVIEW_CURSOR_FIFO 64
3069#define PINEVIEW_CURSOR_MAX_WM 0x3f
3070#define PINEVIEW_CURSOR_DFT_WM 0
3071#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 3072
ceb04246 3073#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
3074#define I965_CURSOR_FIFO 64
3075#define I965_CURSOR_MAX_WM 32
3076#define I965_CURSOR_DFT_WM 8
7f8a8569
ZW
3077
3078/* define the Watermark register on Ironlake */
3079#define WM0_PIPEA_ILK 0x45100
3080#define WM0_PIPE_PLANE_MASK (0x7f<<16)
3081#define WM0_PIPE_PLANE_SHIFT 16
3082#define WM0_PIPE_SPRITE_MASK (0x3f<<8)
3083#define WM0_PIPE_SPRITE_SHIFT 8
3084#define WM0_PIPE_CURSOR_MASK (0x1f)
3085
3086#define WM0_PIPEB_ILK 0x45104
d6c892df 3087#define WM0_PIPEC_IVB 0x45200
7f8a8569
ZW
3088#define WM1_LP_ILK 0x45108
3089#define WM1_LP_SR_EN (1<<31)
3090#define WM1_LP_LATENCY_SHIFT 24
3091#define WM1_LP_LATENCY_MASK (0x7f<<24)
4ed765f9
CW
3092#define WM1_LP_FBC_MASK (0xf<<20)
3093#define WM1_LP_FBC_SHIFT 20
7f8a8569
ZW
3094#define WM1_LP_SR_MASK (0x1ff<<8)
3095#define WM1_LP_SR_SHIFT 8
3096#define WM1_LP_CURSOR_MASK (0x3f)
dd8849c8
JB
3097#define WM2_LP_ILK 0x4510c
3098#define WM2_LP_EN (1<<31)
3099#define WM3_LP_ILK 0x45110
3100#define WM3_LP_EN (1<<31)
3101#define WM1S_LP_ILK 0x45120
b840d907
JB
3102#define WM2S_LP_IVB 0x45124
3103#define WM3S_LP_IVB 0x45128
dd8849c8 3104#define WM1S_LP_EN (1<<31)
7f8a8569 3105
cca32e9a
PZ
3106#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
3107 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
3108 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
3109
7f8a8569
ZW
3110/* Memory latency timer register */
3111#define MLTR_ILK 0x11222
b79d4990
JB
3112#define MLTR_WM1_SHIFT 0
3113#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
3114/* the unit of memory self-refresh latency time is 0.5us */
3115#define ILK_SRLT_MASK 0x3f
b79d4990
JB
3116#define ILK_LATENCY(shift) (I915_READ(MLTR_ILK) >> (shift) & ILK_SRLT_MASK)
3117#define ILK_READ_WM1_LATENCY() ILK_LATENCY(MLTR_WM1_SHIFT)
3118#define ILK_READ_WM2_LATENCY() ILK_LATENCY(MLTR_WM2_SHIFT)
7f8a8569
ZW
3119
3120/* define the fifo size on Ironlake */
3121#define ILK_DISPLAY_FIFO 128
3122#define ILK_DISPLAY_MAXWM 64
3123#define ILK_DISPLAY_DFTWM 8
c936f44d
ZY
3124#define ILK_CURSOR_FIFO 32
3125#define ILK_CURSOR_MAXWM 16
3126#define ILK_CURSOR_DFTWM 8
7f8a8569
ZW
3127
3128#define ILK_DISPLAY_SR_FIFO 512
3129#define ILK_DISPLAY_MAX_SRWM 0x1ff
3130#define ILK_DISPLAY_DFT_SRWM 0x3f
3131#define ILK_CURSOR_SR_FIFO 64
3132#define ILK_CURSOR_MAX_SRWM 0x3f
3133#define ILK_CURSOR_DFT_SRWM 8
3134
3135#define ILK_FIFO_LINE_SIZE 64
3136
1398261a
YL
3137/* define the WM info on Sandybridge */
3138#define SNB_DISPLAY_FIFO 128
3139#define SNB_DISPLAY_MAXWM 0x7f /* bit 16:22 */
3140#define SNB_DISPLAY_DFTWM 8
3141#define SNB_CURSOR_FIFO 32
3142#define SNB_CURSOR_MAXWM 0x1f /* bit 4:0 */
3143#define SNB_CURSOR_DFTWM 8
3144
3145#define SNB_DISPLAY_SR_FIFO 512
3146#define SNB_DISPLAY_MAX_SRWM 0x1ff /* bit 16:8 */
3147#define SNB_DISPLAY_DFT_SRWM 0x3f
3148#define SNB_CURSOR_SR_FIFO 64
3149#define SNB_CURSOR_MAX_SRWM 0x3f /* bit 5:0 */
3150#define SNB_CURSOR_DFT_SRWM 8
3151
3152#define SNB_FBC_MAX_SRWM 0xf /* bit 23:20 */
3153
3154#define SNB_FIFO_LINE_SIZE 64
3155
3156
3157/* the address where we get all kinds of latency value */
3158#define SSKPD 0x5d10
3159#define SSKPD_WM_MASK 0x3f
3160#define SSKPD_WM0_SHIFT 0
3161#define SSKPD_WM1_SHIFT 8
3162#define SSKPD_WM2_SHIFT 16
3163#define SSKPD_WM3_SHIFT 24
3164
3165#define SNB_LATENCY(shift) (I915_READ(MCHBAR_MIRROR_BASE_SNB + SSKPD) >> (shift) & SSKPD_WM_MASK)
3166#define SNB_READ_WM0_LATENCY() SNB_LATENCY(SSKPD_WM0_SHIFT)
3167#define SNB_READ_WM1_LATENCY() SNB_LATENCY(SSKPD_WM1_SHIFT)
3168#define SNB_READ_WM2_LATENCY() SNB_LATENCY(SSKPD_WM2_SHIFT)
3169#define SNB_READ_WM3_LATENCY() SNB_LATENCY(SSKPD_WM3_SHIFT)
3170
585fb111
JB
3171/*
3172 * The two pipe frame counter registers are not synchronized, so
3173 * reading a stable value is somewhat tricky. The following code
3174 * should work:
3175 *
3176 * do {
3177 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
3178 * PIPE_FRAME_HIGH_SHIFT;
3179 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
3180 * PIPE_FRAME_LOW_SHIFT);
3181 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
3182 * PIPE_FRAME_HIGH_SHIFT);
3183 * } while (high1 != high2);
3184 * frame = (high1 << 8) | low1;
3185 */
0c3870ee 3186#define _PIPEAFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x70040)
585fb111
JB
3187#define PIPE_FRAME_HIGH_MASK 0x0000ffff
3188#define PIPE_FRAME_HIGH_SHIFT 0
0c3870ee 3189#define _PIPEAFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x70044)
585fb111
JB
3190#define PIPE_FRAME_LOW_MASK 0xff000000
3191#define PIPE_FRAME_LOW_SHIFT 24
3192#define PIPE_PIXEL_MASK 0x00ffffff
3193#define PIPE_PIXEL_SHIFT 0
9880b7a5 3194/* GM45+ just has to be different */
9db4a9c7
JB
3195#define _PIPEA_FRMCOUNT_GM45 0x70040
3196#define _PIPEA_FLIPCOUNT_GM45 0x70044
3197#define PIPE_FRMCOUNT_GM45(pipe) _PIPE(pipe, _PIPEA_FRMCOUNT_GM45, _PIPEB_FRMCOUNT_GM45)
585fb111
JB
3198
3199/* Cursor A & B regs */
9dc33f31 3200#define _CURACNTR (dev_priv->info->display_mmio_offset + 0x70080)
14b60391
JB
3201/* Old style CUR*CNTR flags (desktop 8xx) */
3202#define CURSOR_ENABLE 0x80000000
3203#define CURSOR_GAMMA_ENABLE 0x40000000
3204#define CURSOR_STRIDE_MASK 0x30000000
86d3efce 3205#define CURSOR_PIPE_CSC_ENABLE (1<<24)
14b60391
JB
3206#define CURSOR_FORMAT_SHIFT 24
3207#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
3208#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
3209#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
3210#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
3211#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
3212#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
3213/* New style CUR*CNTR flags */
3214#define CURSOR_MODE 0x27
585fb111
JB
3215#define CURSOR_MODE_DISABLE 0x00
3216#define CURSOR_MODE_64_32B_AX 0x07
3217#define CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
14b60391
JB
3218#define MCURSOR_PIPE_SELECT (1 << 28)
3219#define MCURSOR_PIPE_A 0x00
3220#define MCURSOR_PIPE_B (1 << 28)
585fb111 3221#define MCURSOR_GAMMA_ENABLE (1 << 26)
9dc33f31
VS
3222#define _CURABASE (dev_priv->info->display_mmio_offset + 0x70084)
3223#define _CURAPOS (dev_priv->info->display_mmio_offset + 0x70088)
585fb111
JB
3224#define CURSOR_POS_MASK 0x007FF
3225#define CURSOR_POS_SIGN 0x8000
3226#define CURSOR_X_SHIFT 0
3227#define CURSOR_Y_SHIFT 16
14b60391 3228#define CURSIZE 0x700a0
9dc33f31
VS
3229#define _CURBCNTR (dev_priv->info->display_mmio_offset + 0x700c0)
3230#define _CURBBASE (dev_priv->info->display_mmio_offset + 0x700c4)
3231#define _CURBPOS (dev_priv->info->display_mmio_offset + 0x700c8)
585fb111 3232
65a21cd6
JB
3233#define _CURBCNTR_IVB 0x71080
3234#define _CURBBASE_IVB 0x71084
3235#define _CURBPOS_IVB 0x71088
3236
9db4a9c7
JB
3237#define CURCNTR(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR)
3238#define CURBASE(pipe) _PIPE(pipe, _CURABASE, _CURBBASE)
3239#define CURPOS(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS)
c4a1d9e4 3240
65a21cd6
JB
3241#define CURCNTR_IVB(pipe) _PIPE(pipe, _CURACNTR, _CURBCNTR_IVB)
3242#define CURBASE_IVB(pipe) _PIPE(pipe, _CURABASE, _CURBBASE_IVB)
3243#define CURPOS_IVB(pipe) _PIPE(pipe, _CURAPOS, _CURBPOS_IVB)
3244
585fb111 3245/* Display A control */
895abf0c 3246#define _DSPACNTR (dev_priv->info->display_mmio_offset + 0x70180)
585fb111
JB
3247#define DISPLAY_PLANE_ENABLE (1<<31)
3248#define DISPLAY_PLANE_DISABLE 0
3249#define DISPPLANE_GAMMA_ENABLE (1<<30)
3250#define DISPPLANE_GAMMA_DISABLE 0
3251#define DISPPLANE_PIXFORMAT_MASK (0xf<<26)
57779d06 3252#define DISPPLANE_YUV422 (0x0<<26)
585fb111 3253#define DISPPLANE_8BPP (0x2<<26)
57779d06
VS
3254#define DISPPLANE_BGRA555 (0x3<<26)
3255#define DISPPLANE_BGRX555 (0x4<<26)
3256#define DISPPLANE_BGRX565 (0x5<<26)
3257#define DISPPLANE_BGRX888 (0x6<<26)
3258#define DISPPLANE_BGRA888 (0x7<<26)
3259#define DISPPLANE_RGBX101010 (0x8<<26)
3260#define DISPPLANE_RGBA101010 (0x9<<26)
3261#define DISPPLANE_BGRX101010 (0xa<<26)
3262#define DISPPLANE_RGBX161616 (0xc<<26)
3263#define DISPPLANE_RGBX888 (0xe<<26)
3264#define DISPPLANE_RGBA888 (0xf<<26)
585fb111
JB
3265#define DISPPLANE_STEREO_ENABLE (1<<25)
3266#define DISPPLANE_STEREO_DISABLE 0
86d3efce 3267#define DISPPLANE_PIPE_CSC_ENABLE (1<<24)
b24e7179
JB
3268#define DISPPLANE_SEL_PIPE_SHIFT 24
3269#define DISPPLANE_SEL_PIPE_MASK (3<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111 3270#define DISPPLANE_SEL_PIPE_A 0
b24e7179 3271#define DISPPLANE_SEL_PIPE_B (1<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111
JB
3272#define DISPPLANE_SRC_KEY_ENABLE (1<<22)
3273#define DISPPLANE_SRC_KEY_DISABLE 0
3274#define DISPPLANE_LINE_DOUBLE (1<<20)
3275#define DISPPLANE_NO_LINE_DOUBLE 0
3276#define DISPPLANE_STEREO_POLARITY_FIRST 0
3277#define DISPPLANE_STEREO_POLARITY_SECOND (1<<18)
f2b115e6 3278#define DISPPLANE_TRICKLE_FEED_DISABLE (1<<14) /* Ironlake */
f544847f 3279#define DISPPLANE_TILED (1<<10)
895abf0c
VS
3280#define _DSPAADDR (dev_priv->info->display_mmio_offset + 0x70184)
3281#define _DSPASTRIDE (dev_priv->info->display_mmio_offset + 0x70188)
3282#define _DSPAPOS (dev_priv->info->display_mmio_offset + 0x7018C) /* reserved */
3283#define _DSPASIZE (dev_priv->info->display_mmio_offset + 0x70190)
3284#define _DSPASURF (dev_priv->info->display_mmio_offset + 0x7019C) /* 965+ only */
3285#define _DSPATILEOFF (dev_priv->info->display_mmio_offset + 0x701A4) /* 965+ only */
3286#define _DSPAOFFSET (dev_priv->info->display_mmio_offset + 0x701A4) /* HSW */
3287#define _DSPASURFLIVE (dev_priv->info->display_mmio_offset + 0x701AC)
9db4a9c7
JB
3288
3289#define DSPCNTR(plane) _PIPE(plane, _DSPACNTR, _DSPBCNTR)
3290#define DSPADDR(plane) _PIPE(plane, _DSPAADDR, _DSPBADDR)
3291#define DSPSTRIDE(plane) _PIPE(plane, _DSPASTRIDE, _DSPBSTRIDE)
3292#define DSPPOS(plane) _PIPE(plane, _DSPAPOS, _DSPBPOS)
3293#define DSPSIZE(plane) _PIPE(plane, _DSPASIZE, _DSPBSIZE)
3294#define DSPSURF(plane) _PIPE(plane, _DSPASURF, _DSPBSURF)
3295#define DSPTILEOFF(plane) _PIPE(plane, _DSPATILEOFF, _DSPBTILEOFF)
e506a0c6 3296#define DSPLINOFF(plane) DSPADDR(plane)
bc1c91eb 3297#define DSPOFFSET(plane) _PIPE(plane, _DSPAOFFSET, _DSPBOFFSET)
32ae46bf 3298#define DSPSURFLIVE(plane) _PIPE(plane, _DSPASURFLIVE, _DSPBSURFLIVE)
5eddb70b 3299
446f2545
AR
3300/* Display/Sprite base address macros */
3301#define DISP_BASEADDR_MASK (0xfffff000)
3302#define I915_LO_DISPBASE(val) (val & ~DISP_BASEADDR_MASK)
3303#define I915_HI_DISPBASE(val) (val & DISP_BASEADDR_MASK)
3304#define I915_MODIFY_DISPBASE(reg, gfx_addr) \
c2c75131 3305 (I915_WRITE((reg), (gfx_addr) | I915_LO_DISPBASE(I915_READ(reg))))
446f2545 3306
585fb111 3307/* VBIOS flags */
80a75f7c
VS
3308#define SWF00 (dev_priv->info->display_mmio_offset + 0x71410)
3309#define SWF01 (dev_priv->info->display_mmio_offset + 0x71414)
3310#define SWF02 (dev_priv->info->display_mmio_offset + 0x71418)
3311#define SWF03 (dev_priv->info->display_mmio_offset + 0x7141c)
3312#define SWF04 (dev_priv->info->display_mmio_offset + 0x71420)
3313#define SWF05 (dev_priv->info->display_mmio_offset + 0x71424)
3314#define SWF06 (dev_priv->info->display_mmio_offset + 0x71428)
3315#define SWF10 (dev_priv->info->display_mmio_offset + 0x70410)
3316#define SWF11 (dev_priv->info->display_mmio_offset + 0x70414)
3317#define SWF14 (dev_priv->info->display_mmio_offset + 0x71420)
3318#define SWF30 (dev_priv->info->display_mmio_offset + 0x72414)
3319#define SWF31 (dev_priv->info->display_mmio_offset + 0x72418)
3320#define SWF32 (dev_priv->info->display_mmio_offset + 0x7241c)
585fb111
JB
3321
3322/* Pipe B */
0c3870ee
VS
3323#define _PIPEBDSL (dev_priv->info->display_mmio_offset + 0x71000)
3324#define _PIPEBCONF (dev_priv->info->display_mmio_offset + 0x71008)
3325#define _PIPEBSTAT (dev_priv->info->display_mmio_offset + 0x71024)
3326#define _PIPEBFRAMEHIGH (dev_priv->info->display_mmio_offset + 0x71040)
3327#define _PIPEBFRAMEPIXEL (dev_priv->info->display_mmio_offset + 0x71044)
9db4a9c7
JB
3328#define _PIPEB_FRMCOUNT_GM45 0x71040
3329#define _PIPEB_FLIPCOUNT_GM45 0x71044
9880b7a5 3330
585fb111
JB
3331
3332/* Display B control */
895abf0c 3333#define _DSPBCNTR (dev_priv->info->display_mmio_offset + 0x71180)
585fb111
JB
3334#define DISPPLANE_ALPHA_TRANS_ENABLE (1<<15)
3335#define DISPPLANE_ALPHA_TRANS_DISABLE 0
3336#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
3337#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
895abf0c
VS
3338#define _DSPBADDR (dev_priv->info->display_mmio_offset + 0x71184)
3339#define _DSPBSTRIDE (dev_priv->info->display_mmio_offset + 0x71188)
3340#define _DSPBPOS (dev_priv->info->display_mmio_offset + 0x7118C)
3341#define _DSPBSIZE (dev_priv->info->display_mmio_offset + 0x71190)
3342#define _DSPBSURF (dev_priv->info->display_mmio_offset + 0x7119C)
3343#define _DSPBTILEOFF (dev_priv->info->display_mmio_offset + 0x711A4)
3344#define _DSPBOFFSET (dev_priv->info->display_mmio_offset + 0x711A4)
3345#define _DSPBSURFLIVE (dev_priv->info->display_mmio_offset + 0x711AC)
585fb111 3346
b840d907
JB
3347/* Sprite A control */
3348#define _DVSACNTR 0x72180
3349#define DVS_ENABLE (1<<31)
3350#define DVS_GAMMA_ENABLE (1<<30)
3351#define DVS_PIXFORMAT_MASK (3<<25)
3352#define DVS_FORMAT_YUV422 (0<<25)
3353#define DVS_FORMAT_RGBX101010 (1<<25)
3354#define DVS_FORMAT_RGBX888 (2<<25)
3355#define DVS_FORMAT_RGBX161616 (3<<25)
86d3efce 3356#define DVS_PIPE_CSC_ENABLE (1<<24)
b840d907 3357#define DVS_SOURCE_KEY (1<<22)
ab2f9df1 3358#define DVS_RGB_ORDER_XBGR (1<<20)
b840d907
JB
3359#define DVS_YUV_BYTE_ORDER_MASK (3<<16)
3360#define DVS_YUV_ORDER_YUYV (0<<16)
3361#define DVS_YUV_ORDER_UYVY (1<<16)
3362#define DVS_YUV_ORDER_YVYU (2<<16)
3363#define DVS_YUV_ORDER_VYUY (3<<16)
3364#define DVS_DEST_KEY (1<<2)
3365#define DVS_TRICKLE_FEED_DISABLE (1<<14)
3366#define DVS_TILED (1<<10)
3367#define _DVSALINOFF 0x72184
3368#define _DVSASTRIDE 0x72188
3369#define _DVSAPOS 0x7218c
3370#define _DVSASIZE 0x72190
3371#define _DVSAKEYVAL 0x72194
3372#define _DVSAKEYMSK 0x72198
3373#define _DVSASURF 0x7219c
3374#define _DVSAKEYMAXVAL 0x721a0
3375#define _DVSATILEOFF 0x721a4
3376#define _DVSASURFLIVE 0x721ac
3377#define _DVSASCALE 0x72204
3378#define DVS_SCALE_ENABLE (1<<31)
3379#define DVS_FILTER_MASK (3<<29)
3380#define DVS_FILTER_MEDIUM (0<<29)
3381#define DVS_FILTER_ENHANCING (1<<29)
3382#define DVS_FILTER_SOFTENING (2<<29)
3383#define DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3384#define DVS_VERTICAL_OFFSET_ENABLE (1<<27)
3385#define _DVSAGAMC 0x72300
3386
3387#define _DVSBCNTR 0x73180
3388#define _DVSBLINOFF 0x73184
3389#define _DVSBSTRIDE 0x73188
3390#define _DVSBPOS 0x7318c
3391#define _DVSBSIZE 0x73190
3392#define _DVSBKEYVAL 0x73194
3393#define _DVSBKEYMSK 0x73198
3394#define _DVSBSURF 0x7319c
3395#define _DVSBKEYMAXVAL 0x731a0
3396#define _DVSBTILEOFF 0x731a4
3397#define _DVSBSURFLIVE 0x731ac
3398#define _DVSBSCALE 0x73204
3399#define _DVSBGAMC 0x73300
3400
3401#define DVSCNTR(pipe) _PIPE(pipe, _DVSACNTR, _DVSBCNTR)
3402#define DVSLINOFF(pipe) _PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
3403#define DVSSTRIDE(pipe) _PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
3404#define DVSPOS(pipe) _PIPE(pipe, _DVSAPOS, _DVSBPOS)
3405#define DVSSURF(pipe) _PIPE(pipe, _DVSASURF, _DVSBSURF)
8ea30864 3406#define DVSKEYMAX(pipe) _PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
b840d907
JB
3407#define DVSSIZE(pipe) _PIPE(pipe, _DVSASIZE, _DVSBSIZE)
3408#define DVSSCALE(pipe) _PIPE(pipe, _DVSASCALE, _DVSBSCALE)
3409#define DVSTILEOFF(pipe) _PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
8ea30864
JB
3410#define DVSKEYVAL(pipe) _PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
3411#define DVSKEYMSK(pipe) _PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
32ae46bf 3412#define DVSSURFLIVE(pipe) _PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
3413
3414#define _SPRA_CTL 0x70280
3415#define SPRITE_ENABLE (1<<31)
3416#define SPRITE_GAMMA_ENABLE (1<<30)
3417#define SPRITE_PIXFORMAT_MASK (7<<25)
3418#define SPRITE_FORMAT_YUV422 (0<<25)
3419#define SPRITE_FORMAT_RGBX101010 (1<<25)
3420#define SPRITE_FORMAT_RGBX888 (2<<25)
3421#define SPRITE_FORMAT_RGBX161616 (3<<25)
3422#define SPRITE_FORMAT_YUV444 (4<<25)
3423#define SPRITE_FORMAT_XR_BGR101010 (5<<25) /* Extended range */
86d3efce 3424#define SPRITE_PIPE_CSC_ENABLE (1<<24)
b840d907
JB
3425#define SPRITE_SOURCE_KEY (1<<22)
3426#define SPRITE_RGB_ORDER_RGBX (1<<20) /* only for 888 and 161616 */
3427#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1<<19)
3428#define SPRITE_YUV_CSC_FORMAT_BT709 (1<<18) /* 0 is BT601 */
3429#define SPRITE_YUV_BYTE_ORDER_MASK (3<<16)
3430#define SPRITE_YUV_ORDER_YUYV (0<<16)
3431#define SPRITE_YUV_ORDER_UYVY (1<<16)
3432#define SPRITE_YUV_ORDER_YVYU (2<<16)
3433#define SPRITE_YUV_ORDER_VYUY (3<<16)
3434#define SPRITE_TRICKLE_FEED_DISABLE (1<<14)
3435#define SPRITE_INT_GAMMA_ENABLE (1<<13)
3436#define SPRITE_TILED (1<<10)
3437#define SPRITE_DEST_KEY (1<<2)
3438#define _SPRA_LINOFF 0x70284
3439#define _SPRA_STRIDE 0x70288
3440#define _SPRA_POS 0x7028c
3441#define _SPRA_SIZE 0x70290
3442#define _SPRA_KEYVAL 0x70294
3443#define _SPRA_KEYMSK 0x70298
3444#define _SPRA_SURF 0x7029c
3445#define _SPRA_KEYMAX 0x702a0
3446#define _SPRA_TILEOFF 0x702a4
c54173a8 3447#define _SPRA_OFFSET 0x702a4
32ae46bf 3448#define _SPRA_SURFLIVE 0x702ac
b840d907
JB
3449#define _SPRA_SCALE 0x70304
3450#define SPRITE_SCALE_ENABLE (1<<31)
3451#define SPRITE_FILTER_MASK (3<<29)
3452#define SPRITE_FILTER_MEDIUM (0<<29)
3453#define SPRITE_FILTER_ENHANCING (1<<29)
3454#define SPRITE_FILTER_SOFTENING (2<<29)
3455#define SPRITE_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
3456#define SPRITE_VERTICAL_OFFSET_ENABLE (1<<27)
3457#define _SPRA_GAMC 0x70400
3458
3459#define _SPRB_CTL 0x71280
3460#define _SPRB_LINOFF 0x71284
3461#define _SPRB_STRIDE 0x71288
3462#define _SPRB_POS 0x7128c
3463#define _SPRB_SIZE 0x71290
3464#define _SPRB_KEYVAL 0x71294
3465#define _SPRB_KEYMSK 0x71298
3466#define _SPRB_SURF 0x7129c
3467#define _SPRB_KEYMAX 0x712a0
3468#define _SPRB_TILEOFF 0x712a4
c54173a8 3469#define _SPRB_OFFSET 0x712a4
32ae46bf 3470#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
3471#define _SPRB_SCALE 0x71304
3472#define _SPRB_GAMC 0x71400
3473
3474#define SPRCTL(pipe) _PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
3475#define SPRLINOFF(pipe) _PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
3476#define SPRSTRIDE(pipe) _PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
3477#define SPRPOS(pipe) _PIPE(pipe, _SPRA_POS, _SPRB_POS)
3478#define SPRSIZE(pipe) _PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
3479#define SPRKEYVAL(pipe) _PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
3480#define SPRKEYMSK(pipe) _PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
3481#define SPRSURF(pipe) _PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
3482#define SPRKEYMAX(pipe) _PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
3483#define SPRTILEOFF(pipe) _PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
c54173a8 3484#define SPROFFSET(pipe) _PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
b840d907
JB
3485#define SPRSCALE(pipe) _PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
3486#define SPRGAMC(pipe) _PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
32ae46bf 3487#define SPRSURFLIVE(pipe) _PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 3488
7f1f3851
JB
3489#define _SPACNTR 0x72180
3490#define SP_ENABLE (1<<31)
3491#define SP_GEAMMA_ENABLE (1<<30)
3492#define SP_PIXFORMAT_MASK (0xf<<26)
3493#define SP_FORMAT_YUV422 (0<<26)
3494#define SP_FORMAT_BGR565 (5<<26)
3495#define SP_FORMAT_BGRX8888 (6<<26)
3496#define SP_FORMAT_BGRA8888 (7<<26)
3497#define SP_FORMAT_RGBX1010102 (8<<26)
3498#define SP_FORMAT_RGBA1010102 (9<<26)
3499#define SP_FORMAT_RGBX8888 (0xe<<26)
3500#define SP_FORMAT_RGBA8888 (0xf<<26)
3501#define SP_SOURCE_KEY (1<<22)
3502#define SP_YUV_BYTE_ORDER_MASK (3<<16)
3503#define SP_YUV_ORDER_YUYV (0<<16)
3504#define SP_YUV_ORDER_UYVY (1<<16)
3505#define SP_YUV_ORDER_YVYU (2<<16)
3506#define SP_YUV_ORDER_VYUY (3<<16)
3507#define SP_TILED (1<<10)
3508#define _SPALINOFF 0x72184
3509#define _SPASTRIDE 0x72188
3510#define _SPAPOS 0x7218c
3511#define _SPASIZE 0x72190
3512#define _SPAKEYMINVAL 0x72194
3513#define _SPAKEYMSK 0x72198
3514#define _SPASURF 0x7219c
3515#define _SPAKEYMAXVAL 0x721a0
3516#define _SPATILEOFF 0x721a4
3517#define _SPACONSTALPHA 0x721a8
3518#define _SPAGAMC 0x721f4
3519
3520#define _SPBCNTR 0x72280
3521#define _SPBLINOFF 0x72284
3522#define _SPBSTRIDE 0x72288
3523#define _SPBPOS 0x7228c
3524#define _SPBSIZE 0x72290
3525#define _SPBKEYMINVAL 0x72294
3526#define _SPBKEYMSK 0x72298
3527#define _SPBSURF 0x7229c
3528#define _SPBKEYMAXVAL 0x722a0
3529#define _SPBTILEOFF 0x722a4
3530#define _SPBCONSTALPHA 0x722a8
3531#define _SPBGAMC 0x722f4
3532
3533#define SPCNTR(pipe, plane) _PIPE(pipe * 2 + plane, _SPACNTR, _SPBCNTR)
3534#define SPLINOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPALINOFF, _SPBLINOFF)
3535#define SPSTRIDE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASTRIDE, _SPBSTRIDE)
3536#define SPPOS(pipe, plane) _PIPE(pipe * 2 + plane, _SPAPOS, _SPBPOS)
3537#define SPSIZE(pipe, plane) _PIPE(pipe * 2 + plane, _SPASIZE, _SPBSIZE)
3538#define SPKEYMINVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMINVAL, _SPBKEYMINVAL)
3539#define SPKEYMSK(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMSK, _SPBKEYMSK)
3540#define SPSURF(pipe, plane) _PIPE(pipe * 2 + plane, _SPASURF, _SPBSURF)
3541#define SPKEYMAXVAL(pipe, plane) _PIPE(pipe * 2 + plane, _SPAKEYMAXVAL, _SPBKEYMAXVAL)
3542#define SPTILEOFF(pipe, plane) _PIPE(pipe * 2 + plane, _SPATILEOFF, _SPBTILEOFF)
3543#define SPCONSTALPHA(pipe, plane) _PIPE(pipe * 2 + plane, _SPACONSTALPHA, _SPBCONSTALPHA)
3544#define SPGAMC(pipe, plane) _PIPE(pipe * 2 + plane, _SPAGAMC, _SPBGAMC)
3545
585fb111
JB
3546/* VBIOS regs */
3547#define VGACNTRL 0x71400
3548# define VGA_DISP_DISABLE (1 << 31)
3549# define VGA_2X_MODE (1 << 30)
3550# define VGA_PIPE_B_SELECT (1 << 29)
3551
766aa1c4
VS
3552#define VLV_VGACNTRL (VLV_DISPLAY_BASE + 0x71400)
3553
f2b115e6 3554/* Ironlake */
b9055052
ZW
3555
3556#define CPU_VGACNTRL 0x41000
3557
3558#define DIGITAL_PORT_HOTPLUG_CNTRL 0x44030
3559#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
3560#define DIGITAL_PORTA_SHORT_PULSE_2MS (0 << 2)
3561#define DIGITAL_PORTA_SHORT_PULSE_4_5MS (1 << 2)
3562#define DIGITAL_PORTA_SHORT_PULSE_6MS (2 << 2)
3563#define DIGITAL_PORTA_SHORT_PULSE_100MS (3 << 2)
3564#define DIGITAL_PORTA_NO_DETECT (0 << 0)
3565#define DIGITAL_PORTA_LONG_PULSE_DETECT_MASK (1 << 1)
3566#define DIGITAL_PORTA_SHORT_PULSE_DETECT_MASK (1 << 0)
3567
3568/* refresh rate hardware control */
3569#define RR_HW_CTL 0x45300
3570#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
3571#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
3572
3573#define FDI_PLL_BIOS_0 0x46000
021357ac 3574#define FDI_PLL_FB_CLOCK_MASK 0xff
b9055052
ZW
3575#define FDI_PLL_BIOS_1 0x46004
3576#define FDI_PLL_BIOS_2 0x46008
3577#define DISPLAY_PORT_PLL_BIOS_0 0x4600c
3578#define DISPLAY_PORT_PLL_BIOS_1 0x46010
3579#define DISPLAY_PORT_PLL_BIOS_2 0x46014
3580
8956c8bb
EA
3581#define PCH_3DCGDIS0 0x46020
3582# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
3583# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
3584
06f37751
EA
3585#define PCH_3DCGDIS1 0x46024
3586# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
3587
b9055052
ZW
3588#define FDI_PLL_FREQ_CTL 0x46030
3589#define FDI_PLL_FREQ_CHANGE_REQUEST (1<<24)
3590#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
3591#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
3592
3593
aab17139 3594#define _PIPEA_DATA_M1 (dev_priv->info->display_mmio_offset + 0x60030)
5eddb70b 3595#define PIPE_DATA_M1_OFFSET 0
aab17139 3596#define _PIPEA_DATA_N1 (dev_priv->info->display_mmio_offset + 0x60034)
5eddb70b 3597#define PIPE_DATA_N1_OFFSET 0
b9055052 3598
aab17139 3599#define _PIPEA_DATA_M2 (dev_priv->info->display_mmio_offset + 0x60038)
5eddb70b 3600#define PIPE_DATA_M2_OFFSET 0
aab17139 3601#define _PIPEA_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6003c)
5eddb70b 3602#define PIPE_DATA_N2_OFFSET 0
b9055052 3603
aab17139 3604#define _PIPEA_LINK_M1 (dev_priv->info->display_mmio_offset + 0x60040)
5eddb70b 3605#define PIPE_LINK_M1_OFFSET 0
aab17139 3606#define _PIPEA_LINK_N1 (dev_priv->info->display_mmio_offset + 0x60044)
5eddb70b 3607#define PIPE_LINK_N1_OFFSET 0
b9055052 3608
aab17139 3609#define _PIPEA_LINK_M2 (dev_priv->info->display_mmio_offset + 0x60048)
5eddb70b 3610#define PIPE_LINK_M2_OFFSET 0
aab17139 3611#define _PIPEA_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6004c)
5eddb70b 3612#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
3613
3614/* PIPEB timing regs are same start from 0x61000 */
3615
aab17139
VS
3616#define _PIPEB_DATA_M1 (dev_priv->info->display_mmio_offset + 0x61030)
3617#define _PIPEB_DATA_N1 (dev_priv->info->display_mmio_offset + 0x61034)
b9055052 3618
aab17139
VS
3619#define _PIPEB_DATA_M2 (dev_priv->info->display_mmio_offset + 0x61038)
3620#define _PIPEB_DATA_N2 (dev_priv->info->display_mmio_offset + 0x6103c)
b9055052 3621
aab17139
VS
3622#define _PIPEB_LINK_M1 (dev_priv->info->display_mmio_offset + 0x61040)
3623#define _PIPEB_LINK_N1 (dev_priv->info->display_mmio_offset + 0x61044)
b9055052 3624
aab17139
VS
3625#define _PIPEB_LINK_M2 (dev_priv->info->display_mmio_offset + 0x61048)
3626#define _PIPEB_LINK_N2 (dev_priv->info->display_mmio_offset + 0x6104c)
5eddb70b 3627
afe2fcf5
PZ
3628#define PIPE_DATA_M1(tran) _TRANSCODER(tran, _PIPEA_DATA_M1, _PIPEB_DATA_M1)
3629#define PIPE_DATA_N1(tran) _TRANSCODER(tran, _PIPEA_DATA_N1, _PIPEB_DATA_N1)
3630#define PIPE_DATA_M2(tran) _TRANSCODER(tran, _PIPEA_DATA_M2, _PIPEB_DATA_M2)
3631#define PIPE_DATA_N2(tran) _TRANSCODER(tran, _PIPEA_DATA_N2, _PIPEB_DATA_N2)
3632#define PIPE_LINK_M1(tran) _TRANSCODER(tran, _PIPEA_LINK_M1, _PIPEB_LINK_M1)
3633#define PIPE_LINK_N1(tran) _TRANSCODER(tran, _PIPEA_LINK_N1, _PIPEB_LINK_N1)
3634#define PIPE_LINK_M2(tran) _TRANSCODER(tran, _PIPEA_LINK_M2, _PIPEB_LINK_M2)
3635#define PIPE_LINK_N2(tran) _TRANSCODER(tran, _PIPEA_LINK_N2, _PIPEB_LINK_N2)
b9055052
ZW
3636
3637/* CPU panel fitter */
9db4a9c7
JB
3638/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
3639#define _PFA_CTL_1 0x68080
3640#define _PFB_CTL_1 0x68880
b9055052 3641#define PF_ENABLE (1<<31)
13888d78
PZ
3642#define PF_PIPE_SEL_MASK_IVB (3<<29)
3643#define PF_PIPE_SEL_IVB(pipe) ((pipe)<<29)
b1f60b70
ZW
3644#define PF_FILTER_MASK (3<<23)
3645#define PF_FILTER_PROGRAMMED (0<<23)
3646#define PF_FILTER_MED_3x3 (1<<23)
3647#define PF_FILTER_EDGE_ENHANCE (2<<23)
3648#define PF_FILTER_EDGE_SOFTEN (3<<23)
9db4a9c7
JB
3649#define _PFA_WIN_SZ 0x68074
3650#define _PFB_WIN_SZ 0x68874
3651#define _PFA_WIN_POS 0x68070
3652#define _PFB_WIN_POS 0x68870
3653#define _PFA_VSCALE 0x68084
3654#define _PFB_VSCALE 0x68884
3655#define _PFA_HSCALE 0x68090
3656#define _PFB_HSCALE 0x68890
3657
3658#define PF_CTL(pipe) _PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
3659#define PF_WIN_SZ(pipe) _PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
3660#define PF_WIN_POS(pipe) _PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
3661#define PF_VSCALE(pipe) _PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
3662#define PF_HSCALE(pipe) _PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052
ZW
3663
3664/* legacy palette */
9db4a9c7
JB
3665#define _LGC_PALETTE_A 0x4a000
3666#define _LGC_PALETTE_B 0x4a800
3667#define LGC_PALETTE(pipe) _PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B)
b9055052
ZW
3668
3669/* interrupts */
3670#define DE_MASTER_IRQ_CONTROL (1 << 31)
3671#define DE_SPRITEB_FLIP_DONE (1 << 29)
3672#define DE_SPRITEA_FLIP_DONE (1 << 28)
3673#define DE_PLANEB_FLIP_DONE (1 << 27)
3674#define DE_PLANEA_FLIP_DONE (1 << 26)
3675#define DE_PCU_EVENT (1 << 25)
3676#define DE_GTT_FAULT (1 << 24)
3677#define DE_POISON (1 << 23)
3678#define DE_PERFORM_COUNTER (1 << 22)
3679#define DE_PCH_EVENT (1 << 21)
3680#define DE_AUX_CHANNEL_A (1 << 20)
3681#define DE_DP_A_HOTPLUG (1 << 19)
3682#define DE_GSE (1 << 18)
3683#define DE_PIPEB_VBLANK (1 << 15)
3684#define DE_PIPEB_EVEN_FIELD (1 << 14)
3685#define DE_PIPEB_ODD_FIELD (1 << 13)
3686#define DE_PIPEB_LINE_COMPARE (1 << 12)
3687#define DE_PIPEB_VSYNC (1 << 11)
3688#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
3689#define DE_PIPEA_VBLANK (1 << 7)
3690#define DE_PIPEA_EVEN_FIELD (1 << 6)
3691#define DE_PIPEA_ODD_FIELD (1 << 5)
3692#define DE_PIPEA_LINE_COMPARE (1 << 4)
3693#define DE_PIPEA_VSYNC (1 << 3)
3694#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
3695
b1f14ad0 3696/* More Ivybridge lolz */
8664281b 3697#define DE_ERR_INT_IVB (1<<30)
b1f14ad0
JB
3698#define DE_GSE_IVB (1<<29)
3699#define DE_PCH_EVENT_IVB (1<<28)
3700#define DE_DP_A_HOTPLUG_IVB (1<<27)
3701#define DE_AUX_CHANNEL_A_IVB (1<<26)
b615b57a
CW
3702#define DE_SPRITEC_FLIP_DONE_IVB (1<<14)
3703#define DE_PLANEC_FLIP_DONE_IVB (1<<13)
3704#define DE_PIPEC_VBLANK_IVB (1<<10)
b1f14ad0 3705#define DE_SPRITEB_FLIP_DONE_IVB (1<<9)
b1f14ad0 3706#define DE_PLANEB_FLIP_DONE_IVB (1<<8)
b1f14ad0 3707#define DE_PIPEB_VBLANK_IVB (1<<5)
b615b57a
CW
3708#define DE_SPRITEA_FLIP_DONE_IVB (1<<4)
3709#define DE_PLANEA_FLIP_DONE_IVB (1<<3)
b1f14ad0
JB
3710#define DE_PIPEA_VBLANK_IVB (1<<0)
3711
7eea1ddf
JB
3712#define VLV_MASTER_IER 0x4400c /* Gunit master IER */
3713#define MASTER_INTERRUPT_ENABLE (1<<31)
3714
b9055052
ZW
3715#define DEISR 0x44000
3716#define DEIMR 0x44004
3717#define DEIIR 0x44008
3718#define DEIER 0x4400c
3719
b9055052
ZW
3720#define GTISR 0x44010
3721#define GTIMR 0x44014
3722#define GTIIR 0x44018
3723#define GTIER 0x4401c
3724
7f8a8569 3725#define ILK_DISPLAY_CHICKEN2 0x42004
67e92af0
EA
3726/* Required on all Ironlake and Sandybridge according to the B-Spec. */
3727#define ILK_ELPIN_409_SELECT (1 << 25)
7f8a8569
ZW
3728#define ILK_DPARB_GATE (1<<22)
3729#define ILK_VSDPFD_FULL (1<<21)
4d302442
CW
3730#define ILK_DISPLAY_CHICKEN_FUSES 0x42014
3731#define ILK_INTERNAL_GRAPHICS_DISABLE (1<<31)
3732#define ILK_INTERNAL_DISPLAY_DISABLE (1<<30)
3733#define ILK_DISPLAY_DEBUG_DISABLE (1<<29)
3734#define ILK_HDCP_DISABLE (1<<25)
3735#define ILK_eDP_A_DISABLE (1<<24)
3736#define ILK_DESKTOP (1<<23)
231e54f6
DL
3737
3738#define ILK_DSPCLK_GATE_D 0x42020
3739#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
3740#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3741#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
3742#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
3743#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 3744
116ac8d2
EA
3745#define IVB_CHICKEN3 0x4200c
3746# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
3747# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
3748
90a88643
PZ
3749#define CHICKEN_PAR1_1 0x42080
3750#define FORCE_ARB_IDLE_PLANES (1 << 14)
3751
553bd149
ZW
3752#define DISP_ARB_CTL 0x45000
3753#define DISP_TILE_SURFACE_SWIZZLING (1<<13)
7f8a8569 3754#define DISP_FBC_WM_DIS (1<<15)
88a2b2a3
BW
3755#define GEN7_MSG_CTL 0x45010
3756#define WAIT_FOR_PCH_RESET_ACK (1<<1)
3757#define WAIT_FOR_PCH_FLR_ACK (1<<0)
553bd149 3758
e4e0c058 3759/* GEN7 chicken */
d71de14d
KG
3760#define GEN7_COMMON_SLICE_CHICKEN1 0x7010
3761# define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1<<10) | (1<<26))
3762
e4e0c058
ED
3763#define GEN7_L3CNTLREG1 0xB01C
3764#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C4FFF8C
d0cf5ead 3765#define GEN7_L3AGDIS (1<<19)
e4e0c058
ED
3766
3767#define GEN7_L3_CHICKEN_MODE_REGISTER 0xB030
3768#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
3769
61939d97
JB
3770#define GEN7_L3SQCREG4 0xb034
3771#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1<<27)
3772
db099c8f
ED
3773/* WaCatErrorRejectionIssue */
3774#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG 0x9030
3775#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1<<11)
3776
79f689aa
PZ
3777#define HSW_FUSE_STRAP 0x42014
3778#define HSW_CDCLK_LIMIT (1 << 24)
3779
b9055052
ZW
3780/* PCH */
3781
23e81d69 3782/* south display engine interrupt: IBX */
776ad806
JB
3783#define SDE_AUDIO_POWER_D (1 << 27)
3784#define SDE_AUDIO_POWER_C (1 << 26)
3785#define SDE_AUDIO_POWER_B (1 << 25)
3786#define SDE_AUDIO_POWER_SHIFT (25)
3787#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
3788#define SDE_GMBUS (1 << 24)
3789#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
3790#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
3791#define SDE_AUDIO_HDCP_MASK (3 << 22)
3792#define SDE_AUDIO_TRANSB (1 << 21)
3793#define SDE_AUDIO_TRANSA (1 << 20)
3794#define SDE_AUDIO_TRANS_MASK (3 << 20)
3795#define SDE_POISON (1 << 19)
3796/* 18 reserved */
3797#define SDE_FDI_RXB (1 << 17)
3798#define SDE_FDI_RXA (1 << 16)
3799#define SDE_FDI_MASK (3 << 16)
3800#define SDE_AUXD (1 << 15)
3801#define SDE_AUXC (1 << 14)
3802#define SDE_AUXB (1 << 13)
3803#define SDE_AUX_MASK (7 << 13)
3804/* 12 reserved */
b9055052
ZW
3805#define SDE_CRT_HOTPLUG (1 << 11)
3806#define SDE_PORTD_HOTPLUG (1 << 10)
3807#define SDE_PORTC_HOTPLUG (1 << 9)
3808#define SDE_PORTB_HOTPLUG (1 << 8)
3809#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
3810#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
3811 SDE_SDVOB_HOTPLUG | \
3812 SDE_PORTB_HOTPLUG | \
3813 SDE_PORTC_HOTPLUG | \
3814 SDE_PORTD_HOTPLUG)
776ad806
JB
3815#define SDE_TRANSB_CRC_DONE (1 << 5)
3816#define SDE_TRANSB_CRC_ERR (1 << 4)
3817#define SDE_TRANSB_FIFO_UNDER (1 << 3)
3818#define SDE_TRANSA_CRC_DONE (1 << 2)
3819#define SDE_TRANSA_CRC_ERR (1 << 1)
3820#define SDE_TRANSA_FIFO_UNDER (1 << 0)
3821#define SDE_TRANS_MASK (0x3f)
23e81d69
AJ
3822
3823/* south display engine interrupt: CPT/PPT */
3824#define SDE_AUDIO_POWER_D_CPT (1 << 31)
3825#define SDE_AUDIO_POWER_C_CPT (1 << 30)
3826#define SDE_AUDIO_POWER_B_CPT (1 << 29)
3827#define SDE_AUDIO_POWER_SHIFT_CPT 29
3828#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
3829#define SDE_AUXD_CPT (1 << 27)
3830#define SDE_AUXC_CPT (1 << 26)
3831#define SDE_AUXB_CPT (1 << 25)
3832#define SDE_AUX_MASK_CPT (7 << 25)
8db9d77b
ZW
3833#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
3834#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
3835#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 3836#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 3837#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 3838#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 3839 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
3840 SDE_PORTD_HOTPLUG_CPT | \
3841 SDE_PORTC_HOTPLUG_CPT | \
3842 SDE_PORTB_HOTPLUG_CPT)
23e81d69 3843#define SDE_GMBUS_CPT (1 << 17)
8664281b 3844#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
3845#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
3846#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
3847#define SDE_FDI_RXC_CPT (1 << 8)
3848#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
3849#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
3850#define SDE_FDI_RXB_CPT (1 << 4)
3851#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
3852#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
3853#define SDE_FDI_RXA_CPT (1 << 0)
3854#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
3855 SDE_AUDIO_CP_REQ_B_CPT | \
3856 SDE_AUDIO_CP_REQ_A_CPT)
3857#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
3858 SDE_AUDIO_CP_CHG_B_CPT | \
3859 SDE_AUDIO_CP_CHG_A_CPT)
3860#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
3861 SDE_FDI_RXB_CPT | \
3862 SDE_FDI_RXA_CPT)
b9055052
ZW
3863
3864#define SDEISR 0xc4000
3865#define SDEIMR 0xc4004
3866#define SDEIIR 0xc4008
3867#define SDEIER 0xc400c
3868
8664281b 3869#define SERR_INT 0xc4040
de032bf4 3870#define SERR_INT_POISON (1<<31)
8664281b
PZ
3871#define SERR_INT_TRANS_C_FIFO_UNDERRUN (1<<6)
3872#define SERR_INT_TRANS_B_FIFO_UNDERRUN (1<<3)
3873#define SERR_INT_TRANS_A_FIFO_UNDERRUN (1<<0)
3874
b9055052 3875/* digital port hotplug */
7fe0b973 3876#define PCH_PORT_HOTPLUG 0xc4030 /* SHOTPLUG_CTL */
b9055052
ZW
3877#define PORTD_HOTPLUG_ENABLE (1 << 20)
3878#define PORTD_PULSE_DURATION_2ms (0)
3879#define PORTD_PULSE_DURATION_4_5ms (1 << 18)
3880#define PORTD_PULSE_DURATION_6ms (2 << 18)
3881#define PORTD_PULSE_DURATION_100ms (3 << 18)
7fe0b973 3882#define PORTD_PULSE_DURATION_MASK (3 << 18)
b696519e
DL
3883#define PORTD_HOTPLUG_STATUS_MASK (0x3 << 16)
3884#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
3885#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
3886#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
b9055052
ZW
3887#define PORTC_HOTPLUG_ENABLE (1 << 12)
3888#define PORTC_PULSE_DURATION_2ms (0)
3889#define PORTC_PULSE_DURATION_4_5ms (1 << 10)
3890#define PORTC_PULSE_DURATION_6ms (2 << 10)
3891#define PORTC_PULSE_DURATION_100ms (3 << 10)
7fe0b973 3892#define PORTC_PULSE_DURATION_MASK (3 << 10)
b696519e
DL
3893#define PORTC_HOTPLUG_STATUS_MASK (0x3 << 8)
3894#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
3895#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
3896#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
b9055052
ZW
3897#define PORTB_HOTPLUG_ENABLE (1 << 4)
3898#define PORTB_PULSE_DURATION_2ms (0)
3899#define PORTB_PULSE_DURATION_4_5ms (1 << 2)
3900#define PORTB_PULSE_DURATION_6ms (2 << 2)
3901#define PORTB_PULSE_DURATION_100ms (3 << 2)
7fe0b973 3902#define PORTB_PULSE_DURATION_MASK (3 << 2)
b696519e
DL
3903#define PORTB_HOTPLUG_STATUS_MASK (0x3 << 0)
3904#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
3905#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
3906#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
3907
3908#define PCH_GPIOA 0xc5010
3909#define PCH_GPIOB 0xc5014
3910#define PCH_GPIOC 0xc5018
3911#define PCH_GPIOD 0xc501c
3912#define PCH_GPIOE 0xc5020
3913#define PCH_GPIOF 0xc5024
3914
f0217c42
EA
3915#define PCH_GMBUS0 0xc5100
3916#define PCH_GMBUS1 0xc5104
3917#define PCH_GMBUS2 0xc5108
3918#define PCH_GMBUS3 0xc510c
3919#define PCH_GMBUS4 0xc5110
3920#define PCH_GMBUS5 0xc5120
3921
9db4a9c7
JB
3922#define _PCH_DPLL_A 0xc6014
3923#define _PCH_DPLL_B 0xc6018
ee7b9f93 3924#define _PCH_DPLL(pll) (pll == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 3925
9db4a9c7 3926#define _PCH_FPA0 0xc6040
c1858123 3927#define FP_CB_TUNE (0x3<<22)
9db4a9c7
JB
3928#define _PCH_FPA1 0xc6044
3929#define _PCH_FPB0 0xc6048
3930#define _PCH_FPB1 0xc604c
ee7b9f93
JB
3931#define _PCH_FP0(pll) (pll == 0 ? _PCH_FPA0 : _PCH_FPB0)
3932#define _PCH_FP1(pll) (pll == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052
ZW
3933
3934#define PCH_DPLL_TEST 0xc606c
3935
3936#define PCH_DREF_CONTROL 0xC6200
3937#define DREF_CONTROL_MASK 0x7fc3
3938#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0<<13)
3939#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2<<13)
3940#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3<<13)
3941#define DREF_CPU_SOURCE_OUTPUT_MASK (3<<13)
3942#define DREF_SSC_SOURCE_DISABLE (0<<11)
3943#define DREF_SSC_SOURCE_ENABLE (2<<11)
c038e51e 3944#define DREF_SSC_SOURCE_MASK (3<<11)
b9055052
ZW
3945#define DREF_NONSPREAD_SOURCE_DISABLE (0<<9)
3946#define DREF_NONSPREAD_CK505_ENABLE (1<<9)
3947#define DREF_NONSPREAD_SOURCE_ENABLE (2<<9)
c038e51e 3948#define DREF_NONSPREAD_SOURCE_MASK (3<<9)
b9055052
ZW
3949#define DREF_SUPERSPREAD_SOURCE_DISABLE (0<<7)
3950#define DREF_SUPERSPREAD_SOURCE_ENABLE (2<<7)
92f2584a 3951#define DREF_SUPERSPREAD_SOURCE_MASK (3<<7)
b9055052
ZW
3952#define DREF_SSC4_DOWNSPREAD (0<<6)
3953#define DREF_SSC4_CENTERSPREAD (1<<6)
3954#define DREF_SSC1_DISABLE (0<<1)
3955#define DREF_SSC1_ENABLE (1<<1)
3956#define DREF_SSC4_DISABLE (0)
3957#define DREF_SSC4_ENABLE (1)
3958
3959#define PCH_RAWCLK_FREQ 0xc6204
3960#define FDL_TP1_TIMER_SHIFT 12
3961#define FDL_TP1_TIMER_MASK (3<<12)
3962#define FDL_TP2_TIMER_SHIFT 10
3963#define FDL_TP2_TIMER_MASK (3<<10)
3964#define RAWCLK_FREQ_MASK 0x3ff
3965
3966#define PCH_DPLL_TMR_CFG 0xc6208
3967
3968#define PCH_SSC4_PARMS 0xc6210
3969#define PCH_SSC4_AUX_PARMS 0xc6214
3970
8db9d77b
ZW
3971#define PCH_DPLL_SEL 0xc7000
3972#define TRANSA_DPLL_ENABLE (1<<3)
3973#define TRANSA_DPLLB_SEL (1<<0)
3974#define TRANSA_DPLLA_SEL 0
3975#define TRANSB_DPLL_ENABLE (1<<7)
3976#define TRANSB_DPLLB_SEL (1<<4)
3977#define TRANSB_DPLLA_SEL (0)
3978#define TRANSC_DPLL_ENABLE (1<<11)
3979#define TRANSC_DPLLB_SEL (1<<8)
3980#define TRANSC_DPLLA_SEL (0)
3981
b9055052
ZW
3982/* transcoder */
3983
275f01b2
DV
3984#define _PCH_TRANS_HTOTAL_A 0xe0000
3985#define TRANS_HTOTAL_SHIFT 16
3986#define TRANS_HACTIVE_SHIFT 0
3987#define _PCH_TRANS_HBLANK_A 0xe0004
3988#define TRANS_HBLANK_END_SHIFT 16
3989#define TRANS_HBLANK_START_SHIFT 0
3990#define _PCH_TRANS_HSYNC_A 0xe0008
3991#define TRANS_HSYNC_END_SHIFT 16
3992#define TRANS_HSYNC_START_SHIFT 0
3993#define _PCH_TRANS_VTOTAL_A 0xe000c
3994#define TRANS_VTOTAL_SHIFT 16
3995#define TRANS_VACTIVE_SHIFT 0
3996#define _PCH_TRANS_VBLANK_A 0xe0010
3997#define TRANS_VBLANK_END_SHIFT 16
3998#define TRANS_VBLANK_START_SHIFT 0
3999#define _PCH_TRANS_VSYNC_A 0xe0014
4000#define TRANS_VSYNC_END_SHIFT 16
4001#define TRANS_VSYNC_START_SHIFT 0
4002#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 4003
e3b95f1e
DV
4004#define _PCH_TRANSA_DATA_M1 0xe0030
4005#define _PCH_TRANSA_DATA_N1 0xe0034
4006#define _PCH_TRANSA_DATA_M2 0xe0038
4007#define _PCH_TRANSA_DATA_N2 0xe003c
4008#define _PCH_TRANSA_LINK_M1 0xe0040
4009#define _PCH_TRANSA_LINK_N1 0xe0044
4010#define _PCH_TRANSA_LINK_M2 0xe0048
4011#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 4012
b055c8f3
JB
4013/* Per-transcoder DIP controls */
4014
4015#define _VIDEO_DIP_CTL_A 0xe0200
4016#define _VIDEO_DIP_DATA_A 0xe0208
4017#define _VIDEO_DIP_GCP_A 0xe0210
4018
4019#define _VIDEO_DIP_CTL_B 0xe1200
4020#define _VIDEO_DIP_DATA_B 0xe1208
4021#define _VIDEO_DIP_GCP_B 0xe1210
4022
4023#define TVIDEO_DIP_CTL(pipe) _PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
4024#define TVIDEO_DIP_DATA(pipe) _PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
4025#define TVIDEO_DIP_GCP(pipe) _PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
4026
b906487c
VS
4027#define VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
4028#define VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
4029#define VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 4030
b906487c
VS
4031#define VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
4032#define VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
4033#define VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8
SK
4034
4035#define VLV_TVIDEO_DIP_CTL(pipe) \
4036 _PIPE(pipe, VLV_VIDEO_DIP_CTL_A, VLV_VIDEO_DIP_CTL_B)
4037#define VLV_TVIDEO_DIP_DATA(pipe) \
4038 _PIPE(pipe, VLV_VIDEO_DIP_DATA_A, VLV_VIDEO_DIP_DATA_B)
4039#define VLV_TVIDEO_DIP_GCP(pipe) \
4040 _PIPE(pipe, VLV_VIDEO_DIP_GDCP_PAYLOAD_A, VLV_VIDEO_DIP_GDCP_PAYLOAD_B)
4041
8c5f5f7c
ED
4042/* Haswell DIP controls */
4043#define HSW_VIDEO_DIP_CTL_A 0x60200
4044#define HSW_VIDEO_DIP_AVI_DATA_A 0x60220
4045#define HSW_VIDEO_DIP_VS_DATA_A 0x60260
4046#define HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
4047#define HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
4048#define HSW_VIDEO_DIP_VSC_DATA_A 0x60320
4049#define HSW_VIDEO_DIP_AVI_ECC_A 0x60240
4050#define HSW_VIDEO_DIP_VS_ECC_A 0x60280
4051#define HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
4052#define HSW_VIDEO_DIP_GMP_ECC_A 0x60300
4053#define HSW_VIDEO_DIP_VSC_ECC_A 0x60344
4054#define HSW_VIDEO_DIP_GCP_A 0x60210
4055
4056#define HSW_VIDEO_DIP_CTL_B 0x61200
4057#define HSW_VIDEO_DIP_AVI_DATA_B 0x61220
4058#define HSW_VIDEO_DIP_VS_DATA_B 0x61260
4059#define HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
4060#define HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
4061#define HSW_VIDEO_DIP_VSC_DATA_B 0x61320
4062#define HSW_VIDEO_DIP_BVI_ECC_B 0x61240
4063#define HSW_VIDEO_DIP_VS_ECC_B 0x61280
4064#define HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
4065#define HSW_VIDEO_DIP_GMP_ECC_B 0x61300
4066#define HSW_VIDEO_DIP_VSC_ECC_B 0x61344
4067#define HSW_VIDEO_DIP_GCP_B 0x61210
4068
7d9bcebe
RV
4069#define HSW_TVIDEO_DIP_CTL(trans) \
4070 _TRANSCODER(trans, HSW_VIDEO_DIP_CTL_A, HSW_VIDEO_DIP_CTL_B)
4071#define HSW_TVIDEO_DIP_AVI_DATA(trans) \
4072 _TRANSCODER(trans, HSW_VIDEO_DIP_AVI_DATA_A, HSW_VIDEO_DIP_AVI_DATA_B)
4073#define HSW_TVIDEO_DIP_SPD_DATA(trans) \
4074 _TRANSCODER(trans, HSW_VIDEO_DIP_SPD_DATA_A, HSW_VIDEO_DIP_SPD_DATA_B)
4075#define HSW_TVIDEO_DIP_GCP(trans) \
4076 _TRANSCODER(trans, HSW_VIDEO_DIP_GCP_A, HSW_VIDEO_DIP_GCP_B)
4077#define HSW_TVIDEO_DIP_VSC_DATA(trans) \
4078 _TRANSCODER(trans, HSW_VIDEO_DIP_VSC_DATA_A, HSW_VIDEO_DIP_VSC_DATA_B)
8c5f5f7c 4079
275f01b2
DV
4080#define _PCH_TRANS_HTOTAL_B 0xe1000
4081#define _PCH_TRANS_HBLANK_B 0xe1004
4082#define _PCH_TRANS_HSYNC_B 0xe1008
4083#define _PCH_TRANS_VTOTAL_B 0xe100c
4084#define _PCH_TRANS_VBLANK_B 0xe1010
4085#define _PCH_TRANS_VSYNC_B 0xe1014
4086#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
4087
4088#define PCH_TRANS_HTOTAL(pipe) _PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
4089#define PCH_TRANS_HBLANK(pipe) _PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
4090#define PCH_TRANS_HSYNC(pipe) _PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
4091#define PCH_TRANS_VTOTAL(pipe) _PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
4092#define PCH_TRANS_VBLANK(pipe) _PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
4093#define PCH_TRANS_VSYNC(pipe) _PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
4094#define PCH_TRANS_VSYNCSHIFT(pipe) _PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, \
4095 _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 4096
e3b95f1e
DV
4097#define _PCH_TRANSB_DATA_M1 0xe1030
4098#define _PCH_TRANSB_DATA_N1 0xe1034
4099#define _PCH_TRANSB_DATA_M2 0xe1038
4100#define _PCH_TRANSB_DATA_N2 0xe103c
4101#define _PCH_TRANSB_LINK_M1 0xe1040
4102#define _PCH_TRANSB_LINK_N1 0xe1044
4103#define _PCH_TRANSB_LINK_M2 0xe1048
4104#define _PCH_TRANSB_LINK_N2 0xe104c
4105
4106#define PCH_TRANS_DATA_M1(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
4107#define PCH_TRANS_DATA_N1(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
4108#define PCH_TRANS_DATA_M2(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
4109#define PCH_TRANS_DATA_N2(pipe) _PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
4110#define PCH_TRANS_LINK_M1(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
4111#define PCH_TRANS_LINK_N1(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
4112#define PCH_TRANS_LINK_M2(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
4113#define PCH_TRANS_LINK_N2(pipe) _PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 4114
ab9412ba
DV
4115#define _PCH_TRANSACONF 0xf0008
4116#define _PCH_TRANSBCONF 0xf1008
4117#define PCH_TRANSCONF(pipe) _PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
4118#define LPT_TRANSCONF _PCH_TRANSACONF /* lpt has only one transcoder */
b9055052
ZW
4119#define TRANS_DISABLE (0<<31)
4120#define TRANS_ENABLE (1<<31)
4121#define TRANS_STATE_MASK (1<<30)
4122#define TRANS_STATE_DISABLE (0<<30)
4123#define TRANS_STATE_ENABLE (1<<30)
4124#define TRANS_FSYNC_DELAY_HB1 (0<<27)
4125#define TRANS_FSYNC_DELAY_HB2 (1<<27)
4126#define TRANS_FSYNC_DELAY_HB3 (2<<27)
4127#define TRANS_FSYNC_DELAY_HB4 (3<<27)
5f7f726d 4128#define TRANS_INTERLACE_MASK (7<<21)
b9055052 4129#define TRANS_PROGRESSIVE (0<<21)
5f7f726d 4130#define TRANS_INTERLACED (3<<21)
7c26e5c6 4131#define TRANS_LEGACY_INTERLACED_ILK (2<<21)
b9055052
ZW
4132#define TRANS_8BPC (0<<5)
4133#define TRANS_10BPC (1<<5)
4134#define TRANS_6BPC (2<<5)
4135#define TRANS_12BPC (3<<5)
4136
ce40141f
DV
4137#define _TRANSA_CHICKEN1 0xf0060
4138#define _TRANSB_CHICKEN1 0xf1060
4139#define TRANS_CHICKEN1(pipe) _PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
4140#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1<<4)
3bcf603f
JB
4141#define _TRANSA_CHICKEN2 0xf0064
4142#define _TRANSB_CHICKEN2 0xf1064
4143#define TRANS_CHICKEN2(pipe) _PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
dc4bd2d1
PZ
4144#define TRANS_CHICKEN2_TIMING_OVERRIDE (1<<31)
4145#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1<<29)
4146#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3<<27)
4147#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1<<26)
4148#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1<<25)
3bcf603f 4149
291427f5
JB
4150#define SOUTH_CHICKEN1 0xc2000
4151#define FDIA_PHASE_SYNC_SHIFT_OVR 19
4152#define FDIA_PHASE_SYNC_SHIFT_EN 18
01a415fd
DV
4153#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
4154#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
4155#define FDI_BC_BIFURCATION_SELECT (1 << 12)
645c62a5 4156#define SOUTH_CHICKEN2 0xc2004
dde86e2d
PZ
4157#define FDI_MPHY_IOSFSB_RESET_STATUS (1<<13)
4158#define FDI_MPHY_IOSFSB_RESET_CTL (1<<12)
4159#define DPLS_EDP_PPS_FIX_DIS (1<<0)
645c62a5 4160
9db4a9c7
JB
4161#define _FDI_RXA_CHICKEN 0xc200c
4162#define _FDI_RXB_CHICKEN 0xc2010
6f06ce18
JB
4163#define FDI_RX_PHASE_SYNC_POINTER_OVR (1<<1)
4164#define FDI_RX_PHASE_SYNC_POINTER_EN (1<<0)
9db4a9c7 4165#define FDI_RX_CHICKEN(pipe) _PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 4166
382b0936
JB
4167#define SOUTH_DSPCLK_GATE_D 0xc2020
4168#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
17a303ec 4169#define PCH_LP_PARTITION_LEVEL_DISABLE (1<<12)
382b0936 4170
b9055052 4171/* CPU: FDI_TX */
9db4a9c7
JB
4172#define _FDI_TXA_CTL 0x60100
4173#define _FDI_TXB_CTL 0x61100
4174#define FDI_TX_CTL(pipe) _PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
b9055052
ZW
4175#define FDI_TX_DISABLE (0<<31)
4176#define FDI_TX_ENABLE (1<<31)
4177#define FDI_LINK_TRAIN_PATTERN_1 (0<<28)
4178#define FDI_LINK_TRAIN_PATTERN_2 (1<<28)
4179#define FDI_LINK_TRAIN_PATTERN_IDLE (2<<28)
4180#define FDI_LINK_TRAIN_NONE (3<<28)
4181#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0<<25)
4182#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1<<25)
4183#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2<<25)
4184#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3<<25)
4185#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
4186#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
4187#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2<<22)
4188#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3<<22)
8db9d77b
ZW
4189/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
4190 SNB has different settings. */
4191/* SNB A-stepping */
4192#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
4193#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
4194#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
4195#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
4196/* SNB B-stepping */
4197#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0<<22)
4198#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a<<22)
4199#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39<<22)
4200#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38<<22)
4201#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f<<22)
627eb5a3
DV
4202#define FDI_DP_PORT_WIDTH_SHIFT 19
4203#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
4204#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
b9055052 4205#define FDI_TX_ENHANCE_FRAME_ENABLE (1<<18)
f2b115e6 4206/* Ironlake: hardwired to 1 */
b9055052 4207#define FDI_TX_PLL_ENABLE (1<<14)
357555c0
JB
4208
4209/* Ivybridge has different bits for lolz */
4210#define FDI_LINK_TRAIN_PATTERN_1_IVB (0<<8)
4211#define FDI_LINK_TRAIN_PATTERN_2_IVB (1<<8)
4212#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2<<8)
4213#define FDI_LINK_TRAIN_NONE_IVB (3<<8)
4214
b9055052 4215/* both Tx and Rx */
c4f9c4c2 4216#define FDI_COMPOSITE_SYNC (1<<11)
357555c0 4217#define FDI_LINK_TRAIN_AUTO (1<<10)
b9055052
ZW
4218#define FDI_SCRAMBLING_ENABLE (0<<7)
4219#define FDI_SCRAMBLING_DISABLE (1<<7)
4220
4221/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
4222#define _FDI_RXA_CTL 0xf000c
4223#define _FDI_RXB_CTL 0xf100c
4224#define FDI_RX_CTL(pipe) _PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
b9055052 4225#define FDI_RX_ENABLE (1<<31)
b9055052 4226/* train, dp width same as FDI_TX */
357555c0
JB
4227#define FDI_FS_ERRC_ENABLE (1<<27)
4228#define FDI_FE_ERRC_ENABLE (1<<26)
68d18ad7 4229#define FDI_RX_POLARITY_REVERSED_LPT (1<<16)
b9055052
ZW
4230#define FDI_8BPC (0<<16)
4231#define FDI_10BPC (1<<16)
4232#define FDI_6BPC (2<<16)
4233#define FDI_12BPC (3<<16)
3e68320e 4234#define FDI_RX_LINK_REVERSAL_OVERRIDE (1<<15)
b9055052
ZW
4235#define FDI_DMI_LINK_REVERSE_MASK (1<<14)
4236#define FDI_RX_PLL_ENABLE (1<<13)
4237#define FDI_FS_ERR_CORRECT_ENABLE (1<<11)
4238#define FDI_FE_ERR_CORRECT_ENABLE (1<<10)
4239#define FDI_FS_ERR_REPORT_ENABLE (1<<9)
4240#define FDI_FE_ERR_REPORT_ENABLE (1<<8)
4241#define FDI_RX_ENHANCE_FRAME_ENABLE (1<<6)
5eddb70b 4242#define FDI_PCDCLK (1<<4)
8db9d77b
ZW
4243/* CPT */
4244#define FDI_AUTO_TRAINING (1<<10)
4245#define FDI_LINK_TRAIN_PATTERN_1_CPT (0<<8)
4246#define FDI_LINK_TRAIN_PATTERN_2_CPT (1<<8)
4247#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2<<8)
4248#define FDI_LINK_TRAIN_NORMAL_CPT (3<<8)
4249#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3<<8)
b9055052 4250
04945641
PZ
4251#define _FDI_RXA_MISC 0xf0010
4252#define _FDI_RXB_MISC 0xf1010
4253#define FDI_RX_PWRDN_LANE1_MASK (3<<26)
4254#define FDI_RX_PWRDN_LANE1_VAL(x) ((x)<<26)
4255#define FDI_RX_PWRDN_LANE0_MASK (3<<24)
4256#define FDI_RX_PWRDN_LANE0_VAL(x) ((x)<<24)
4257#define FDI_RX_TP1_TO_TP2_48 (2<<20)
4258#define FDI_RX_TP1_TO_TP2_64 (3<<20)
4259#define FDI_RX_FDI_DELAY_90 (0x90<<0)
4260#define FDI_RX_MISC(pipe) _PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
4261
9db4a9c7
JB
4262#define _FDI_RXA_TUSIZE1 0xf0030
4263#define _FDI_RXA_TUSIZE2 0xf0038
4264#define _FDI_RXB_TUSIZE1 0xf1030
4265#define _FDI_RXB_TUSIZE2 0xf1038
9db4a9c7
JB
4266#define FDI_RX_TUSIZE1(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
4267#define FDI_RX_TUSIZE2(pipe) _PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
4268
4269/* FDI_RX interrupt register format */
4270#define FDI_RX_INTER_LANE_ALIGN (1<<10)
4271#define FDI_RX_SYMBOL_LOCK (1<<9) /* train 2 */
4272#define FDI_RX_BIT_LOCK (1<<8) /* train 1 */
4273#define FDI_RX_TRAIN_PATTERN_2_FAIL (1<<7)
4274#define FDI_RX_FS_CODE_ERR (1<<6)
4275#define FDI_RX_FE_CODE_ERR (1<<5)
4276#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1<<4)
4277#define FDI_RX_HDCP_LINK_FAIL (1<<3)
4278#define FDI_RX_PIXEL_FIFO_OVERFLOW (1<<2)
4279#define FDI_RX_CROSS_CLOCK_OVERFLOW (1<<1)
4280#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1<<0)
4281
9db4a9c7
JB
4282#define _FDI_RXA_IIR 0xf0014
4283#define _FDI_RXA_IMR 0xf0018
4284#define _FDI_RXB_IIR 0xf1014
4285#define _FDI_RXB_IMR 0xf1018
4286#define FDI_RX_IIR(pipe) _PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
4287#define FDI_RX_IMR(pipe) _PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052
ZW
4288
4289#define FDI_PLL_CTL_1 0xfe000
4290#define FDI_PLL_CTL_2 0xfe004
4291
b9055052
ZW
4292#define PCH_LVDS 0xe1180
4293#define LVDS_DETECTED (1 << 1)
4294
98364379 4295/* vlv has 2 sets of panel control regs. */
f12c47b2
VS
4296#define PIPEA_PP_STATUS (VLV_DISPLAY_BASE + 0x61200)
4297#define PIPEA_PP_CONTROL (VLV_DISPLAY_BASE + 0x61204)
4298#define PIPEA_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61208)
4299#define PIPEA_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6120c)
4300#define PIPEA_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61210)
4301
4302#define PIPEB_PP_STATUS (VLV_DISPLAY_BASE + 0x61300)
4303#define PIPEB_PP_CONTROL (VLV_DISPLAY_BASE + 0x61304)
4304#define PIPEB_PP_ON_DELAYS (VLV_DISPLAY_BASE + 0x61308)
4305#define PIPEB_PP_OFF_DELAYS (VLV_DISPLAY_BASE + 0x6130c)
4306#define PIPEB_PP_DIVISOR (VLV_DISPLAY_BASE + 0x61310)
98364379 4307
453c5420
JB
4308#define VLV_PIPE_PP_STATUS(pipe) _PIPE(pipe, PIPEA_PP_STATUS, PIPEB_PP_STATUS)
4309#define VLV_PIPE_PP_CONTROL(pipe) _PIPE(pipe, PIPEA_PP_CONTROL, PIPEB_PP_CONTROL)
4310#define VLV_PIPE_PP_ON_DELAYS(pipe) \
4311 _PIPE(pipe, PIPEA_PP_ON_DELAYS, PIPEB_PP_ON_DELAYS)
4312#define VLV_PIPE_PP_OFF_DELAYS(pipe) \
4313 _PIPE(pipe, PIPEA_PP_OFF_DELAYS, PIPEB_PP_OFF_DELAYS)
4314#define VLV_PIPE_PP_DIVISOR(pipe) \
4315 _PIPE(pipe, PIPEA_PP_DIVISOR, PIPEB_PP_DIVISOR)
4316
b9055052
ZW
4317#define PCH_PP_STATUS 0xc7200
4318#define PCH_PP_CONTROL 0xc7204
4a655f04 4319#define PANEL_UNLOCK_REGS (0xabcd << 16)
1c0ae80a 4320#define PANEL_UNLOCK_MASK (0xffff << 16)
b9055052
ZW
4321#define EDP_FORCE_VDD (1 << 3)
4322#define EDP_BLC_ENABLE (1 << 2)
4323#define PANEL_POWER_RESET (1 << 1)
4324#define PANEL_POWER_OFF (0 << 0)
4325#define PANEL_POWER_ON (1 << 0)
4326#define PCH_PP_ON_DELAYS 0xc7208
f01eca2e
KP
4327#define PANEL_PORT_SELECT_MASK (3 << 30)
4328#define PANEL_PORT_SELECT_LVDS (0 << 30)
4329#define PANEL_PORT_SELECT_DPA (1 << 30)
b9055052 4330#define EDP_PANEL (1 << 30)
f01eca2e
KP
4331#define PANEL_PORT_SELECT_DPC (2 << 30)
4332#define PANEL_PORT_SELECT_DPD (3 << 30)
4333#define PANEL_POWER_UP_DELAY_MASK (0x1fff0000)
4334#define PANEL_POWER_UP_DELAY_SHIFT 16
4335#define PANEL_LIGHT_ON_DELAY_MASK (0x1fff)
4336#define PANEL_LIGHT_ON_DELAY_SHIFT 0
4337
b9055052 4338#define PCH_PP_OFF_DELAYS 0xc720c
82ed61fa
DV
4339#define PANEL_POWER_PORT_SELECT_MASK (0x3 << 30)
4340#define PANEL_POWER_PORT_LVDS (0 << 30)
4341#define PANEL_POWER_PORT_DP_A (1 << 30)
4342#define PANEL_POWER_PORT_DP_C (2 << 30)
4343#define PANEL_POWER_PORT_DP_D (3 << 30)
f01eca2e
KP
4344#define PANEL_POWER_DOWN_DELAY_MASK (0x1fff0000)
4345#define PANEL_POWER_DOWN_DELAY_SHIFT 16
4346#define PANEL_LIGHT_OFF_DELAY_MASK (0x1fff)
4347#define PANEL_LIGHT_OFF_DELAY_SHIFT 0
4348
b9055052 4349#define PCH_PP_DIVISOR 0xc7210
f01eca2e
KP
4350#define PP_REFERENCE_DIVIDER_MASK (0xffffff00)
4351#define PP_REFERENCE_DIVIDER_SHIFT 8
4352#define PANEL_POWER_CYCLE_DELAY_MASK (0x1f)
4353#define PANEL_POWER_CYCLE_DELAY_SHIFT 0
b9055052 4354
5eb08b69
ZW
4355#define PCH_DP_B 0xe4100
4356#define PCH_DPB_AUX_CH_CTL 0xe4110
4357#define PCH_DPB_AUX_CH_DATA1 0xe4114
4358#define PCH_DPB_AUX_CH_DATA2 0xe4118
4359#define PCH_DPB_AUX_CH_DATA3 0xe411c
4360#define PCH_DPB_AUX_CH_DATA4 0xe4120
4361#define PCH_DPB_AUX_CH_DATA5 0xe4124
4362
4363#define PCH_DP_C 0xe4200
4364#define PCH_DPC_AUX_CH_CTL 0xe4210
4365#define PCH_DPC_AUX_CH_DATA1 0xe4214
4366#define PCH_DPC_AUX_CH_DATA2 0xe4218
4367#define PCH_DPC_AUX_CH_DATA3 0xe421c
4368#define PCH_DPC_AUX_CH_DATA4 0xe4220
4369#define PCH_DPC_AUX_CH_DATA5 0xe4224
4370
4371#define PCH_DP_D 0xe4300
4372#define PCH_DPD_AUX_CH_CTL 0xe4310
4373#define PCH_DPD_AUX_CH_DATA1 0xe4314
4374#define PCH_DPD_AUX_CH_DATA2 0xe4318
4375#define PCH_DPD_AUX_CH_DATA3 0xe431c
4376#define PCH_DPD_AUX_CH_DATA4 0xe4320
4377#define PCH_DPD_AUX_CH_DATA5 0xe4324
4378
8db9d77b
ZW
4379/* CPT */
4380#define PORT_TRANS_A_SEL_CPT 0
4381#define PORT_TRANS_B_SEL_CPT (1<<29)
4382#define PORT_TRANS_C_SEL_CPT (2<<29)
4383#define PORT_TRANS_SEL_MASK (3<<29)
1519b995 4384#define PORT_TRANS_SEL_CPT(pipe) ((pipe) << 29)
19d8fe15
DV
4385#define PORT_TO_PIPE(val) (((val) & (1<<30)) >> 30)
4386#define PORT_TO_PIPE_CPT(val) (((val) & PORT_TRANS_SEL_MASK) >> 29)
8db9d77b
ZW
4387
4388#define TRANS_DP_CTL_A 0xe0300
4389#define TRANS_DP_CTL_B 0xe1300
4390#define TRANS_DP_CTL_C 0xe2300
23670b32 4391#define TRANS_DP_CTL(pipe) _PIPE(pipe, TRANS_DP_CTL_A, TRANS_DP_CTL_B)
8db9d77b
ZW
4392#define TRANS_DP_OUTPUT_ENABLE (1<<31)
4393#define TRANS_DP_PORT_SEL_B (0<<29)
4394#define TRANS_DP_PORT_SEL_C (1<<29)
4395#define TRANS_DP_PORT_SEL_D (2<<29)
cb3543c6 4396#define TRANS_DP_PORT_SEL_NONE (3<<29)
8db9d77b
ZW
4397#define TRANS_DP_PORT_SEL_MASK (3<<29)
4398#define TRANS_DP_AUDIO_ONLY (1<<26)
4399#define TRANS_DP_ENH_FRAMING (1<<18)
4400#define TRANS_DP_8BPC (0<<9)
4401#define TRANS_DP_10BPC (1<<9)
4402#define TRANS_DP_6BPC (2<<9)
4403#define TRANS_DP_12BPC (3<<9)
220cad3c 4404#define TRANS_DP_BPC_MASK (3<<9)
8db9d77b
ZW
4405#define TRANS_DP_VSYNC_ACTIVE_HIGH (1<<4)
4406#define TRANS_DP_VSYNC_ACTIVE_LOW 0
4407#define TRANS_DP_HSYNC_ACTIVE_HIGH (1<<3)
4408#define TRANS_DP_HSYNC_ACTIVE_LOW 0
94113cec 4409#define TRANS_DP_SYNC_MASK (3<<3)
8db9d77b
ZW
4410
4411/* SNB eDP training params */
4412/* SNB A-stepping */
4413#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
4414#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
4415#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
4416#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
4417/* SNB B-stepping */
3c5a62b5
YL
4418#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0<<22)
4419#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1<<22)
4420#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a<<22)
4421#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39<<22)
4422#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38<<22)
8db9d77b
ZW
4423#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f<<22)
4424
1a2eb460
KP
4425/* IVB */
4426#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 <<22)
4427#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a <<22)
4428#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f <<22)
4429#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 <<22)
4430#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 <<22)
4431#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 <<22)
4432#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x33 <<22)
4433
4434/* legacy values */
4435#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 <<22)
4436#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 <<22)
4437#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 <<22)
4438#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 <<22)
4439#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 <<22)
4440
4441#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f<<22)
4442
cae5852d 4443#define FORCEWAKE 0xA18C
575155a9
JB
4444#define FORCEWAKE_VLV 0x1300b0
4445#define FORCEWAKE_ACK_VLV 0x1300b4
ed5de399
JB
4446#define FORCEWAKE_MEDIA_VLV 0x1300b8
4447#define FORCEWAKE_ACK_MEDIA_VLV 0x1300bc
e7911c48 4448#define FORCEWAKE_ACK_HSW 0x130044
eb43f4af 4449#define FORCEWAKE_ACK 0x130090
d62b4892
JB
4450#define VLV_GTLC_WAKE_CTRL 0x130090
4451#define VLV_GTLC_PW_STATUS 0x130094
8d715f00 4452#define FORCEWAKE_MT 0xa188 /* multi-threaded */
c5836c27
CW
4453#define FORCEWAKE_KERNEL 0x1
4454#define FORCEWAKE_USER 0x2
8d715f00
KP
4455#define FORCEWAKE_MT_ACK 0x130040
4456#define ECOBUS 0xa180
4457#define FORCEWAKE_MT_ENABLE (1<<5)
8fd26859 4458
dd202c6d
BW
4459#define GTFIFODBG 0x120000
4460#define GT_FIFO_CPU_ERROR_MASK 7
4461#define GT_FIFO_OVFERR (1<<2)
4462#define GT_FIFO_IAWRERR (1<<1)
4463#define GT_FIFO_IARDERR (1<<0)
4464
91355834 4465#define GT_FIFO_FREE_ENTRIES 0x120008
95736720 4466#define GT_FIFO_NUM_RESERVED_ENTRIES 20
91355834 4467
80e829fa
DV
4468#define GEN6_UCGCTL1 0x9400
4469# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 4470# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 4471
406478dc 4472#define GEN6_UCGCTL2 0x9404
0f846f81 4473# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 4474# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 4475# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 4476# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 4477# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 4478
e3f33d46
JB
4479#define GEN7_UCGCTL4 0x940c
4480#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1<<25)
4481
3b8d8d91 4482#define GEN6_RPNSWREQ 0xA008
8fd26859
CW
4483#define GEN6_TURBO_DISABLE (1<<31)
4484#define GEN6_FREQUENCY(x) ((x)<<25)
92bd1bf0 4485#define HSW_FREQUENCY(x) ((x)<<24)
8fd26859
CW
4486#define GEN6_OFFSET(x) ((x)<<19)
4487#define GEN6_AGGRESSIVE_TURBO (0<<15)
4488#define GEN6_RC_VIDEO_FREQ 0xA00C
4489#define GEN6_RC_CONTROL 0xA090
4490#define GEN6_RC_CTL_RC6pp_ENABLE (1<<16)
4491#define GEN6_RC_CTL_RC6p_ENABLE (1<<17)
4492#define GEN6_RC_CTL_RC6_ENABLE (1<<18)
4493#define GEN6_RC_CTL_RC1e_ENABLE (1<<20)
4494#define GEN6_RC_CTL_RC7_ENABLE (1<<22)
0a073b84 4495#define GEN7_RC_CTL_TO_MODE (1<<28)
8fd26859
CW
4496#define GEN6_RC_CTL_EI_MODE(x) ((x)<<27)
4497#define GEN6_RC_CTL_HW_ENABLE (1<<31)
4498#define GEN6_RP_DOWN_TIMEOUT 0xA010
4499#define GEN6_RP_INTERRUPT_LIMITS 0xA014
3b8d8d91 4500#define GEN6_RPSTAT1 0xA01C
ccab5c82 4501#define GEN6_CAGF_SHIFT 8
f82855d3 4502#define HSW_CAGF_SHIFT 7
ccab5c82 4503#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 4504#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
8fd26859
CW
4505#define GEN6_RP_CONTROL 0xA024
4506#define GEN6_RP_MEDIA_TURBO (1<<11)
6ed55ee7
BW
4507#define GEN6_RP_MEDIA_MODE_MASK (3<<9)
4508#define GEN6_RP_MEDIA_HW_TURBO_MODE (3<<9)
4509#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2<<9)
4510#define GEN6_RP_MEDIA_HW_MODE (1<<9)
4511#define GEN6_RP_MEDIA_SW_MODE (0<<9)
8fd26859
CW
4512#define GEN6_RP_MEDIA_IS_GFX (1<<8)
4513#define GEN6_RP_ENABLE (1<<7)
ccab5c82
JB
4514#define GEN6_RP_UP_IDLE_MIN (0x1<<3)
4515#define GEN6_RP_UP_BUSY_AVG (0x2<<3)
4516#define GEN6_RP_UP_BUSY_CONT (0x4<<3)
5a7dc92a 4517#define GEN7_RP_DOWN_IDLE_AVG (0x2<<0)
ccab5c82 4518#define GEN6_RP_DOWN_IDLE_CONT (0x1<<0)
8fd26859
CW
4519#define GEN6_RP_UP_THRESHOLD 0xA02C
4520#define GEN6_RP_DOWN_THRESHOLD 0xA030
ccab5c82
JB
4521#define GEN6_RP_CUR_UP_EI 0xA050
4522#define GEN6_CURICONT_MASK 0xffffff
4523#define GEN6_RP_CUR_UP 0xA054
4524#define GEN6_CURBSYTAVG_MASK 0xffffff
4525#define GEN6_RP_PREV_UP 0xA058
4526#define GEN6_RP_CUR_DOWN_EI 0xA05C
4527#define GEN6_CURIAVG_MASK 0xffffff
4528#define GEN6_RP_CUR_DOWN 0xA060
4529#define GEN6_RP_PREV_DOWN 0xA064
8fd26859
CW
4530#define GEN6_RP_UP_EI 0xA068
4531#define GEN6_RP_DOWN_EI 0xA06C
4532#define GEN6_RP_IDLE_HYSTERSIS 0xA070
4533#define GEN6_RC_STATE 0xA094
4534#define GEN6_RC1_WAKE_RATE_LIMIT 0xA098
4535#define GEN6_RC6_WAKE_RATE_LIMIT 0xA09C
4536#define GEN6_RC6pp_WAKE_RATE_LIMIT 0xA0A0
4537#define GEN6_RC_EVALUATION_INTERVAL 0xA0A8
4538#define GEN6_RC_IDLE_HYSTERSIS 0xA0AC
4539#define GEN6_RC_SLEEP 0xA0B0
4540#define GEN6_RC1e_THRESHOLD 0xA0B4
4541#define GEN6_RC6_THRESHOLD 0xA0B8
4542#define GEN6_RC6p_THRESHOLD 0xA0BC
4543#define GEN6_RC6pp_THRESHOLD 0xA0C0
3b8d8d91 4544#define GEN6_PMINTRMSK 0xA168
8fd26859
CW
4545
4546#define GEN6_PMISR 0x44020
4912d041 4547#define GEN6_PMIMR 0x44024 /* rps_lock */
8fd26859
CW
4548#define GEN6_PMIIR 0x44028
4549#define GEN6_PMIER 0x4402C
4550#define GEN6_PM_MBOX_EVENT (1<<25)
4551#define GEN6_PM_THERMAL_EVENT (1<<24)
4552#define GEN6_PM_RP_DOWN_TIMEOUT (1<<6)
4553#define GEN6_PM_RP_UP_THRESHOLD (1<<5)
4554#define GEN6_PM_RP_DOWN_THRESHOLD (1<<4)
4555#define GEN6_PM_RP_UP_EI_EXPIRED (1<<2)
4556#define GEN6_PM_RP_DOWN_EI_EXPIRED (1<<1)
4848405c 4557#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_THRESHOLD | \
4912d041
BW
4558 GEN6_PM_RP_DOWN_THRESHOLD | \
4559 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 4560
cce66a28
BW
4561#define GEN6_GT_GFX_RC6_LOCKED 0x138104
4562#define GEN6_GT_GFX_RC6 0x138108
4563#define GEN6_GT_GFX_RC6p 0x13810C
4564#define GEN6_GT_GFX_RC6pp 0x138110
4565
8fd26859
CW
4566#define GEN6_PCODE_MAILBOX 0x138124
4567#define GEN6_PCODE_READY (1<<31)
a6044e23 4568#define GEN6_READ_OC_PARAMS 0xc
23b2f8bb
JB
4569#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
4570#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
31643d54
BW
4571#define GEN6_PCODE_WRITE_RC6VIDS 0x4
4572#define GEN6_PCODE_READ_RC6VIDS 0x5
7083e050
BW
4573#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
4574#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
8fd26859 4575#define GEN6_PCODE_DATA 0x138128
23b2f8bb 4576#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 4577#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
8fd26859 4578
4d85529d
BW
4579#define GEN6_GT_CORE_STATUS 0x138060
4580#define GEN6_CORE_CPD_STATE_MASK (7<<4)
4581#define GEN6_RCn_MASK 7
4582#define GEN6_RC0 0
4583#define GEN6_RC3 2
4584#define GEN6_RC6 3
4585#define GEN6_RC7 4
4586
e3689190
BW
4587#define GEN7_MISCCPCTL (0x9424)
4588#define GEN7_DOP_CLOCK_GATE_ENABLE (1<<0)
4589
4590/* IVYBRIDGE DPF */
4591#define GEN7_L3CDERRST1 0xB008 /* L3CD Error Status 1 */
4592#define GEN7_L3CDERRST1_ROW_MASK (0x7ff<<14)
4593#define GEN7_PARITY_ERROR_VALID (1<<13)
4594#define GEN7_L3CDERRST1_BANK_MASK (3<<11)
4595#define GEN7_L3CDERRST1_SUBBANK_MASK (7<<8)
4596#define GEN7_PARITY_ERROR_ROW(reg) \
4597 ((reg & GEN7_L3CDERRST1_ROW_MASK) >> 14)
4598#define GEN7_PARITY_ERROR_BANK(reg) \
4599 ((reg & GEN7_L3CDERRST1_BANK_MASK) >> 11)
4600#define GEN7_PARITY_ERROR_SUBBANK(reg) \
4601 ((reg & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
4602#define GEN7_L3CDERRST1_ENABLE (1<<7)
4603
b9524a1e
BW
4604#define GEN7_L3LOG_BASE 0xB070
4605#define GEN7_L3LOG_SIZE 0x80
4606
12f3382b
JB
4607#define GEN7_HALF_SLICE_CHICKEN1 0xe100 /* IVB GT1 + VLV */
4608#define GEN7_HALF_SLICE_CHICKEN1_GT2 0xf100
4609#define GEN7_MAX_PS_THREAD_DEP (8<<12)
4610#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1<<3)
4611
8ab43976
JB
4612#define GEN7_ROW_CHICKEN2 0xe4f4
4613#define GEN7_ROW_CHICKEN2_GT2 0xf4f4
4614#define DOP_CLOCK_GATING_DISABLE (1<<0)
4615
f4ba9f81 4616#define G4X_AUD_VID_DID (dev_priv->info->display_mmio_offset + 0x62020)
e0dac65e
WF
4617#define INTEL_AUDIO_DEVCL 0x808629FB
4618#define INTEL_AUDIO_DEVBLC 0x80862801
4619#define INTEL_AUDIO_DEVCTG 0x80862802
4620
4621#define G4X_AUD_CNTL_ST 0x620B4
4622#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
4623#define G4X_ELDV_DEVCTG (1 << 14)
4624#define G4X_ELD_ADDR (0xf << 5)
4625#define G4X_ELD_ACK (1 << 4)
4626#define G4X_HDMIW_HDMIEDID 0x6210C
4627
1202b4c6 4628#define IBX_HDMIW_HDMIEDID_A 0xE2050
9b138a83
WX
4629#define IBX_HDMIW_HDMIEDID_B 0xE2150
4630#define IBX_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4631 IBX_HDMIW_HDMIEDID_A, \
4632 IBX_HDMIW_HDMIEDID_B)
1202b4c6 4633#define IBX_AUD_CNTL_ST_A 0xE20B4
9b138a83
WX
4634#define IBX_AUD_CNTL_ST_B 0xE21B4
4635#define IBX_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4636 IBX_AUD_CNTL_ST_A, \
4637 IBX_AUD_CNTL_ST_B)
1202b4c6
WF
4638#define IBX_ELD_BUFFER_SIZE (0x1f << 10)
4639#define IBX_ELD_ADDRESS (0x1f << 5)
4640#define IBX_ELD_ACK (1 << 4)
4641#define IBX_AUD_CNTL_ST2 0xE20C0
4642#define IBX_ELD_VALIDB (1 << 0)
4643#define IBX_CP_READYB (1 << 1)
4644
4645#define CPT_HDMIW_HDMIEDID_A 0xE5050
9b138a83
WX
4646#define CPT_HDMIW_HDMIEDID_B 0xE5150
4647#define CPT_HDMIW_HDMIEDID(pipe) _PIPE(pipe, \
4648 CPT_HDMIW_HDMIEDID_A, \
4649 CPT_HDMIW_HDMIEDID_B)
1202b4c6 4650#define CPT_AUD_CNTL_ST_A 0xE50B4
9b138a83
WX
4651#define CPT_AUD_CNTL_ST_B 0xE51B4
4652#define CPT_AUD_CNTL_ST(pipe) _PIPE(pipe, \
4653 CPT_AUD_CNTL_ST_A, \
4654 CPT_AUD_CNTL_ST_B)
1202b4c6 4655#define CPT_AUD_CNTRL_ST2 0xE50C0
e0dac65e 4656
ae662d31
EA
4657/* These are the 4 32-bit write offset registers for each stream
4658 * output buffer. It determines the offset from the
4659 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
4660 */
4661#define GEN7_SO_WRITE_OFFSET(n) (0x5280 + (n) * 4)
4662
b6daa025 4663#define IBX_AUD_CONFIG_A 0xe2000
9b138a83
WX
4664#define IBX_AUD_CONFIG_B 0xe2100
4665#define IBX_AUD_CFG(pipe) _PIPE(pipe, \
4666 IBX_AUD_CONFIG_A, \
4667 IBX_AUD_CONFIG_B)
b6daa025 4668#define CPT_AUD_CONFIG_A 0xe5000
9b138a83
WX
4669#define CPT_AUD_CONFIG_B 0xe5100
4670#define CPT_AUD_CFG(pipe) _PIPE(pipe, \
4671 CPT_AUD_CONFIG_A, \
4672 CPT_AUD_CONFIG_B)
b6daa025
WF
4673#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
4674#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
4675#define AUD_CONFIG_UPPER_N_SHIFT 20
4676#define AUD_CONFIG_UPPER_N_VALUE (0xff << 20)
4677#define AUD_CONFIG_LOWER_N_SHIFT 4
4678#define AUD_CONFIG_LOWER_N_VALUE (0xfff << 4)
4679#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
4680#define AUD_CONFIG_PIXEL_CLOCK_HDMI (0xf << 16)
4681#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
4682
9a78b6cc
WX
4683/* HSW Audio */
4684#define HSW_AUD_CONFIG_A 0x65000 /* Audio Configuration Transcoder A */
4685#define HSW_AUD_CONFIG_B 0x65100 /* Audio Configuration Transcoder B */
4686#define HSW_AUD_CFG(pipe) _PIPE(pipe, \
4687 HSW_AUD_CONFIG_A, \
4688 HSW_AUD_CONFIG_B)
4689
4690#define HSW_AUD_MISC_CTRL_A 0x65010 /* Audio Misc Control Convert 1 */
4691#define HSW_AUD_MISC_CTRL_B 0x65110 /* Audio Misc Control Convert 2 */
4692#define HSW_AUD_MISC_CTRL(pipe) _PIPE(pipe, \
4693 HSW_AUD_MISC_CTRL_A, \
4694 HSW_AUD_MISC_CTRL_B)
4695
4696#define HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4 /* Audio DIP and ELD Control State Transcoder A */
4697#define HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4 /* Audio DIP and ELD Control State Transcoder B */
4698#define HSW_AUD_DIP_ELD_CTRL(pipe) _PIPE(pipe, \
4699 HSW_AUD_DIP_ELD_CTRL_ST_A, \
4700 HSW_AUD_DIP_ELD_CTRL_ST_B)
4701
4702/* Audio Digital Converter */
4703#define HSW_AUD_DIG_CNVT_1 0x65080 /* Audio Converter 1 */
4704#define HSW_AUD_DIG_CNVT_2 0x65180 /* Audio Converter 1 */
4705#define AUD_DIG_CNVT(pipe) _PIPE(pipe, \
4706 HSW_AUD_DIG_CNVT_1, \
4707 HSW_AUD_DIG_CNVT_2)
9b138a83 4708#define DIP_PORT_SEL_MASK 0x3
9a78b6cc
WX
4709
4710#define HSW_AUD_EDID_DATA_A 0x65050
4711#define HSW_AUD_EDID_DATA_B 0x65150
4712#define HSW_AUD_EDID_DATA(pipe) _PIPE(pipe, \
4713 HSW_AUD_EDID_DATA_A, \
4714 HSW_AUD_EDID_DATA_B)
4715
4716#define HSW_AUD_PIPE_CONV_CFG 0x6507c /* Audio pipe and converter configs */
4717#define HSW_AUD_PIN_ELD_CP_VLD 0x650c0 /* Audio ELD and CP Ready Status */
4718#define AUDIO_INACTIVE_C (1<<11)
4719#define AUDIO_INACTIVE_B (1<<7)
4720#define AUDIO_INACTIVE_A (1<<3)
4721#define AUDIO_OUTPUT_ENABLE_A (1<<2)
4722#define AUDIO_OUTPUT_ENABLE_B (1<<6)
4723#define AUDIO_OUTPUT_ENABLE_C (1<<10)
4724#define AUDIO_ELD_VALID_A (1<<0)
4725#define AUDIO_ELD_VALID_B (1<<4)
4726#define AUDIO_ELD_VALID_C (1<<8)
4727#define AUDIO_CP_READY_A (1<<1)
4728#define AUDIO_CP_READY_B (1<<5)
4729#define AUDIO_CP_READY_C (1<<9)
4730
9eb3a752 4731/* HSW Power Wells */
fa42e23c
PZ
4732#define HSW_PWR_WELL_BIOS 0x45400 /* CTL1 */
4733#define HSW_PWR_WELL_DRIVER 0x45404 /* CTL2 */
4734#define HSW_PWR_WELL_KVMR 0x45408 /* CTL3 */
4735#define HSW_PWR_WELL_DEBUG 0x4540C /* CTL4 */
5e49cea6
PZ
4736#define HSW_PWR_WELL_ENABLE (1<<31)
4737#define HSW_PWR_WELL_STATE (1<<30)
4738#define HSW_PWR_WELL_CTL5 0x45410
9eb3a752
ED
4739#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1<<31)
4740#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1<<20)
5e49cea6
PZ
4741#define HSW_PWR_WELL_FORCE_ON (1<<19)
4742#define HSW_PWR_WELL_CTL6 0x45414
9eb3a752 4743
e7e104c3 4744/* Per-pipe DDI Function Control */
ad80a810
PZ
4745#define TRANS_DDI_FUNC_CTL_A 0x60400
4746#define TRANS_DDI_FUNC_CTL_B 0x61400
4747#define TRANS_DDI_FUNC_CTL_C 0x62400
4748#define TRANS_DDI_FUNC_CTL_EDP 0x6F400
4749#define TRANS_DDI_FUNC_CTL(tran) _TRANSCODER(tran, TRANS_DDI_FUNC_CTL_A, \
4750 TRANS_DDI_FUNC_CTL_B)
4751#define TRANS_DDI_FUNC_ENABLE (1<<31)
e7e104c3 4752/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
ad80a810
PZ
4753#define TRANS_DDI_PORT_MASK (7<<28)
4754#define TRANS_DDI_SELECT_PORT(x) ((x)<<28)
4755#define TRANS_DDI_PORT_NONE (0<<28)
4756#define TRANS_DDI_MODE_SELECT_MASK (7<<24)
4757#define TRANS_DDI_MODE_SELECT_HDMI (0<<24)
4758#define TRANS_DDI_MODE_SELECT_DVI (1<<24)
4759#define TRANS_DDI_MODE_SELECT_DP_SST (2<<24)
4760#define TRANS_DDI_MODE_SELECT_DP_MST (3<<24)
4761#define TRANS_DDI_MODE_SELECT_FDI (4<<24)
4762#define TRANS_DDI_BPC_MASK (7<<20)
4763#define TRANS_DDI_BPC_8 (0<<20)
4764#define TRANS_DDI_BPC_10 (1<<20)
4765#define TRANS_DDI_BPC_6 (2<<20)
4766#define TRANS_DDI_BPC_12 (3<<20)
4767#define TRANS_DDI_PVSYNC (1<<17)
4768#define TRANS_DDI_PHSYNC (1<<16)
4769#define TRANS_DDI_EDP_INPUT_MASK (7<<12)
4770#define TRANS_DDI_EDP_INPUT_A_ON (0<<12)
4771#define TRANS_DDI_EDP_INPUT_A_ONOFF (4<<12)
4772#define TRANS_DDI_EDP_INPUT_B_ONOFF (5<<12)
4773#define TRANS_DDI_EDP_INPUT_C_ONOFF (6<<12)
4774#define TRANS_DDI_BFI_ENABLE (1<<4)
e7e104c3 4775
0e87f667
ED
4776/* DisplayPort Transport Control */
4777#define DP_TP_CTL_A 0x64040
4778#define DP_TP_CTL_B 0x64140
5e49cea6
PZ
4779#define DP_TP_CTL(port) _PORT(port, DP_TP_CTL_A, DP_TP_CTL_B)
4780#define DP_TP_CTL_ENABLE (1<<31)
4781#define DP_TP_CTL_MODE_SST (0<<27)
4782#define DP_TP_CTL_MODE_MST (1<<27)
0e87f667 4783#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1<<18)
5e49cea6 4784#define DP_TP_CTL_FDI_AUTOTRAIN (1<<15)
0e87f667
ED
4785#define DP_TP_CTL_LINK_TRAIN_MASK (7<<8)
4786#define DP_TP_CTL_LINK_TRAIN_PAT1 (0<<8)
4787#define DP_TP_CTL_LINK_TRAIN_PAT2 (1<<8)
d6c0d722
PZ
4788#define DP_TP_CTL_LINK_TRAIN_PAT3 (4<<8)
4789#define DP_TP_CTL_LINK_TRAIN_IDLE (2<<8)
5e49cea6 4790#define DP_TP_CTL_LINK_TRAIN_NORMAL (3<<8)
d6c0d722 4791#define DP_TP_CTL_SCRAMBLE_DISABLE (1<<7)
0e87f667 4792
e411b2c1
ED
4793/* DisplayPort Transport Status */
4794#define DP_TP_STATUS_A 0x64044
4795#define DP_TP_STATUS_B 0x64144
5e49cea6 4796#define DP_TP_STATUS(port) _PORT(port, DP_TP_STATUS_A, DP_TP_STATUS_B)
d6c0d722 4797#define DP_TP_STATUS_IDLE_DONE (1<<25)
e411b2c1
ED
4798#define DP_TP_STATUS_AUTOTRAIN_DONE (1<<12)
4799
03f896a1
ED
4800/* DDI Buffer Control */
4801#define DDI_BUF_CTL_A 0x64000
4802#define DDI_BUF_CTL_B 0x64100
5e49cea6
PZ
4803#define DDI_BUF_CTL(port) _PORT(port, DDI_BUF_CTL_A, DDI_BUF_CTL_B)
4804#define DDI_BUF_CTL_ENABLE (1<<31)
03f896a1 4805#define DDI_BUF_EMP_400MV_0DB_HSW (0<<24) /* Sel0 */
5e49cea6 4806#define DDI_BUF_EMP_400MV_3_5DB_HSW (1<<24) /* Sel1 */
03f896a1 4807#define DDI_BUF_EMP_400MV_6DB_HSW (2<<24) /* Sel2 */
5e49cea6 4808#define DDI_BUF_EMP_400MV_9_5DB_HSW (3<<24) /* Sel3 */
03f896a1 4809#define DDI_BUF_EMP_600MV_0DB_HSW (4<<24) /* Sel4 */
5e49cea6 4810#define DDI_BUF_EMP_600MV_3_5DB_HSW (5<<24) /* Sel5 */
03f896a1
ED
4811#define DDI_BUF_EMP_600MV_6DB_HSW (6<<24) /* Sel6 */
4812#define DDI_BUF_EMP_800MV_0DB_HSW (7<<24) /* Sel7 */
5e49cea6
PZ
4813#define DDI_BUF_EMP_800MV_3_5DB_HSW (8<<24) /* Sel8 */
4814#define DDI_BUF_EMP_MASK (0xf<<24)
876a8cdf 4815#define DDI_BUF_PORT_REVERSAL (1<<16)
5e49cea6 4816#define DDI_BUF_IS_IDLE (1<<7)
79935fca 4817#define DDI_A_4_LANES (1<<4)
17aa6be9 4818#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
03f896a1
ED
4819#define DDI_INIT_DISPLAY_DETECTED (1<<0)
4820
bb879a44
ED
4821/* DDI Buffer Translations */
4822#define DDI_BUF_TRANS_A 0x64E00
4823#define DDI_BUF_TRANS_B 0x64E60
5e49cea6 4824#define DDI_BUF_TRANS(port) _PORT(port, DDI_BUF_TRANS_A, DDI_BUF_TRANS_B)
bb879a44 4825
7501a4d8
ED
4826/* Sideband Interface (SBI) is programmed indirectly, via
4827 * SBI_ADDR, which contains the register offset; and SBI_DATA,
4828 * which contains the payload */
5e49cea6
PZ
4829#define SBI_ADDR 0xC6000
4830#define SBI_DATA 0xC6004
7501a4d8 4831#define SBI_CTL_STAT 0xC6008
988d6ee8
PZ
4832#define SBI_CTL_DEST_ICLK (0x0<<16)
4833#define SBI_CTL_DEST_MPHY (0x1<<16)
4834#define SBI_CTL_OP_IORD (0x2<<8)
4835#define SBI_CTL_OP_IOWR (0x3<<8)
7501a4d8
ED
4836#define SBI_CTL_OP_CRRD (0x6<<8)
4837#define SBI_CTL_OP_CRWR (0x7<<8)
4838#define SBI_RESPONSE_FAIL (0x1<<1)
5e49cea6
PZ
4839#define SBI_RESPONSE_SUCCESS (0x0<<1)
4840#define SBI_BUSY (0x1<<0)
4841#define SBI_READY (0x0<<0)
52f025ef 4842
ccf1c867 4843/* SBI offsets */
5e49cea6 4844#define SBI_SSCDIVINTPHASE6 0x0600
ccf1c867
ED
4845#define SBI_SSCDIVINTPHASE_DIVSEL_MASK ((0x7f)<<1)
4846#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x)<<1)
4847#define SBI_SSCDIVINTPHASE_INCVAL_MASK ((0x7f)<<8)
4848#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x)<<8)
5e49cea6 4849#define SBI_SSCDIVINTPHASE_DIR(x) ((x)<<15)
ccf1c867 4850#define SBI_SSCDIVINTPHASE_PROPAGATE (1<<0)
5e49cea6 4851#define SBI_SSCCTL 0x020c
ccf1c867 4852#define SBI_SSCCTL6 0x060C
dde86e2d 4853#define SBI_SSCCTL_PATHALT (1<<3)
5e49cea6 4854#define SBI_SSCCTL_DISABLE (1<<0)
ccf1c867
ED
4855#define SBI_SSCAUXDIV6 0x0610
4856#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x)<<4)
5e49cea6 4857#define SBI_DBUFF0 0x2a00
dde86e2d 4858#define SBI_DBUFF0_ENABLE (1<<0)
ccf1c867 4859
52f025ef 4860/* LPT PIXCLK_GATE */
5e49cea6 4861#define PIXCLK_GATE 0xC6020
745ca3be
PZ
4862#define PIXCLK_GATE_UNGATE (1<<0)
4863#define PIXCLK_GATE_GATE (0<<0)
52f025ef 4864
e93ea06a 4865/* SPLL */
5e49cea6 4866#define SPLL_CTL 0x46020
e93ea06a 4867#define SPLL_PLL_ENABLE (1<<31)
39bc66c9
DL
4868#define SPLL_PLL_SSC (1<<28)
4869#define SPLL_PLL_NON_SSC (2<<28)
5e49cea6
PZ
4870#define SPLL_PLL_FREQ_810MHz (0<<26)
4871#define SPLL_PLL_FREQ_1350MHz (1<<26)
e93ea06a 4872
4dffc404 4873/* WRPLL */
5e49cea6
PZ
4874#define WRPLL_CTL1 0x46040
4875#define WRPLL_CTL2 0x46060
4876#define WRPLL_PLL_ENABLE (1<<31)
4877#define WRPLL_PLL_SELECT_SSC (0x01<<28)
39bc66c9 4878#define WRPLL_PLL_SELECT_NON_SSC (0x02<<28)
4dffc404 4879#define WRPLL_PLL_SELECT_LCPLL_2700 (0x03<<28)
ef4d084f 4880/* WRPLL divider programming */
5e49cea6
PZ
4881#define WRPLL_DIVIDER_REFERENCE(x) ((x)<<0)
4882#define WRPLL_DIVIDER_POST(x) ((x)<<8)
4883#define WRPLL_DIVIDER_FEEDBACK(x) ((x)<<16)
4dffc404 4884
fec9181c
ED
4885/* Port clock selection */
4886#define PORT_CLK_SEL_A 0x46100
4887#define PORT_CLK_SEL_B 0x46104
5e49cea6 4888#define PORT_CLK_SEL(port) _PORT(port, PORT_CLK_SEL_A, PORT_CLK_SEL_B)
fec9181c
ED
4889#define PORT_CLK_SEL_LCPLL_2700 (0<<29)
4890#define PORT_CLK_SEL_LCPLL_1350 (1<<29)
4891#define PORT_CLK_SEL_LCPLL_810 (2<<29)
5e49cea6 4892#define PORT_CLK_SEL_SPLL (3<<29)
fec9181c
ED
4893#define PORT_CLK_SEL_WRPLL1 (4<<29)
4894#define PORT_CLK_SEL_WRPLL2 (5<<29)
6441ab5f 4895#define PORT_CLK_SEL_NONE (7<<29)
fec9181c 4896
bb523fc0
PZ
4897/* Transcoder clock selection */
4898#define TRANS_CLK_SEL_A 0x46140
4899#define TRANS_CLK_SEL_B 0x46144
4900#define TRANS_CLK_SEL(tran) _TRANSCODER(tran, TRANS_CLK_SEL_A, TRANS_CLK_SEL_B)
4901/* For each transcoder, we need to select the corresponding port clock */
4902#define TRANS_CLK_SEL_DISABLED (0x0<<29)
4903#define TRANS_CLK_SEL_PORT(x) ((x+1)<<29)
fec9181c 4904
c9809791
PZ
4905#define _TRANSA_MSA_MISC 0x60410
4906#define _TRANSB_MSA_MISC 0x61410
4907#define TRANS_MSA_MISC(tran) _TRANSCODER(tran, _TRANSA_MSA_MISC, \
4908 _TRANSB_MSA_MISC)
4909#define TRANS_MSA_SYNC_CLK (1<<0)
4910#define TRANS_MSA_6_BPC (0<<5)
4911#define TRANS_MSA_8_BPC (1<<5)
4912#define TRANS_MSA_10_BPC (2<<5)
4913#define TRANS_MSA_12_BPC (3<<5)
4914#define TRANS_MSA_16_BPC (4<<5)
dae84799 4915
90e8d31c 4916/* LCPLL Control */
5e49cea6 4917#define LCPLL_CTL 0x130040
90e8d31c
ED
4918#define LCPLL_PLL_DISABLE (1<<31)
4919#define LCPLL_PLL_LOCK (1<<30)
79f689aa
PZ
4920#define LCPLL_CLK_FREQ_MASK (3<<26)
4921#define LCPLL_CLK_FREQ_450 (0<<26)
5e49cea6 4922#define LCPLL_CD_CLOCK_DISABLE (1<<25)
90e8d31c 4923#define LCPLL_CD2X_CLOCK_DISABLE (1<<23)
79f689aa 4924#define LCPLL_CD_SOURCE_FCLK (1<<21)
90e8d31c 4925
69e94b7e
ED
4926/* Pipe WM_LINETIME - watermark line time */
4927#define PIPE_WM_LINETIME_A 0x45270
4928#define PIPE_WM_LINETIME_B 0x45274
5e49cea6
PZ
4929#define PIPE_WM_LINETIME(pipe) _PIPE(pipe, PIPE_WM_LINETIME_A, \
4930 PIPE_WM_LINETIME_B)
4931#define PIPE_WM_LINETIME_MASK (0x1ff)
4932#define PIPE_WM_LINETIME_TIME(x) ((x))
69e94b7e 4933#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff<<16)
5e49cea6 4934#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x)<<16)
96d6e350
ED
4935
4936/* SFUSE_STRAP */
5e49cea6 4937#define SFUSE_STRAP 0xc2014
96d6e350
ED
4938#define SFUSE_STRAP_DDIB_DETECTED (1<<2)
4939#define SFUSE_STRAP_DDIC_DETECTED (1<<1)
4940#define SFUSE_STRAP_DDID_DETECTED (1<<0)
4941
801bcfff
PZ
4942#define WM_MISC 0x45260
4943#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
4944
1544d9d5
ED
4945#define WM_DBG 0x45280
4946#define WM_DBG_DISALLOW_MULTIPLE_LP (1<<0)
4947#define WM_DBG_DISALLOW_MAXFIFO (1<<1)
4948#define WM_DBG_DISALLOW_SPRITE (1<<2)
4949
86d3efce
VS
4950/* pipe CSC */
4951#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
4952#define _PIPE_A_CSC_COEFF_BY 0x49014
4953#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
4954#define _PIPE_A_CSC_COEFF_BU 0x4901c
4955#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
4956#define _PIPE_A_CSC_COEFF_BV 0x49024
4957#define _PIPE_A_CSC_MODE 0x49028
29a397ba
VS
4958#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
4959#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
4960#define CSC_MODE_YUV_TO_RGB (1 << 0)
86d3efce
VS
4961#define _PIPE_A_CSC_PREOFF_HI 0x49030
4962#define _PIPE_A_CSC_PREOFF_ME 0x49034
4963#define _PIPE_A_CSC_PREOFF_LO 0x49038
4964#define _PIPE_A_CSC_POSTOFF_HI 0x49040
4965#define _PIPE_A_CSC_POSTOFF_ME 0x49044
4966#define _PIPE_A_CSC_POSTOFF_LO 0x49048
4967
4968#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
4969#define _PIPE_B_CSC_COEFF_BY 0x49114
4970#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
4971#define _PIPE_B_CSC_COEFF_BU 0x4911c
4972#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
4973#define _PIPE_B_CSC_COEFF_BV 0x49124
4974#define _PIPE_B_CSC_MODE 0x49128
4975#define _PIPE_B_CSC_PREOFF_HI 0x49130
4976#define _PIPE_B_CSC_PREOFF_ME 0x49134
4977#define _PIPE_B_CSC_PREOFF_LO 0x49138
4978#define _PIPE_B_CSC_POSTOFF_HI 0x49140
4979#define _PIPE_B_CSC_POSTOFF_ME 0x49144
4980#define _PIPE_B_CSC_POSTOFF_LO 0x49148
4981
86d3efce
VS
4982#define PIPE_CSC_COEFF_RY_GY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
4983#define PIPE_CSC_COEFF_BY(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
4984#define PIPE_CSC_COEFF_RU_GU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
4985#define PIPE_CSC_COEFF_BU(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
4986#define PIPE_CSC_COEFF_RV_GV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
4987#define PIPE_CSC_COEFF_BV(pipe) _PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
4988#define PIPE_CSC_MODE(pipe) _PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
4989#define PIPE_CSC_PREOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
4990#define PIPE_CSC_PREOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
4991#define PIPE_CSC_PREOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
4992#define PIPE_CSC_POSTOFF_HI(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
4993#define PIPE_CSC_POSTOFF_ME(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
4994#define PIPE_CSC_POSTOFF_LO(pipe) _PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
4995
585fb111 4996#endif /* _I915_REG_H_ */
This page took 0.674815 seconds and 5 git commands to generate.